Daily Build Log


Begin #

Sat Apr 29 02:40:13 2023 UTC


Setup #

GIT

Sat Apr 29 02:40:13 2023 UTC

Running: git  log -1
commit da2160f02edad2383da21fd7c232b532dc9f5d2e
Author: Justin Wilson <wilsonj@objectcomputing.com>
Date:   Fri Apr 28 13:03:38 2023 -0500

    Merge pull request #4135 from mitza-oci/typesupport-data-conversions
    
    Fixed warnings in FooTypeSupportImpl::encode_to_bytes
cannot remove id 2392080 (Invalid argument)
cannot remove id 2424849 (Invalid argument)
cannot remove id 6586386 (Invalid argument)
cannot remove id 6619155 (Invalid argument)
cannot remove id 9732117 (Invalid argument)
cannot remove id 9764886 (Invalid argument)
cannot remove id 12877847 (Invalid argument)
cannot remove id 12910616 (Invalid argument)
cannot remove id 13926425 (Invalid argument)
cannot remove id 13959194 (Invalid argument)
cannot remove id 124649499 (Invalid argument)
cannot remove id 138969117 (Invalid argument)
cannot remove id 146079774 (Invalid argument)

Config #

print OS Version

Sat Apr 29 02:40:14 2023 UTC

Hostname

flea

OS version (uname -a)

Linux flea 2.6.32-642.el6.x86_64 #1 SMP Wed Apr 13 00:51:26 EDT 2016 x86_64 x86_64 x86_64 GNU/Linux

Linux Standard Base and Distribution information (lsb_release -a)

LSB Version: :base-4.0-amd64:base-4.0-noarch:core-4.0-amd64:core-4.0-noarch:graphics-4.0-amd64:graphics-4.0-noarch:printing-4.0-amd64:printing-4.0-noarch Distributor ID: RedHatEnterpriseServer Description: Red Hat Enterprise Linux Server release 6.8 (Santiago) Release: 6.8 Codename: Santiago

RedHat Linux Version (/etc/redhat-release)

Red Hat Enterprise Linux Server release 6.8 (Santiago)

Linux Kernel Version (/proc/version)

Linux version 2.6.32-642.el6.x86_64 (mockbuild@x86-033.build.eng.bos.redhat.com) (gcc version 4.4.7 20120313 (Red Hat 4.4.7-17) (GCC) ) #1 SMP Wed Apr 13 00:51:26 EDT 2016

IP network address information (ip addr show)

1: lo: <LOOPBACK,UP,LOWER_UP> mtu 65536 qdisc noqueue state UNKNOWN link/loopback 00:00:00:00:00:00 brd 00:00:00:00:00:00 inet 127.0.0.1/8 scope host lo inet6 ::1/128 scope host valid_lft forever preferred_lft forever 2: eth0: <BROADCAST,MULTICAST,UP,LOWER_UP> mtu 1500 qdisc mq state UP qlen 1000 link/ether 00:0c:29:7a:35:f2 brd ff:ff:ff:ff:ff:ff inet 10.201.200.79/22 brd 10.201.203.255 scope global eth0 inet6 fe80::20c:29ff:fe7a:35f2/64 scope link valid_lft forever preferred_lft forever 3: virbr0: <BROADCAST,MULTICAST,UP,LOWER_UP> mtu 1500 qdisc noqueue state UNKNOWN link/ether 52:54:00:73:46:ea brd ff:ff:ff:ff:ff:ff inet 192.168.122.1/24 brd 192.168.122.255 scope global virbr0 4: virbr0-nic: <BROADCAST,MULTICAST> mtu 1500 qdisc noop state DOWN qlen 500 link/ether 52:54:00:73:46:ea brd ff:ff:ff:ff:ff:ff

Disk space information (df -k)

Filesystem 1K-blocks Used Available Use% Mounted on /dev/mapper/vg_flea-lv_root 14225776 7627980 5868504 57% / /dev/sdb1 41153840 37618540 1438152 97% /tao_builds

Processor info

model name : Intel(R) Xeon(R) CPU X5550 @ 2.67GHz model name : Intel(R) Xeon(R) CPU X5550 @ 2.67GHz

Memory info

MemTotal: 3924416 kB

Approximate BogoMIPS (larger means faster)

14913.0808888889

print Environment Variables

Sat Apr 29 02:40:14 2023 UTC

Environment:

ACE_ROOT=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE ACE_TEST_LOG_STUCK_STACKS=1 ACE_WORKSPACE=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0 AUTOBUILD_ROOT=/distcomp/nightly/autobuild BOOST_ROOT=/usr BUILD_CAUSE=UPSTREAMTRIGGER BUILD_CAUSE_UPSTREAMTRIGGER=true BUILD_DISPLAY_NAME=#1587 BUILD_ID=1587 BUILD_NUMBER=1587 BUILD_TAG=jenkins-dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1-1587 BUILD_URL=http://jenkins.ociweb.com:8080/job/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/1587/ CI=true DDS_ROOT=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS EXECUTOR_NUMBER=0 GIT_BRANCH=origin/master GIT_CHECKOUT_DIR=OpenDDS GIT_COMMIT=da2160f02edad2383da21fd7c232b532dc9f5d2e GIT_PREVIOUS_COMMIT=da2160f02edad2383da21fd7c232b532dc9f5d2e GIT_PREVIOUS_SUCCESSFUL_COMMIT=da2160f02edad2383da21fd7c232b532dc9f5d2e GIT_URL=git://git.ociweb.com/git/opendds/OpenDDS.git HOME=/home/jenkins HUDSON_COOKIE=dd7a283c-17f7-449f-9e29-55622c2ddd6a HUDSON_HOME=/tao_builds/jenkins HUDSON_SERVER_COOKIE=d5d63b175c08171a HUDSON_URL=http://jenkins.ociweb.com:8080/ JAVA_HOME=/usr JENKINS_HOME=/tao_builds/jenkins JENKINS_SERVER_COOKIE=d5d63b175c08171a JENKINS_URL=http://jenkins.ociweb.com:8080/ JOB_BASE_NAME=dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1 JOB_DISPLAY_URL=http://jenkins.ociweb.com:8080/job/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/display/redirect JOB_NAME=dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1 JOB_URL=http://jenkins.ociweb.com:8080/job/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/ LD_LIBRARY_PATH=/usr/sfw/lib:/usr/local/lib:/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/lib:/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/lib: LOGNAME=jenkins MAIL=/var/mail/jenkins MPC_ROOT=/tao_builds/jenkins/workspace/mpc_flea NIGHTLY_ROOT=/distcomp/nightly NODE_LABELS=boost flea git jdk16 linux NODE_NAME=flea OPENDDS_RTPS_DEFAULT_D0=132 PATH=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin:/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin:/usr/local/bin:/usr/bin:/bin:/usr/sbin:/sbin:/usr/ccs/bin: PWD=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1 ROOT_BUILD_CAUSE=TIMERTRIGGER ROOT_BUILD_CAUSE_TIMERTRIGGER=true RUN_ARTIFACTS_DISPLAY_URL=http://jenkins.ociweb.com:8080/job/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/1587/display/redirect?page=artifacts RUN_CHANGES_DISPLAY_URL=http://jenkins.ociweb.com:8080/job/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/1587/display/redirect?page=changes RUN_DISPLAY_URL=http://jenkins.ociweb.com:8080/job/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/1587/display/redirect RUN_TESTS_DISPLAY_URL=http://jenkins.ociweb.com:8080/job/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/1587/display/redirect?page=tests SHELL=/bin/bash SHLVL=1 SSH_CLIENT=10.201.200.26 51908 22 SSH_CONNECTION=10.201.200.26 51908 10.201.200.79 22 TAO_ROOT=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/TAO USER=jenkins WORKSPACE=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1 WORKSPACE_TMP=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1@tmp

PrintACEConfig

Sat Apr 29 02:40:14 2023 UTC

XML Config file: /tmp/c3h7o9He1d.xml
================ Autobuild ChangeLog ================
================ ACE OCIChangeLog ================
Fri Feb  3 16:15:46 UTC 2023  Adam Mitz  <mitza@objectcomputing.com>
================ TAO OCIChangeLog ================
Fri Feb  3 16:15:46 UTC 2023  Adam Mitz  <mitza@objectcomputing.com>
================ config.h ================
#include "ace/config-linux.h"
================ default.features ================
ipv6=1
================ platform_macros.GNU ================
debug=1
optimize=0
ipv6=1

include $(ACE_ROOT)/include/makeinclude/platform_linux.GNU

print make Version

Sat Apr 29 02:40:15 2023 UTC

Make version (make -v)

GNU Make 3.81 Copyright (C) 2006 Free Software Foundation, Inc. This is free software; see the source for copying conditions. There is NO warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. This program built for x86_64-redhat-linux-gnu

CMake Version ("/tao_builds/taoadmin/cmake/bin/cmake" --version)

Sat Apr 29 02:40:15 2023 UTC

cmake version 3.15.1

CMake suite maintained and supported by Kitware (kitware.com/cmake).

check compiler gcc

Sat Apr 29 02:40:15 2023 UTC

================ Compiler version ================
Using built-in specs.
Target: x86_64-redhat-linux
Configured with: ../configure --prefix=/usr --mandir=/usr/share/man --infodir=/usr/share/info --with-bugurl=http://bugzilla.redhat.com/bugzilla --enable-bootstrap --enable-shared --enable-threads=posix --enable-checking=release --with-system-zlib --enable-__cxa_atexit --disable-libunwind-exceptions --enable-gnu-unique-object --enable-languages=c,c++,objc,obj-c++,java,fortran,ada --enable-java-awt=gtk --disable-dssi --with-java-home=/usr/lib/jvm/java-1.5.0-gcj-1.5.0.0/jre --enable-libgcj-multifile --enable-java-maintainer-mode --with-ecj-jar=/usr/share/java/eclipse-ecj.jar --disable-libjava-multilib --with-ppl --with-cloog --with-tune=generic --with-arch_32=i686 --build=x86_64-redhat-linux
Thread model: posix
gcc version 4.4.7 20120313 (Red Hat 4.4.7-17) (GCC) 
GNU ld version 2.20.51.0.2-5.44.el6 20100205

PrintAutobuildConfig

Sat Apr 29 02:40:15 2023 UTC

================ Autobuild file /tmp/c3h7o9He1d.xml ================
<autobuild>
  <configuration>
    <environment name="ACE_ROOT"        value="/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE"/>
    <variable name="cmake_command" value="/tao_builds/taoadmin/cmake/bin/cmake"/>
    <environment name="DDS_ROOT"        value="/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS"/>
    <environment name="LD_LIBRARY_PATH" value="/usr/sfw/lib:/usr/local/lib:/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/lib:/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/lib:"/>
    <environment name="OPENDDS_RTPS_DEFAULT_D0" value="132"/>
    <environment name="PATH"            value="/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin:/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin:/usr/local/bin:/usr/bin:/bin:/usr/sbin:/sbin:/usr/ccs/bin:"/>
    <environment name="TAO_ROOT"        value="/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/TAO"/>
    <environment name="ACE_TEST_LOG_STUCK_STACKS"  value="1"/>
    <variable    name="build_name"      value="gcc_i1d1o0"/>
    <variable    name="creator"         value="mitza"/>
    <variable    name="log_file"        value="gcc_i1d1o0.log"/>
    <variable    name="log_root"        value="/www-docs/autobuild_logs/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1"/>
    <variable    name="project_root"    value="/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS"/>
    <variable    name="root"            value="/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1"/>
    <variable    name="junit_xml_output" value="Tests"/>
  </configuration>

  <!-- Build preparation -->
  <command name="status"             options="on"/>
  <command name="log"                options="on"/>
  <command name="git"                options="dir=OpenDDS log -1"/>
  <command name="rem_sems"/>
  <command name="file_manipulation"  options="type=create file=setenv.sh">
export ACE_ROOT="${ACE_WORKSPACE}/ACE"
export DDS_ROOT="${WORKSPACE}/OpenDDS"
export LD_LIBRARY_PATH="/usr/sfw/lib:/usr/local/lib:${WORKSPACE}/OpenDDS/lib:${ACE_WORKSPACE}/ACE/lib:"
export MPC_ROOT="/home/taoadmin/MPC"
export PATH="${WORKSPACE}/OpenDDS/bin:${ACE_WORKSPACE}/ACE/bin:/usr/local/bin:/usr/bin:/bin:/usr/sbin:/sbin:/usr/ccs/bin:"
export TAO_ROOT="${ACE_WORKSPACE}/TAO"
export ACE_TEST_LOG_STUCK_STACKS=1
export OPENDDS_RTPS_DEFAULT_D0=132
  </command>
  <command name="file_manipulation"  options="type=create file=OpenDDS/user_macros.GNU">
content_subscription=0
ownership_profile=0
object_model_profile=0
persistence_profile=0
  </command>
  <command name="print_os_version"/>
  <command name="print_env_vars"/>
  <command name="print_ace_config"   options="ACE=OCIChangeLog TAO=OCIChangeLog CIAO=OCIChangeLog XML_URL=https://svn.ociweb.com/viewvc/nightly"/>
  <command name="print_make_version"/>
  <command name="print_cmake_version"/>
  <command name="check_compiler"     options="gcc"/>
  <command name="print_autobuild_config"/>
  <command name="generate_workspace" options="-type gnuace DDS.mwc -features content_subscription=0,ownership_profile=0,object_model_profile=0,persistence_profile=0"/>

  <!-- Build dependencies -->
  <command name="make" options="-s -k -j2 find=*akefile dir=. depend"/>
  <command name="cmake" dir="OpenDDS/tests/googletest">
    <arg name="add_config_args">-Wno-deprecated</arg>
    <arg name="var_CMAKE_INSTALL_PREFIX">install</arg>
    <arg name="var_CMAKE_INSTALL_LIBDIR">lib</arg>
    <arg name="add_build_args">--target install -- -s -k -j2</arg>
  </command>

  <!-- Build targets -->
  <command name="make" options="-s -k -j2 find=*akefile dir=."/>

  <!-- Post build -->
  <command name="auto_run_tests"  options="script_path=tests dir=. -Config DDS_NO_OBJECT_MODEL_PROFILE -Config DDS_NO_OWNERSHIP_PROFILE -Config DDS_NO_PERSISTENCE_PROFILE -Config DDS_NO_CONTENT_SUBSCRIPTION -Config IPV6"/>
  <command name="log"             options="off"/>
  <command name="process_logs"    options="copy=1 prettify=1"/>
  <command name="status"          options="off"/>
</autobuild>

Setup #

Generate Workspaces

Sat Apr 29 02:40:15 2023 UTC

Running: perl "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin/mwc.pl" -type gnuace DDS.mwc -features content_subscription=0,ownership_profile=0,object_model_profile=0,persistence_profile=0
MPC_ROOT was set to /tao_builds/jenkins/workspace/mpc_flea.
Using .../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin/MakeProjectCreator/config/MPC.cfg
CIAO_ROOT was used in the configuration file, but was not defined.
DANCE_ROOT was used in the configuration file, but was not defined.
Generating 'gnuace' output using DDS.mwc
Skipping ishapes (ishapes.mpc); it requires qt5.
Skipping Bench_dashboard_summarizer (Bench_dashboard_summarizer.mpc); it avoids no_cxx11.
Skipping Bench_Common (Bench_Common.mpc); it avoids no_cxx11.
Skipping Bench_udp_latency (Bench_udp_latency.mpc); it avoids no_cxx11.
Skipping Bench_Idl (Bench_Idl.mpc); it avoids no_cxx11.
Skipping Bench_Worker (Bench_Worker.mpc); it avoids no_cxx11.
Skipping Unit_Tests_ScenarioAllocationTest (unit_tests.mpc); it avoids no_rapidjson.
Skipping Bench_test_controller (Bench_test_controller.mpc); it avoids no_cxx11.
Skipping Bench_Builder (Bench_Builder.mpc); it avoids no_cxx11.
Skipping Bench_tcp_latency (Bench_tcp_latency.mpc); it avoids no_cxx11.
Skipping Bench_report_parser (Bench_report_parser.mpc); it avoids no_cxx11.
Skipping Bench_node_controller (Bench_node_controller.mpc); it avoids no_cxx11.
Skipping dissector (dissector.mpc); it requires wireshark_any.
Skipping RtpsRelay (RtpsRelay.mpc); it requires xerces.
Skipping ExcelRTD (ExcelRTD.mpc); it requires qt5.
Skipping RtpsRelayLib (RtpsRelayLib.mpc); it avoids no_cxx11.
Skipping Monitor_App (Monitor.mpc); it requires qt5.
Skipping OpenDDS_Security (DdsSecurity.mpc); it requires xerces.
Skipping OpenDDS_XML_QOS_XSC_Generation (qos_xml_handler.mpc); it requires xsc.
Skipping OpenDDS_QOS_XML_XSC_Handler (qos_xml_handler.mpc); it requires xerces.
Skipping OpenDDS_Corba (OpenDDS_Corba.mpc); it avoids no_opendds_safety_profile.
Skipping DDS_Ownership_Idl (Ownership.mpc); it requires ownership_profile.
Skipping DDS_Ownership_Publisher (Ownership.mpc); it requires ownership_profile.
Skipping DDS_Ownership_Subscriber (Ownership.mpc); it requires ownership_profile.
Skipping MetaStructTest (MetaStructTest.mpc); it requires content_subscription.
Skipping ParticipantLocationTopic (ParticipantLocation.mpc); it requires xerces.
Skipping UnionTopic (UnionTopic.mpc); it requires ownership_profile.
Skipping Presentation (Presentation.mpc); it requires object_model_profile.
Skipping DDS_Cxx11_Messenger_Subscriber (DDS_Cxx11_Messenger_Subscriber.mpc); it avoids no_cxx11.
Skipping DDS_Cxx11_Messenger_Idl (DDS_Cxx11_Messenger_Idl.mpc); it avoids no_cxx11.
Skipping DDS_Cxx11_Messenger_Publisher (DDS_Cxx11_Messenger_Publisher.mpc); it avoids no_cxx11.
Skipping SubscriberCycle_Subscriber (SubscriberCycle.mpc); it requires content_subscription.
Skipping TopicExpressionTest (TopicExpressionTest.mpc); it requires content_subscription.
Skipping FilterExpressionTest (FilterExpressionTest.mpc); it requires content_subscription.
Skipping DDS_SampleLost_Publisher (SampleLost.mpc); it requires persistence_profile.
Skipping DDS_SampleLost_Subscriber (SampleLost.mpc); it requires persistence_profile.
Skipping keywords_cpp11 (keywords_cpp11.mpc); it avoids no_cxx11.
Skipping anonymous_types_cpp11 (anonymous_types_cpp11.mpc); it avoids no_cxx11.
Skipping cxx11_idl_test2_lib (cxx11_idl_test2_lib.mpc); it avoids no_cxx11.
Skipping cxx11_idl_test3_lib (cxx11_idl_test3_lib.mpc); it avoids no_cxx11.
Skipping cxx11_idl_test3_main (cxx11_idl_test3_main.mpc); it avoids no_cxx11.
Skipping cxx11_idl_test1_lib (cxx11_idl_test1_lib.mpc); it avoids no_cxx11.
Skipping cxx11_idl_test_nested_types_lib (cxx11_idl_test_nested_types_lib.mpc); it avoids no_cxx11.
Skipping cxx11_idl_test1_main (cxx11_idl_test1_main.mpc); it avoids no_cxx11.
Skipping Compiler_Typecode_C++11 (typecode_C++11.mpc); it avoids no_cxx11.
Skipping VreadVwriteTest (VreadVwriteTest.mpc); it avoids no_rapidjson.
Skipping Compiler_TryConstruct_C++11 (TryConstruct_C++11.mpc); it avoids no_cxx11.
Skipping union_defaults_C++11 (union_defaults_C++11.mpc); it avoids no_cxx11.
Skipping underscore_fields (underscore_fields.mpc); it avoids no_cxx11.
Skipping explicit_ints_cpp11 (explicit_ints_cpp11.mpc); it avoids no_cxx11.
Skipping char_literals_cpp11 (char_literals_cpp11.mpc); it avoids no_cxx11.
Skipping DDS_GroupPresentation_Idl (GroupPresentation.mpc); it requires object_model_profile.
Skipping DDS_GroupPresentation_Publisher (GroupPresentation.mpc); it requires object_model_profile.
Skipping DDS_GroupPresentation_Subscriber (GroupPresentation.mpc); it requires object_model_profile.
Skipping DDS_PersistentDurability_Publisher (PersistentDurability.mpc); it requires persistence_profile.
Skipping DDS_PersistentDurability_Subscriber (PersistentDurability.mpc); it requires persistence_profile.
Skipping StaticDiscoveryTest (StaticDiscoveryTest.mpc); it requires ownership_profile.
Skipping QueryCondition (QueryCondition.mpc); it requires content_subscription.
Skipping ContentFilteredTopic (ContentFilteredTopic.mpc); it requires content_subscription.
Skipping DDS_Inconsistent_Qos_Inconsistent_Qos (Inconsistent_Qos.mpc); it requires xerces.
Skipping DDS_TransientDurability_Publisher (TransientDurability.mpc); it requires persistence_profile.
Skipping DDS_TransientDurability_Subscriber (TransientDurability.mpc); it requires persistence_profile.
Skipping RtpsRelayPublisher (Smoke.mpc); it avoids no_cxx11.
Skipping RtpsRelaySubscriber (Smoke.mpc); it avoids no_cxx11.
Skipping RtpsRelayMonitor (Smoke.mpc); it avoids no_cxx11.
Skipping RtpsRelayMetaChecker (Smoke.mpc); it avoids no_cxx11.
Skipping StunClient (STUN.mpc); it avoids no_cxx11.
Skipping MultiTopicTest_Classic (MultiTopicTest_Classic.mpc); it requires content_subscription.
Skipping MultiTopicTest_Cpp11 (MultiTopicTest_Cpp11.mpc); it requires content_subscription.
Skipping qos_dumpXMLString (qos_dumpXMLString.mpc); it requires xerces.
Skipping qos_dump (qos_dump.mpc); it requires xerces.
Skipping Security_TopicWithoutPermissions (TopicWithoutPermissions.mpc); it requires xerces.
Skipping CheckInstance (CheckInstance.mpc); it requires xerces.
Skipping Security_IDL_Serialization (IDL_Serialization.mpc); it avoids no_opendds_security.
Skipping DDS_SecurityAttributesTest_Idl (SecurityAttributesTest.mpc); it avoids no_opendds_security.
Skipping DDS_SecurityAttributesTest_Publisher (SecurityAttributesTest.mpc); it requires xerces.
Skipping DDS_SecurityAttributesTest_Subscriber (SecurityAttributesTest.mpc); it requires xerces.
Skipping gov_gen (SecurityAttributesTest.mpc); it requires xerces.
Skipping ConcurrentAuthLimit (ConcurrentAuthLimit.mpc); it requires xerces.
Skipping SingleParticipantWithSecurity (SingleParticipantWithSecurity.mpc); it requires xerces.
Skipping idl2jni_corba (idl2jni_corba.mpc); it requires java.
Skipping idl2jni_codegen (idl2jni_codegen.mpc); it requires java.
Skipping idl2jni_runtime (idl2jni_runtime.mpc); it requires java.
Skipping idl2jni_test_union (idl2jni_test_union.mpc); it requires java.
Skipping idl2jni_test_explicit_ints (idl2jni_test_explicit_ints.mpc); it requires java.
Skipping idl2jni_test_simple (idl2jni_test_simple.mpc); it requires java.
Skipping dcps_java (dcps_java.mpc); it requires java.
Skipping participant_location_test (participant_location_test.mpc); it requires java.
Skipping multirepo_test (multirepo_test.mpc); it requires java.
Skipping complex_idl_test (complex_idl_test.mpc); it requires java.
Skipping builtintopics_test (builtintopics_test.mpc); it requires java.
Skipping publisher_idl_test (publisher_idl_test.mpc); it requires java.
Skipping java_both_test (java_both_test.mpc); it requires java.
Skipping subscriber_idl_test (subscriber_idl_test.mpc); it requires java.
Skipping messenger_idl_test (messenger_idl_test.mpc); it requires java.
Skipping transport_config (transport_config.mpc); it requires java.
Skipping java_vread_vwrite_test (vread_vwrite_test.mpc); it requires java.
Skipping internal_thread_status_test (internal_thread_status_test.mpc); it requires java.
Skipping hello_java_client (hello_java_client.mpc); it requires java.
Skipping two_idl (two_idl.mpc); it requires java.
Skipping zerocopy_java_test (zerocopy.mpc); it requires java.
Skipping tao_java (tao_java.mpc); it requires java.
Generation Time: 2m 17s

Compile #

make

Sat Apr 29 02:42:33 2023 UTC

Pattern: *akefile
Running: make -f GNUmakefile -s -k -j2   depend
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Info.idl.
GNUmakefile idl dependencies unchanged for DataReaderRemote.idl.
GNUmakefile idl dependencies unchanged for DataWriterRemote.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for DdsDcpsGuid.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsCore.idl.
GNUmakefile idl dependencies unchanged for OpenddsDcpsExt.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsInfoUtils.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsConditionSeq.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsDataReaderSeq.idl.
GNUmakefile idl dependencies unchanged for DdsSecurityParams.idl.
GNUmakefile idl dependencies unchanged for DdsSecurityCore.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsGuidTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsCoreTypeSupport.idl.
GNUmakefile idl dependencies unchanged for OpenddsDcpsExtTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsInfrastructureTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for DdsDcps.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsDomain.idl.
GNUmakefile idl dependencies unchanged for RtpsCore.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsInfrastructure.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsPublication.idl.
GNUmakefile idl dependencies unchanged for RtpsSecurity.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsSubscription.idl.
GNUmakefile idl dependencies unchanged for RtpsRpc.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsSubscriptionExt.idl.
GNUmakefile idl dependencies unchanged for TypeLookup.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsTopic.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsTypeSupportExt.idl.
GNUmakefile idl dependencies unchanged for DdsDynamicDataSeq.idl.
GNUmakefile idl dependencies unchanged for DdsDynamicData.idl.
GNUmakefile idl dependencies unchanged for DdsDynamicTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FaceMessageTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Federator.idl.
GNUmakefile idl dependencies unchanged for FederatorTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FileInfoTypeSupport.idl.
GNUmakefile idl dependencies unchanged for monitor.idl.
GNUmakefile idl dependencies unchanged for FileInfo.idl.
GNUmakefile idl dependencies unchanged for monitorTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for StockQuoterTypeSupport.idl.
GNUmakefile idl dependencies unchanged for StockQuoter.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Sync.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for SyncExt.idl.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for SyncExt.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for SyncExt.idl.
GNUmakefile idl dependencies unchanged for SyncExt.idl.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for PTDefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for PTDef.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Test.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for DDSPerfTestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DDSPerfTest.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for testMessageTypeSupport.idl.
GNUmakefile idl dependencies unchanged for testMessage.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for testMessageTypeSupport.idl.
GNUmakefile idl dependencies unchanged for testMessage.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for BuilderTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Builder.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for ZeroEnumTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ZeroEnum.idl.
GNUmakefile idl dependencies unchanged for Extensibility.idl.
GNUmakefile idl dependencies unchanged for ExtensibilityTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TryConstructBaseTypes.idl.
GNUmakefile idl dependencies unchanged for NestedTrimStruct.idl.
GNUmakefile idl dependencies unchanged for AnonTypesTypeSupport.idl.
GNUmakefile idl dependencies unchanged for TryConstructTypeSupport.idl.
GNUmakefile idl dependencies unchanged for TryConstruct.idl.
GNUmakefile idl dependencies unchanged for AnonTypes.idl.
GNUmakefile idl dependencies unchanged for NestedTrimStructTypeSupport.idl.
GNUmakefile idl dependencies unchanged for TryConstructBaseTypesTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for testTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ../test.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for testTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ../test.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for testTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ../test.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile idl dependencies unchanged for NamespacesTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Namespaces.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for BazDefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for BazDef.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile idl dependencies unchanged for FooDef2TypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDef2.idl.
GNUmakefile idl dependencies unchanged for FooDef3.idl.
GNUmakefile idl dependencies unchanged for SharedTypes.idl.
GNUmakefile idl dependencies unchanged for SharedTypesTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDef3TypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for NestedTypesTest.idl.
GNUmakefile idl dependencies unchanged for NestedTypesTestTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for ../is_topic_type.idl.
GNUmakefile idl dependencies unchanged for is_topic_typeTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ../default_nested_is_topic_type.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for default_nested_is_topic_typeTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ../is_topic_type.idl.
GNUmakefile idl dependencies unchanged for is_topic_typeTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ../default_nested_is_topic_type.idl.
GNUmakefile idl dependencies unchanged for default_nested_is_topic_typeTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for StructTest.idl.
GNUmakefile idl dependencies unchanged for UnionTestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for StructTestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for UnionTest.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for key_annotation.idl.
GNUmakefile idl dependencies unchanged for key_annotationTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Nsc.idl.
GNUmakefile idl dependencies unchanged for NscTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for testTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ../test.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for sequenceTypeSupport.idl.
GNUmakefile idl dependencies unchanged for sequence.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for typecodeTypeSupport.idl.
GNUmakefile idl dependencies unchanged for typecode.idl.
GNUmakefile idl dependencies unchanged for TypeObjectTestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for TypeObjectTest.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for union_defaultsTypeSupport.idl.
GNUmakefile idl dependencies unchanged for union_defaults.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for appendable_mixed.idl.
GNUmakefile idl dependencies unchanged for mutable_types2TypeSupport.idl.
GNUmakefile idl dependencies unchanged for mutable_typesTypeSupport.idl.
GNUmakefile idl dependencies unchanged for xcdrbasetypes.idl.
GNUmakefile idl dependencies unchanged for keyonly.idl.
GNUmakefile idl dependencies unchanged for appendable_mixedTypeSupport.idl.
GNUmakefile idl dependencies unchanged for keyonlyTypeSupport.idl.
GNUmakefile idl dependencies unchanged for xcdrbasetypesTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for mutable_types.idl.
GNUmakefile idl dependencies unchanged for mutable_types2.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for Messenger2TypeSupport.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Messenger2.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for DataRepresentation.idl.
GNUmakefile idl dependencies unchanged for FooTypeTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DataRepresentationTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooType.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for DelayedDurableTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DelayedDurable.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TestFramework.idl.
GNUmakefile idl dependencies unchanged for TestFrameworkTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for HelloWorld.idl.
GNUmakefile idl dependencies unchanged for HelloWorldTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for HelloWorld.idl.
GNUmakefile idl dependencies unchanged for HelloWorldTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for DynamicResponseNotCompleteTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DynamicResponseTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DynamicResponse.idl.
GNUmakefile idl dependencies unchanged for DynamicResponseNotComplete.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for dynamic.idl.
GNUmakefile idl dependencies unchanged for dynamicTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for HelloWorld.idl.
GNUmakefile idl dependencies unchanged for HelloWorld.idl.
GNUmakefile idl dependencies unchanged for HelloWorldTypeSupport.idl.
GNUmakefile idl dependencies unchanged for HelloWorldTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for NoKeyData.idl.
GNUmakefile idl dependencies unchanged for NoKeyDataTypeSupport.idl.
GNUmakefile idl dependencies unchanged for KeyedData.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for KeyedDataTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for BoundTest2TypeSupport.idl.
GNUmakefile idl dependencies unchanged for KeyTest2TypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for BoundTestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for KeyTestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for KeyTest.idl.
GNUmakefile idl dependencies unchanged for KeyTest2.idl.
GNUmakefile idl dependencies unchanged for BoundTest.idl.
GNUmakefile idl dependencies unchanged for BoundTest2.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Satellite.idl.
GNUmakefile idl dependencies unchanged for SatelliteTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Foo4Def.idl.
GNUmakefile idl dependencies unchanged for Foo1DefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Foo4DefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Foo1Def.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Foo3Def.idl.
GNUmakefile idl dependencies unchanged for Foo2Def.idl.
GNUmakefile idl dependencies unchanged for Foo3DefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Foo2DefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Foo1DefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Foo1Def.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for MyTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TestMsg.idl.
GNUmakefile idl dependencies unchanged for TestMsgTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Test.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Test.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for GeneratedCode/MessengerTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Reliability.idl.
GNUmakefile idl dependencies unchanged for ReliabilityTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TestMsg.idl.
GNUmakefile idl dependencies unchanged for TestMsgTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for SkipSerializeTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for SkipSerialize.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TestMsg.idl.
GNUmakefile idl dependencies unchanged for TestMsgTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TypeSupportPlugin.idl.
GNUmakefile idl dependencies unchanged for TypeSupportPluginTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Test.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Simple.idl.
GNUmakefile idl dependencies unchanged for SimpleTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Test.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Simple.idl.
GNUmakefile idl dependencies unchanged for SimpleTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Common.idl.
GNUmakefile idl dependencies unchanged for Subscriber.idl.
GNUmakefile idl dependencies unchanged for CommonTypeSupport.idl.
GNUmakefile idl dependencies unchanged for SubscriberTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Common.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for PublisherNonMutableStructs.idl.
GNUmakefile idl dependencies unchanged for PublisherMutableStructs.idl.
GNUmakefile idl dependencies unchanged for PublisherUnions.idl.
GNUmakefile idl dependencies unchanged for CommonTypeSupport.idl.
GNUmakefile idl dependencies unchanged for PublisherNonMutableStructsTypeSupport.idl.
GNUmakefile idl dependencies unchanged for PublisherMutableStructsTypeSupport.idl.
GNUmakefile idl dependencies unchanged for PublisherUnionsTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Simple.idl.
GNUmakefile idl dependencies unchanged for SimpleTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for ZeroEnumTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ZeroEnum.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for ZeroEnumTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ZeroEnum.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FaceMessageTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for NamespacesTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for BazDefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooDef3TypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDef2TypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for SharedTypesTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FixedTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for topTypeSupport.idl.
GNUmakefile idl dependencies unchanged for struct_onlyTypeSupport.idl.
GNUmakefile idl dependencies unchanged for typedef_onlyTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for SampleModelTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FaceHeaderTestMsgTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FaceMessageTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FaceMessageTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FaceMessageTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for transmission_specsTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for ecuTypeSupport.idl.
GNUmakefile idl dependencies unchanged for engine_specsTypeSupport.idl.
GNUmakefile idl dependencies unchanged for fuel_statsTypeSupport.idl.
GNUmakefile idl dependencies unchanged for transmission_statesTypeSupport.idl.
GNUmakefile idl dependencies unchanged for engine/engine_specs.idl.
GNUmakefile idl dependencies unchanged for engine/engine_stats/fuel_stats.idl.
GNUmakefile idl dependencies unchanged for transmission/transmission_specs.idl.
GNUmakefile idl dependencies unchanged for transmission/transmission_states.idl.
GNUmakefile idl dependencies unchanged for ecu.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for CompleteToMinimalTypeObjectTypeSupport.idl.
GNUmakefile idl dependencies unchanged for XTypesUtilsTypeSupport.idl.
GNUmakefile idl dependencies unchanged for CompleteToDynamicTypeTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DynamicDataAdapterTypeSupport.idl.
GNUmakefile idl dependencies unchanged for key_annotationTypeSupport.idl.
GNUmakefile idl dependencies unchanged for dds/DCPS/XTypes/CompleteToDynamicType.idl.
GNUmakefile idl dependencies unchanged for dds/DCPS/XTypes/CompleteToMinimalTypeObject.idl.
GNUmakefile idl dependencies unchanged for dds/DCPS/XTypes/DynamicDataXcdrReadImpl.idl.
GNUmakefile idl dependencies unchanged for dds/DCPS/XTypes/DynamicDataImpl.idl.
GNUmakefile idl dependencies unchanged for dds/DCPS/XTypes/XTypesUtils.idl.
GNUmakefile idl dependencies unchanged for dds/DCPS/XTypes/DynamicDataAdapter.idl.
GNUmakefile idl dependencies unchanged for ../DCPS/Compiler/key_annotation/key_annotation.idl.

cmake

Sat Apr 29 02:44:24 2023 UTC

-- The C compiler identification is GNU 4.4.7
-- The CXX compiler identification is GNU 4.4.7
-- Check for working C compiler: /usr/bin/cc
-- Check for working C compiler: /usr/bin/cc -- works
-- Detecting C compiler ABI info
-- Detecting C compiler ABI info - done
-- Detecting C compile features
-- Detecting C compile features - done
-- Check for working CXX compiler: /usr/bin/c++
-- Check for working CXX compiler: /usr/bin/c++ -- works
-- Detecting CXX compiler ABI info
-- Detecting CXX compiler ABI info - done
-- Detecting CXX compile features
-- Detecting CXX compile features - done
-- Found PythonInterp: /usr/bin/python (found version "2.6.6") 
-- Looking for pthread.h
-- Looking for pthread.h - found
-- Performing Test CMAKE_HAVE_LIBC_PTHREAD
-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Failed
-- Check if compiler accepts -pthread
-- Check if compiler accepts -pthread - yes
-- Found Threads: TRUE  
-- Configuring done
-- Generating done
-- Build files have been written to: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build
Scanning dependencies of target gtest
[ 12%] Building CXX object googlemock/gtest/CMakeFiles/gtest.dir/src/gtest-all.cc.o
[ 25%] Linking CXX static library libgtest.a
[ 25%] Built target gtest
Scanning dependencies of target gtest_main
[ 37%] Building CXX object googlemock/gtest/CMakeFiles/gtest_main.dir/src/gtest_main.cc.o
Scanning dependencies of target gmock
[ 50%] Building CXX object googlemock/CMakeFiles/gmock.dir/src/gmock-all.cc.o
[ 62%] Linking CXX static library libgtest_main.a
[ 62%] Built target gtest_main
[ 75%] Linking CXX static library libgmock.a
[ 75%] Built target gmock
Scanning dependencies of target gmock_main
[ 87%] Building CXX object googlemock/CMakeFiles/gmock_main.dir/src/gmock_main.cc.o
[100%] Linking CXX static library libgmock_main.a
[100%] Built target gmock_main
Install the project...
-- Install configuration: ""
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-matchers.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-function-mockers.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-cardinalities.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-actions.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/gmock-generated-internal-utils.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/gmock-internal-utils.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/gmock-port.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/gmock-generated-internal-utils.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/custom
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/custom/gmock-generated-actions.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/custom/README.md
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/custom/gmock-port.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/custom/gmock-generated-actions.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/custom/gmock-matchers.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-more-matchers.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-spec-builders.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-more-actions.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-nice-strict.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-actions.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-nice-strict.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-matchers.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-actions.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-function-mockers.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-matchers.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/libgmock.a
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/libgmock_main.a
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/pkgconfig/gmock.pc
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/pkgconfig/gmock_main.pc
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/cmake/GTest/GTestTargets.cmake
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/cmake/GTest/GTestTargets-noconfig.cmake
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/cmake/GTest/GTestConfigVersion.cmake
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/cmake/GTest/GTestConfig.cmake
-- Up-to-date: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-message.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-param-test.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-spi.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-printers.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-port.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-tuple.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-type-util.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-death-test-internal.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-tuple.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-port-arch.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-internal.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-param-util-generated.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-param-util.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-linked_ptr.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-filepath.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-string.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/custom
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/custom/gtest-port.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/custom/README.md
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/custom/gtest-printers.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/custom/gtest.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-param-util-generated.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-type-util.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest_pred_impl.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest_prod.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-param-test.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-test-part.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-death-test.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-typed-test.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/libgtest.a
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/libgtest_main.a
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/pkgconfig/gtest.pc
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/pkgconfig/gtest_main.pc

make

Sat Apr 29 02:44:32 2023 UTC

Pattern: *akefile
Running: make -f GNUmakefile -s -k -j2  

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_IOGR_Imr/GNUmakefile.DDS_Messenger_IOGR_Imr_Aggregator #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/GNUmakefile.OpenDDS_Util #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/Sync/GNUmakefile.Sync_Idl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/SimpleLatency/raw_tcp/publisher/GNUmakefile.DCPS_Perf_Raw_Tcp_Latency_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/SimpleLatency/raw_tcp/subscriber/GNUmakefile.DCPS_Perf_Raw_Tcp_Latency_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/TCPProfilingTest/raw_tcp/publisher/GNUmakefile.DCPS_Perf_Raw_Tcp_Publisher #

ln -s libOpenDDS_Util.so.3.25.0-dev libOpenDDS_Util.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/TCPProfilingTest/raw_tcp/subscriber/GNUmakefile.DCPS_Perf_Raw_Tcp_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TcpReconnect/GNUmakefile.stub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/idl/GNUmakefile.opendds_idl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/Sync/GNUmakefile.Sync_ServerLib #

ln -s libSyncServer.so.3.25.0-dev libSyncServer.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/Sync/GNUmakefile.Sync_ClientLib #

ln -s libSyncClient.so.3.25.0-dev libSyncClient.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/Sync/GNUmakefile.Sync_Server #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/GNUmakefile.OpenDDS_Dcps #

ln -s libOpenDDS_Dcps.so.3.25.0-dev libOpenDDS_Dcps.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger.minimal/GNUmakefile.MessengerMinimal_Idl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/modeling/codegen/model/GNUmakefile.Model_Lib #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/transport/tcp/GNUmakefile.OpenDDS_Tcp #

ln -s libOpenDDS_Model.so.3.25.0-dev libOpenDDS_Model.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger/GNUmakefile.Messenger_Idl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/RTPS/GNUmakefile.OpenDDS_Rtps #

ln -s libOpenDDS_Tcp.so.3.25.0-dev libOpenDDS_Tcp.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger_ZeroCopy/GNUmakefile.Messenger_ZeroCopy_Idl #

ln -s libMessenger_ZeroCopy_Idl.so.3.25.0-dev libMessenger_ZeroCopy_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/FACE/GNUmakefile.OpenDDS_FACE #

ln -s libOpenDDS_FACE.so.3.25.0-dev libOpenDDS_FACE.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/transport/multicast/GNUmakefile.OpenDDS_Multicast #

ln -s libOpenDDS_Rtps.so.3.25.0-dev libOpenDDS_Rtps.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/transport/shmem/GNUmakefile.OpenDDS_Shmem #

ln -s libOpenDDS_Multicast.so.3.25.0-dev libOpenDDS_Multicast.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/transport/udp/GNUmakefile.OpenDDS_Udp #

ln -s libOpenDDS_Shmem.so.3.25.0-dev libOpenDDS_Shmem.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/monitor/GNUmakefile.OpenDDS_monitor #

ln -s libOpenDDS_Udp.so.3.25.0-dev libOpenDDS_Udp.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/IntroductionToOpenDDS/GNUmakefile.StockQuoter_Common #

ln -s libStockQuoterCommon.so.3.25.0-dev libStockQuoterCommon.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_IOGR_Imr/GNUmakefile.DDS_Messenger_IOGR_Imr_Idl #

ln -s libDDS_Messenger_IOGR_Imr_Idl.so.3.25.0-dev libDDS_Messenger_IOGR_Imr_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_Imr/GNUmakefile.DDS_Messenger_Imr_Idl #

ln -s libDDS_Messenger_Imr_Idl.so.3.25.0-dev libDDS_Messenger_Imr_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/GNUmakefile.InfoRepo_Population_Idl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/TypeNoKeyBounded/GNUmakefile.DCPS_Perf_TypeNoKeyBounded #

ln -s libOpenDDS_monitor.so.3.25.0-dev libOpenDDS_monitor.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/Priority/GNUmakefile.Perf_Priority_Idl #

ln -s libDCPS_Perf_TypeNoKeyBounded.so.3.25.0-dev libDCPS_Perf_TypeNoKeyBounded.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/SimpleLatency/GNUmakefile.SimpleLatency_Idl #

ln -s libPerf_Priority_Idl.so.3.25.0-dev libPerf_Priority_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/bench/builder_idl/GNUmakefile.Bench_Builder_Idl #

ln -s libSimpleLatency_Idl.so.3.25.0-dev libSimpleLatency_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/AddressLookup/GNUmakefile.AddressLookup #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooType4/GNUmakefile.DcpsFooType4 #

ln -s libDcpsFooType4.so.3.25.0-dev libDcpsFooType4.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConsolidatedMessengerIdl/GNUmakefile.ConsolidatedMessengerIdl #

ln -s libBench_Builder_Idl.so.3.25.0-dev libBench_Builder_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/common/GNUmakefile.common #

ln -s libcommon.so.3.25.0-dev libcommon.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/TryConstruct/GNUmakefile.Compiler_TryConstruct #

ln -s libConsolidatedMessengerIdl.so.3.25.0-dev libConsolidatedMessengerIdl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/XtypesExtensibility/GNUmakefile.Compiler_XtypesExtensibility #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/anonymous_types/classic/GNUmakefile.anonymous_types_classic #

ln -s libanonymous_types_classic.so.3.25.0-dev libanonymous_types_classic.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/char_literals/classic/GNUmakefile.char_literals_classic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/explicit_ints/classic/GNUmakefile.explicit_ints_classic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test1_lib/GNUmakefile.idl_test1_lib #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test2_lib/GNUmakefile.idl_test2_lib #

ln -s libidl_test1_lib.so.3.25.0-dev libidl_test1_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test3_lib/GNUmakefile.idl_test3_lib #

ln -s libidl_test2_lib.so.3.25.0-dev libidl_test2_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test_nested_types_lib/GNUmakefile.idl_test_nested_types_lib #

ln -s libidl_test_nested_types_lib.so.3.25.0-dev libidl_test_nested_types_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/is_topic_type/dn/GNUmakefile.is_topic_type_dn #

ln -s libis_topic_type_dn.so.3.25.0-dev libis_topic_type_dn.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/is_topic_type/no_dn/GNUmakefile.is_topic_type_no_dn #

ln -s libidl_test3_lib.so.3.25.0-dev libidl_test3_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/key_annotation/GNUmakefile.key_annotation #

ln -s libis_topic_type_no_dn.so.3.25.0-dev libis_topic_type_no_dn.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/keywords/classic/GNUmakefile.keywords_classic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/typecode/GNUmakefile.Compiler_Typecode #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/typeobject_generator/GNUmakefile.TypeObjectTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/union_defaults/GNUmakefile.union_defaults #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/xcdr/GNUmakefile.xcdr #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CorbaSeq/GNUmakefile.CorbaSeq_Idl #

ln -s libCorbaSeq_Idl.so.3.25.0-dev libCorbaSeq_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooType/GNUmakefile.DcpsFooType #

ln -s libDcpsFooType.so.3.25.0-dev libDcpsFooType.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TestFramework/GNUmakefile.TestFramework #

ln -s libTestFramework.so.3.25.0-dev libTestFramework.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooType5/GNUmakefile.DcpsFooType5 #

ln -s libDcpsFooType5.so.3.25.0-dev libDcpsFooType5.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FileSystemStorage/GNUmakefile.FileSystemStorage #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooType3/GNUmakefile.DcpsFooType3 #

ln -s libDcpsFooType3.so.3.25.0-dev libDcpsFooType3.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooType3Unbounded/GNUmakefile.DcpsFooType3Unbounded #

ln -s libDcpsFooType3Unbounded.so.3.25.0-dev libDcpsFooType3Unbounded.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooType3NoKey/GNUmakefile.DcpsFooType3NoKey #

ln -s libDcpsFooType3NoKey.so.3.25.0-dev libDcpsFooType3NoKey.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/GuardCondition/GNUmakefile.GuardCondition #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Instances/GNUmakefile.DDS_Instances_Idl #

ln -s libDDS_Instances_Idl.so.3.25.0-dev libDDS_Instances_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/Utils/GNUmakefile.TestUtils #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/KeyTest/GNUmakefile.DDS_KeyTest_Idl #

ln -s libTestUtils.so.3.25.0-dev libTestUtils.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/KeyTest/GNUmakefile.KeyTest_MD5 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LargeSample/GNUmakefile.DDS_LargeSample_Idl #

ln -s libDDS_LargeSample_Idl.so.3.25.0-dev libDDS_LargeSample_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessKeepAliveTest/GNUmakefile.DDS_LivelinessKeepAliveTest_Idl #

ln -s libDDS_LivelinessKeepAliveTest_Idl.so.3.25.0-dev libDDS_LivelinessKeepAliveTest_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/GNUmakefile.DDS_ManyTopicMultiProcess_Idl #

ln -s libDDS_ManyTopicMultiProcess_Idl.so.3.25.0-dev libDDS_ManyTopicMultiProcess_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTypes/GNUmakefile.ManyTopicTypes #

ln -s libManyTopicTypes.so.3.25.0-dev libManyTopicTypes.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/GNUmakefile.DDS_Messenger_Idl #

ln -s libDDS_KeyTest_Idl.so.3.25.0-dev libDDS_KeyTest_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Partition/GNUmakefile.DDS_Partition_Idl #

ln -s libDDS_Messenger_Idl.so.3.25.0-dev libDDS_Messenger_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Priority/GNUmakefile.DDS_Priority_Idl #

ln -s libDDS_Partition_Idl.so.3.25.0-dev libDDS_Partition_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Reliability/IDL/GNUmakefile.Reliability #

ln -s libDDS_Priority_Idl.so.3.25.0-dev libDDS_Priority_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SkipSerialize/GNUmakefile.DDS_SkipSerialize_Idl #

ln -s libReliability.so.3.25.0-dev libReliability.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StringKey/GNUmakefile.DDS_StringKey_Idl #

ln -s libDDS_SkipSerialize_Idl.so.3.25.0-dev libDDS_SkipSerialize_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TcpReconnect/GNUmakefile.DDS_TcpReconnect_Idl #

ln -s libDDS_StringKey_Idl.so.3.25.0-dev libDDS_StringKey_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TypeSupportPlugin/GNUmakefile.TypeSupportPlugin #

ln -s libDDS_TcpReconnect_Idl.so.3.25.0-dev libDDS_TcpReconnect_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TypeSupportPlugin/GNUmakefile.TypeSupportPluginUser #

ln -s libTypeSupportPlugin.so.3.25.0-dev libTypeSupportPlugin.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/WaitForAck/GNUmakefile.WaitForAck_Idl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Idl/GNUmakefile.FaceMessengerIdl #

ln -s libWaitForAck_Idl.so.3.25.0-dev libWaitForAck_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test1_lib/GNUmakefile.FACE_idl_test1_lib #

ln -s libFaceMessengerIdl.so.3.25.0-dev libFaceMessengerIdl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test2_lib/GNUmakefile.FACE_idl_test2_lib #

ln -s libFACE_idl_test2_lib.so.3.25.0-dev libFACE_idl_test2_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test3_lib/GNUmakefile.FACE_idl_test3_lib #

ln -s libFACE_idl_test1_lib.so.3.25.0-dev libFACE_idl_test1_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test4_lib/GNUmakefile.FACE_idl_test4_lib #

ln -s libFACE_idl_test4_lib.so.3.25.0-dev libFACE_idl_test4_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test_fixed/GNUmakefile.FACE_idl_test_fixed #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Conformance/GNUmakefile.FaceConformanceIdl #

ln -s libFaceConformanceIdl.so.3.25.0-dev libFaceConformanceIdl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Header/Idl/GNUmakefile.FaceHeaderTestIdl #

ln -s libFaceHeaderTestIdl.so.3.25.0-dev libFaceHeaderTestIdl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/MultiDomainMessenger/Idl/GNUmakefile.FaceMultiDomainMessengerIdl #

ln -s libFaceMultiDomainMessengerIdl.so.3.25.0-dev libFaceMultiDomainMessengerIdl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Idl/GNUmakefile.FacePartitionIdl #

ln -s libFacePartitionIdl.so.3.25.0-dev libFacePartitionIdl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/SingleProcessMessenger/Idl/GNUmakefile.FaceMessengerSingleProcessIdl #

ln -s libFACE_idl_test3_lib.so.3.25.0-dev libFACE_idl_test3_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/TestMsg/GNUmakefile.DDS_tests_transport_testmsg #

ln -s libtransport_testmsg.so.3.25.0-dev libtransport_testmsg.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/network_resource/GNUmakefile.NetworkResource #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/InfoRepoDiscovery/GNUmakefile.OpenDDS_InfoRepoDiscovery #

ln -s libFaceMessengerSingleProcessIdl.so.3.25.0-dev libFaceMessengerSingleProcessIdl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/transport/rtps_udp/GNUmakefile.OpenDDS_Rtps_Udp #

ln -s libOpenDDS_InfoRepoDiscovery.so.3.25.0-dev libOpenDDS_InfoRepoDiscovery.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/FACE/Simple/GNUmakefile.FaceMessenger_Idl #

ln -s libFaceMessenger_Idl.so.3.25.0-dev libFaceMessenger_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/InfoRepo/GNUmakefile.DCPSInfoRepo_Lib #

ln -s libOpenDDS_Rtps_Udp.so.3.25.0-dev libOpenDDS_Rtps_Udp.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/DistributedContent/GNUmakefile.DDS_DistributedContent_Node #

ln -s libOpenDDS_InfoRepoLib.so.3.25.0-dev libOpenDDS_InfoRepoLib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/IntroductionToOpenDDS/GNUmakefile.StockQuoter_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/IntroductionToOpenDDS/GNUmakefile.StockQuoter_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_IOGR_Imr/GNUmakefile.DDS_Messenger_IOGR_Imr_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_IOGR_Imr/GNUmakefile.DDS_Messenger_IOGR_Imr_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_Imr/GNUmakefile.DDS_Messenger_Imr_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/GNUmakefile.InfoRepo_Population_SyncServer #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/MulticastListenerTest/GNUmakefile.DCPS_Perf_MulticastListenerTest_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/MulticastListenerTest/GNUmakefile.DCPS_Perf_MulticastListenerTest_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/Priority/GNUmakefile.Perf_Priority_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/SimpleE2ETest/GNUmakefile.DCPS_Perf_SimpleE2E_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/SimpleE2ETest/GNUmakefile.DCPS_Perf_SimpleE2E_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/SimpleLatency/GNUmakefile.DDS_SimpleLatency_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/SimpleLatency/GNUmakefile.DDS_SimpleLatency_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/TCPListenerTest/GNUmakefile.DCPS_Perf_TCPListener_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/TCPListenerTest/GNUmakefile.DCPS_Perf_TCPListener_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/TCPProfilingTest/GNUmakefile.DCPS_Perf_TCPProfiling_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/UDPListenerTest/GNUmakefile.DCPS_Perf_UDPListener_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/UDPListenerTest/GNUmakefile.DCPS_Perf_UDPListener_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/UDPNoKeyTest/GNUmakefile.DCPS_Perf_UDPNoKey_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/UDPNoKeyTest/GNUmakefile.DCPS_Perf_UDPNoKey_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BitDataReader/GNUmakefile.BitDataReader #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopic/GNUmakefile.BuiltInTopic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopicTest/GNUmakefile.DDS_BuiltInTopicTest_Monitor #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopicTest/GNUmakefile.DDS_BuiltInTopicTest_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopicTest/GNUmakefile.DDS_BuiltInTopicTest_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/GNUmakefile.CompatibilityTest_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test1_main/GNUmakefile.idl_test1_main #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test3_main/GNUmakefile.idl_test3_main #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/isolated_types/GNUmakefile.DDS_Isolated_Types #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/namespace_conflict/GNUmakefile.DDS_Namespace_Conflict #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/sequence_conflict/GNUmakefile.DDS_Sequence_Conflict #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigFile/GNUmakefile.ConfigFile #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/GNUmakefile.ConfigTransports_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ContainsEntity/GNUmakefile.DDS_ContainsEntity #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CorbaSeq/GNUmakefile.CorbaSeq_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CorbaSeq/GNUmakefile.CorbaSeq_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DCPSInfoRepo/GNUmakefile.dcpsinfo_test_pubsub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DPFactoryQos/GNUmakefile.DDS_DPFactoryQos_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DPFactoryQos/GNUmakefile.DDS_DPFactoryQos_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DataRepresentation/GNUmakefile.DataRepresentation #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DcpsIntegration/GNUmakefile.dcps_integration_infrastructure #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Deadline/GNUmakefile.DDS_Deadline_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/GNUmakefile.DelayedDurable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DestinationOrder/GNUmakefile.DestinationOrder #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Dispose/GNUmakefile.Dispose #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DomainRange/GNUmakefile.DomainRangeTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DpShutdown/GNUmakefile.DDS_DpShutdown #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicData/GNUmakefile.DynamicData_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicResponse/GNUmakefile.DynamicResponse_Origin #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicResponse/GNUmakefile.DynamicResponse_Responder #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/GNUmakefile.XTypes_Dynamic_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/GNUmakefile.XTypes_Dynamic_Recorder #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/GNUmakefile.DDS_EntityLifeCycleStress_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/GNUmakefile.DDS_EntityLifeCycleStress_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Federation/GNUmakefile.Federation_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FindTopic/GNUmakefile.DDS_FindTopic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest3_0/GNUmakefile.FooTest3_0_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest3_2/GNUmakefile.FooTest3_2_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest4/GNUmakefile.DcpsFooTest4 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest4_0/GNUmakefile.DcpsFooTest4_0 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest5/GNUmakefile.DcpsFooTest5Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest5_0/GNUmakefile.DcpsFooTest5_0 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/HelloWorld/GNUmakefile.HelloWorld_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/InconsistentTopic/GNUmakefile.DDS_InconsistentTopic_PublisherSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Instances/GNUmakefile.Instances_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Instances/GNUmakefile.Instances_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/InternalThreadStatus/GNUmakefile.InternalThreadStatusPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/KeyTest/GNUmakefile.DDS_KeyTest_IsBounded #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/KeyTest/GNUmakefile.DDS_KeyTest_KeyMarshalling #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LargeSample/GNUmakefile.DDS_LargeSample_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LatencyBudget/GNUmakefile.DDS_LatencyBudget_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LatencyBudget/GNUmakefile.DDS_LatencyBudget_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Lifespan/GNUmakefile.DDS_Lifespan_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Lifespan/GNUmakefile.DDS_Lifespan_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessKeepAliveTest/GNUmakefile.LivelinessKeepAliveTestSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTest/GNUmakefile.LivelinessTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/GNUmakefile.LivelinessTimeout_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/GNUmakefile.DDS_ManualAssertLiveliness_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyToMany/GNUmakefile.DDS_ManyToMany_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyToMany/GNUmakefile.DDS_ManyToMany_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/GNUmakefile.ManyTopicMultiProcessSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/GNUmakefile.ManyTopicTestPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/GNUmakefile.ManyTopicTestSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/GNUmakefile.DDS_Messenger_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/GNUmakefile.DDS_Messenger_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MockedTypeSupport/GNUmakefile.MockedTypeSupport #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Monitor/GNUmakefile.Monitor_Messenger_Monitor #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Monitor/GNUmakefile.Monitor_Messenger_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Monitor/GNUmakefile.Monitor_Messenger_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiDPTest/GNUmakefile.MultiDPTest_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiDiscovery/GNUmakefile.MultiDiscoveryTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiRepoTest/GNUmakefile.MultiRepoTest_Monitor #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/NotifyTest/GNUmakefile.DDS_NotifyTest_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/NotifyTest/GNUmakefile.DDS_NotifyTest_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Observer/GNUmakefile.Observer_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Partition/GNUmakefile.DDS_Partition_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Partition/GNUmakefile.DDS_Partition_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/PersistentInfoRepo/GNUmakefile.PersistentInfoRepo_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/PersistentInfoRepo/GNUmakefile.PersistentInfoRepo_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Priority/GNUmakefile.DDS_Priority_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Prst_delayed_subscriber/GNUmakefile.DDS_Prst_Delayed_Subscriber_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Prst_delayed_subscriber/GNUmakefile.DDS_Prst_Delayed_Subscriber_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReadCondition/GNUmakefile.ReadCondition #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReaderDataLifecycle/GNUmakefile.ReaderDataLifecycle #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Reconnect/GNUmakefile.Reconnect_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Reconnect/GNUmakefile.Reconnect_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderLogging/GNUmakefile.DDS_RecorderLogging_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderLogging/GNUmakefile.DDS_RecorderLogging_Recorder #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/GNUmakefile.DDS_RecorderReplayer_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/GNUmakefile.DDS_RecorderReplayer_Relay #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/GNUmakefile.DDS_RecorderReplayer_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RegisterInstance/GNUmakefile.register_instance_topic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Rejects/GNUmakefile.DDS_Rejects_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Rejects/GNUmakefile.DDS_Rejects_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Reliability/pub/GNUmakefile.Reliability_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Reliability/sub/GNUmakefile.Reliability_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/GNUmakefile.ReliableBestEffortReaders_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Restart/GNUmakefile.RestartTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/GNUmakefile.RtpsDiscovery #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDurableReplay/GNUmakefile.RtpsDurableReplay_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDurableReplay/GNUmakefile.RtpsDurableReplay_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsMessages/GNUmakefile.RtpsMessages #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SequenceIterator/GNUmakefile.SequenceIteratorTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Serializer/GNUmakefile.SerializerTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Serializer_wstring/GNUmakefile.Serializer_Wstring_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosDeadline/GNUmakefile.SetQosDeadline_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosDeadline/GNUmakefile.SetQosDeadline_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosPartition/GNUmakefile.SetQosPartition #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/GNUmakefile.SharedTransport #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SkipSerialize/GNUmakefile.DDS_SkipSerialize_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SkipSerialize/GNUmakefile.DDS_SkipSerialize_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StaticDiscoveryReconnect/GNUmakefile.StaticDiscoveryReconnectTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StatusCondition/GNUmakefile.StatusCondition #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StringKey/GNUmakefile.DDS_StringKey_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StringKey/GNUmakefile.DDS_StringKey_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SubscriberCycle/GNUmakefile.SubscriberCycle_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TcpReconnect/GNUmakefile.DDS_TcpReconnect_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TcpReconnect/GNUmakefile.DDS_TcpReconnect_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/GNUmakefile.Thrasher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TimeBasedFilter/GNUmakefile.TimeBasedFilter #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TopicReuse/GNUmakefile.DDS_TopicReuse_TopicReuse #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TransientLocalMultiInstanceTest/GNUmakefile.DDS_TransientLocalMultiInstanceTest_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TransientLocalTest/GNUmakefile.DDS_TransientLocalTest_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TransientLocalTest/GNUmakefile.DDS_TransientLocalTest_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/UnregisterType/GNUmakefile.UnregisterTypeTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ViewState/GNUmakefile.ViewState #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/WaitForAck/GNUmakefile.WaitForAck_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/WaitForAckRace/GNUmakefile.WaitForAckRace_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/WaitForAckRace/GNUmakefile.WaitForAckRace_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/WriteDataContainer/GNUmakefile.WriteDataContainer #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/GNUmakefile.XTypes_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyDataReaderListener/GNUmakefile.ZeroCopyDRL_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyDataReaderListener/GNUmakefile.ZeroCopyDRL_StackSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyRead/GNUmakefile.ZeroCopyRead #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroEnum/GNUmakefile.ZeroEnum_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/sub_init_loop/GNUmakefile.Sub_Init_Loop_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/sub_init_loop/GNUmakefile.Sub_Init_Loop_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/CallbackAndReceive/Publisher/GNUmakefile.CallbackAndReceivePublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/CallbackAndReceive/Subscriber/GNUmakefile.CallbackAndReceiveSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test1_main/GNUmakefile.FACE_idl_test1_main #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test3_main/GNUmakefile.FACE_idl_test3_main #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/GetConnectionParameters/Publisher/GNUmakefile.GetConnectionParametersPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/GetConnectionParameters/Subscriber/GNUmakefile.GetConnectionParametersSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Header/Publisher/GNUmakefile.FaceHeaderPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Header/Subscriber/GNUmakefile.FaceHeaderSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Publisher/GNUmakefile.FaceMessengerPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Subscriber/GNUmakefile.FaceMessengerSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/MultiDomainMessenger/Publisher/GNUmakefile.FaceMultiDomainMessengerPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/MultiDomainMessenger/Subscriber/GNUmakefile.FaceMultiDomainMessengerSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Publisher/GNUmakefile.FacePartitionPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Subscriber/GNUmakefile.FacePartitionSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Reliability/Publisher/GNUmakefile.FaceReliabilityPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Reliability/Subscriber/GNUmakefile.FaceReliabilitySubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/SingleProcessMessenger/SingleProcess/GNUmakefile.FaceMessengerSingleProcess #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/cmake/Nested_IDL/GNUmakefile.ECU #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/stress-tests/GNUmakefile.StressTests #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/best_effort_reader/GNUmakefile.DDS_Tests_Transport_Best_Effort_Reader_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/error_handling/GNUmakefile.error_handling #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps/GNUmakefile.DDS_Transport_Rtps_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps/GNUmakefile.DDS_Transport_Rtps_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/GNUmakefile.DDS_Transport_Rtps_Directed_Write_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_reliability/GNUmakefile.DDS_transport_rtps_reliability #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/simple/GNUmakefile.DDS_Transport_Simple_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/simple/GNUmakefile.DDS_Transport_Simple_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/spdp/GNUmakefile.DDS_transport_spdp #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/unit-tests/GNUmakefile.UnitTests #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/dcpsinfo_dump/GNUmakefile.dcpsinfo_dump #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/inspect/GNUmakefile.Inspect #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger.minimal/GNUmakefile.MessengerMinimal_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger/GNUmakefile.Messenger_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger_ZeroCopy/GNUmakefile.Messenger_ZeroCopy_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger_ZeroCopy/GNUmakefile.Messenger_ZeroCopy_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/FACE/Simple/GNUmakefile.FaceMessenger_Callbacksubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/FACE/Simple/GNUmakefile.FaceMessenger_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/FACE/Simple/GNUmakefile.FaceMessenger_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/InfoRepo/GNUmakefile.DCPSInfoRepo_Federator #

ln -s libOpenDDS_Federator.so.3.25.0-dev libOpenDDS_Federator.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_Imr/GNUmakefile.DDS_Messenger_Imr_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/GNUmakefile.InfoRepo_Population_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/Priority/GNUmakefile.Perf_Priority_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/TCPProfilingTest/GNUmakefile.DCPS_Perf_TCPProfiling_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/GNUmakefile.CompatibilityTest_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/GNUmakefile.ConfigTransports_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DcpsIntegration/GNUmakefile.dcps_integration_topic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Deadline/GNUmakefile.DDS_Deadline_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicData/GNUmakefile.DynamicData_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Federation/GNUmakefile.Federation_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest3_0/GNUmakefile.FooTest3_0_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest3_2/GNUmakefile.FooTest3_2_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest5/GNUmakefile.DcpsFooTest5Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/HelloWorld/GNUmakefile.HelloWorld_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/InternalThreadStatus/GNUmakefile.InternalThreadStatusSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LargeSample/GNUmakefile.DDS_LargeSample_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessKeepAliveTest/GNUmakefile.LivelinessKeepAliveTestPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/GNUmakefile.LivelinessTimeout_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/GNUmakefile.ManyTopicMultiProcessPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/GNUmakefile.DDS_Messenger_StackSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiDPTest/GNUmakefile.MultiDPTest_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiRepoTest/GNUmakefile.MultiRepoTest_System #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Observer/GNUmakefile.Observer_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Priority/GNUmakefile.DDS_Priority_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/GNUmakefile.ReliableBestEffortReaders_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Serializer_wstring/GNUmakefile.Serializer_Wstring_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TransientLocalMultiInstanceTest/GNUmakefile.DDS_TransientLocalMultiInstanceTest_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/WaitForAck/GNUmakefile.WaitForAck_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/GNUmakefile.XTypes_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyDataReaderListener/GNUmakefile.ZeroCopyDRL_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroEnum/GNUmakefile.ZeroEnum_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/best_effort_reader/GNUmakefile.DDS_Tests_Transport_Best_Effort_Reader_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/GNUmakefile.DDS_Transport_Rtps_Directed_Write_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/repoctl/GNUmakefile.repoctl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger.minimal/GNUmakefile.MessengerMinimal_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger/GNUmakefile.Messenger_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/InfoRepo/GNUmakefile.DCPSInfoRepo_Serv #

ln -s libOpenDDS_InfoRepoServ.so.3.25.0-dev libOpenDDS_InfoRepoServ.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/GNUmakefile.InfoRepo_Population_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/InfoRepo/GNUmakefile.DCPSInfoRepo_Main #


Test #

auto_run_tests

Sat Apr 29 03:24:04 2023 UTC

Running: perl tests/auto_run_tests.pl   -Config DDS_NO_OBJECT_MODEL_PROFILE -Config DDS_NO_OWNERSHIP_PROFILE -Config DDS_NO_PERSISTENCE_PROFILE -Config DDS_NO_CONTENT_SUBSCRIPTION -Config IPV6 in .
Test Lists: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/dcps_tests.lst
Configs: DDS_NO_OBJECT_MODEL_PROFILE, DDS_NO_OWNERSHIP_PROFILE, DDS_NO_PERSISTENCE_PROFILE, DDS_NO_CONTENT_SUBSCRIPTION, IPV6, Linux, RTPS
Excludes: 

==============================================================================

tools/scripts/modules/tests/command_utils.pl #

In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (string): "___this_really_should_be_invalid___"
Check that return value and exit status work as expected ======================
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "exit(2);"
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "exit(0);"
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "print("Hello\n");"
Check that putting the ouput in a variable works ==============================
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "print STDERR ("Goodbye\n");"
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "print STDERR ("Goodbye\n");print("Hello\n");"
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "print STDERR ("Goodbye\n");print("Hello\n");"
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "print STDERR ("Goodbye\n");print("Hello\n");"
Check that putting the output in a file works =================================
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "print STDERR ("Goodbye\n");print("Hello\n");exit(1);"
Check that dump_on_failure works ==============================================

auto_run_tests_finished: tools/scripts/modules/tests/command_utils.pl Time:0s Result:0

==============================================================================

tools/scripts/modules/tests/ChangeDir.pl #

auto_run_tests_finished: tools/scripts/modules/tests/ChangeDir.pl Time:0s Result:0

==============================================================================

tests/DCPS/AddressLookup/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/AddressLookup/main  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile AddressLookup.log -DCPSPendingTimeout 3 
AddressLookup PID: 20553 started at 2023-04-28 22:24:06
========= Attempt 0....
DEBUG: address_info: There are 5 interfaces
DEBUG: address_info: Considering interface 0
DEBUG: address_info: Found IP interface 127.0.0.1
DEBUG: address_info: IP address 127.0.0.1 maps to hostname localhost
DEBUG: hostname_to_ip: Resolving IP addresses from hostname localhost
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 28, curr->ai_addr size is 16, curr family is 10
DEBUG: print_addr: ==== IP address: ::1
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 127.0.0.1

DEBUG: address_info: Considering interface 1
DEBUG: address_info: Found IP interface 10.201.200.79
DEBUG: address_info: IP address 10.201.200.79 maps to hostname flea.ociweb.com
DEBUG: hostname_to_ip: Resolving IP addresses from hostname flea.ociweb.com
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 10.201.200.79

DEBUG: address_info: Considering interface 2
DEBUG: address_info: Found IP interface 192.168.122.1
WARNING: address_info: Failed to get FQDN

DEBUG: address_info: Considering interface 3
DEBUG: address_info: Found IP interface ::1
DEBUG: address_info: IP address ::1 maps to hostname localhost
DEBUG: hostname_to_ip: Resolving IP addresses from hostname localhost
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 28, curr->ai_addr size is 16, curr family is 10
DEBUG: print_addr: ==== IP address: ::1
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 127.0.0.1

DEBUG: address_info: Considering interface 4
DEBUG: address_info: Found IP interface fe80::20c:29ff:fe7a:35f2%2
WARNING: address_info: Failed to get FQDN


========= Attempt 1....
DEBUG: address_info: There are 5 interfaces
DEBUG: address_info: Considering interface 0
DEBUG: address_info: Found IP interface 127.0.0.1
DEBUG: address_info: IP address 127.0.0.1 maps to hostname localhost
DEBUG: hostname_to_ip: Resolving IP addresses from hostname localhost
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 28, curr->ai_addr size is 16, curr family is 10
DEBUG: print_addr: ==== IP address: ::1
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 127.0.0.1

DEBUG: address_info: Considering interface 1
DEBUG: address_info: Found IP interface 10.201.200.79
DEBUG: address_info: IP address 10.201.200.79 maps to hostname flea.ociweb.com
DEBUG: hostname_to_ip: Resolving IP addresses from hostname flea.ociweb.com
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 10.201.200.79

DEBUG: address_info: Considering interface 2
DEBUG: address_info: Found IP interface 192.168.122.1
WARNING: address_info: Failed to get FQDN

DEBUG: address_info: Considering interface 3
DEBUG: address_info: Found IP interface ::1
DEBUG: address_info: IP address ::1 maps to hostname localhost
DEBUG: hostname_to_ip: Resolving IP addresses from hostname localhost
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 28, curr->ai_addr size is 16, curr family is 10
DEBUG: print_addr: ==== IP address: ::1
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 127.0.0.1

DEBUG: address_info: Considering interface 4
DEBUG: address_info: Found IP interface fe80::20c:29ff:fe7a:35f2%2
WARNING: address_info: Failed to get FQDN


========= Attempt 2....
DEBUG: address_info: There are 5 interfaces
DEBUG: address_info: Considering interface 0
DEBUG: address_info: Found IP interface 127.0.0.1
DEBUG: address_info: IP address 127.0.0.1 maps to hostname localhost
DEBUG: hostname_to_ip: Resolving IP addresses from hostname localhost
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 28, curr->ai_addr size is 16, curr family is 10
DEBUG: print_addr: ==== IP address: ::1
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 127.0.0.1

DEBUG: address_info: Considering interface 1
DEBUG: address_info: Found IP interface 10.201.200.79
DEBUG: address_info: IP address 10.201.200.79 maps to hostname flea.ociweb.com
DEBUG: hostname_to_ip: Resolving IP addresses from hostname flea.ociweb.com
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 10.201.200.79

DEBUG: address_info: Considering interface 2
DEBUG: address_info: Found IP interface 192.168.122.1
WARNING: address_info: Failed to get FQDN

DEBUG: address_info: Considering interface 3
DEBUG: address_info: Found IP interface ::1
DEBUG: address_info: IP address ::1 maps to hostname localhost
DEBUG: hostname_to_ip: Resolving IP addresses from hostname localhost
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 28, curr->ai_addr size is 16, curr family is 10
DEBUG: print_addr: ==== IP address: ::1
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 127.0.0.1

DEBUG: address_info: Considering interface 4
DEBUG: address_info: Found IP interface fe80::20c:29ff:fe7a:35f2%2
WARNING: address_info: Failed to get FQDN


test PASSED.

auto_run_tests_finished: tests/DCPS/AddressLookup/run_test.pl Time:13s Result:0

==============================================================================

tests/DCPS/Prst_delayed_subscriber/run_test.pl #

Spawning first DCPSInfoRepo.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS  -o repo.ior -ORBSvcConf mySvc.conf -orbendpoint iiop://:28179
Spawning publisher.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Prst_delayed_subscriber/publisher -DCPSBit 0  -DCPSConfigFile pub.ini
(20564|20564) NOTICE: using DCPSBit value from command option (overrides value if it's in config file)
(20564|20564) Writer::start
(20564|20569) Writer::svc begins.
(20564|20569)  22:24:20.243238 Writer::svc starting to write.
Spawning first subscriber.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Prst_delayed_subscriber/subscriber -DCPSBit 0  -DCPSConfigFile sub.ini
(20579|20579) NOTICE: using DCPSBit value from command option (overrides value if it's in config file)
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
First Subscriber complete.
Killing first DCPSInfoRepo.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
Spawning second DCPSInfoRepo.
Spawning second subscriber.
(20591|20591) NOTICE: using DCPSBit value from command option (overrides value if it's in config file)
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
(20564|20569) Writer::svc finished.
(20564|20564) Writer::end
Second Subscriber complete.
Publisher killed.
Killing second DCPSInfoRepo.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Prst_delayed_subscriber/run_test.pl Time:12s Result:0

==============================================================================

tests/DCPS/ZeroCopyRead/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 20597
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyRead/main -DcpsBit 0 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile main.log -DCPSPendingTimeout 3 
main PID: 20604 started at 2023-04-28 22:24:31
(20604|20604) zero-copy read test main
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ZeroCopyRead/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/ZeroCopyRead/run_test.pl by_instance #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 20610
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyRead/main -DcpsBit 0 -i -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile main.log -DCPSPendingTimeout 3 
main PID: 20617 started at 2023-04-28 22:24:32
(20617|20617) zero-copy read test main
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ZeroCopyRead/run_test.pl by_instance Time:1s Result:0

==============================================================================

tests/DCPS/ZeroCopyDataReaderListener/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 20623
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyDataReaderListener/publisher -ORBDebugLevel 1   -DCPSPendingTimeout 2 -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile pub.log -DCPSConfigFile tcp.ini 
pub PID: 20630 started at 2023-04-28 22:24:33
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyDataReaderListener/subscriber -ORBDebugLevel 1  -DCPSPendingTimeout 2 -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile sub.log -DCPSConfigFile tcp.ini 
sub PID: 20631 started at 2023-04-28 22:24:33
(20623|20623) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ZeroCopyDataReaderListener/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/DCPSInfoRepo/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o dcps_ir.ior
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DCPSInfoRepo/pubsub -k file://dcps_ir.ior -q
pubsub test
2nd topic assertion CREATED a topic
adding matching subscription

TAO_DDS_DCPSDataWriter_i::add_associations () :
	Writer 01030000.208b48b8.00000001.00000102(abfb8592) Adding association to a reader:
	 reader id - 01030000.208b48b8.00000002.00000107(9c310bcd)
	 transport_id - fake transport for test

TAO_DDS_DCPSDataReader_i::add_associations () :
	Reader 01030000.208b48b8.00000002.00000107(9c310bcd) Adding association to writer:
	 writer id - 01030000.208b48b8.00000001.00000102(abfb8592)
	 transport_id - fake transport for test

adding incompatible publication

!!! TAO_DDS_DCPSDataReader_i::update_incompatible_qos () :
	2 new incompatible DataWriters 2  total
	Last incompatible QOS policy was 23
	Policy - 11	count - 1
	Policy - 23	count - 1

!!! TAO_DDS_DCPSDataWriter_i::update_incompatible_qos () :
	2 new incompatible DataReaders 2  total
	Last incompatible QOS policy was 23
	Policy - 11	count - 1
	Policy - 23	count - 1

TAO_DDS_DCPSDataWriter_i::remove_associations () :
	Removing association to 1 readers:
	Association - 0
	 RepoId - 01030000.208b48b8.00000002.00000107(9c310bcd)
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15

auto_run_tests_finished: tests/DCPS/DCPSInfoRepo/run_test.pl Time:3s Result:0

==============================================================================

tests/DCPS/DCPSInfoRepo/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DCPSInfoRepo/pubsub -r -q
pubsub test
2nd topic assertion FOUND a topic
adding matching subscription

TAO_DDS_DCPSDataReader_i::add_associations () :
	Reader 0103000c.297a35f2.50b3b267.00000004(591d3bf9) Adding association to writer:

TAO_DDS_DCPSDataWriter_i::add_associations () :
	Writer 0103000c.297a35f2.50b3b266.00000003(fa1987ea) Adding association to a reader:
	 writer id - 0103000c.297a35f2.50b3b266.00000003(fa1987ea)
	 transport_id - fake transport for test

	 reader id - 0103000c.297a35f2.50b3b267.00000004(591d3bf9)
	 transport_id - fake transport for test
adding incompatible publication

!!! TAO_DDS_DCPSDataWriter_i::update_incompatible_qos () :
	2 new incompatible DataReaders 2  total
	Last incompatible QOS policy was 23
	Policy - 11	count - 1
	Policy - 23	count - 1

!!! TAO_DDS_DCPSDataReader_i::update_incompatible_qos () :
	1 new incompatible DataWriters 1  total
	Last incompatible QOS policy was 11
	Policy - 11	count - 1

auto_run_tests_finished: tests/DCPS/DCPSInfoRepo/run_test.pl rtps_disc Time:2s Result:0

==============================================================================

tests/DCPS/MockedTypeSupport/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 20666
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MockedTypeSupport/MockedTypeSupport -ORBLogFile test.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
ut PID: 20673 started at 2023-04-28 22:24:38
(20666|20666) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/MockedTypeSupport/run_test.pl Time:5s Result:0

==============================================================================

tests/DCPS/FooTest3_2/run_test.pl bp_remove #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -NOBITS
InfoRepo PID: 20681
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest3_2/FooTest3_publisher  -t 1 -w 1 -m 0 -i 100  -n 12345678 -d 1 -l 0 -r 1  -b 0  -DCPSBit 0 
publisher PID: 20688 started at 2023-04-28 22:24:44
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest3_2/FooTest3_subscriber  -n 100 -l 100 -DCPSBit 0 
subscriber PID: 20689 started at 2023-04-28 22:24:44
0x1
(20688|20688) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(20689|20689) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(20688|20688) Writer::start
(20688|20698) Writer::svc
DataReaderListener.cpp:105: INFO: on_subscription_matched()
DataReaderListener.cpp:98: INFO: on_liveliness_changed()
DataReaderListener.cpp:98: INFO: on_liveliness_changed()
DataReaderListener.cpp:105: INFO: on_subscription_matched()
(20688|20688) PubDriver::end
(20688|20688) Writer::end
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/FooTest3_2/run_test.pl bp_remove Time:2s Result:0

==============================================================================

tests/DCPS/FindTopic/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FindTopic/findtopic  -DCPSPendingTimeout 3 
findtopic PID: 20700 started at 2023-04-28 22:24:45
findtopic.cpp:144 main() using Local Discovery
test PASSED.

auto_run_tests_finished: tests/DCPS/FindTopic/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/FindTopic/run_test.pl rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FindTopic/findtopic rtps -DCPSPendingTimeout 3 
findtopic PID: 20705 started at 2023-04-28 22:24:46
findtopic.cpp:141 main() using RTPS Discovery
test PASSED.

auto_run_tests_finished: tests/DCPS/FindTopic/run_test.pl rtps Time:1s Result:0

==============================================================================

tests/DCPS/InconsistentTopic/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/InconsistentTopic/pubsub  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pubsub.log -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
pubsub PID: 20712 started at 2023-04-28 22:24:46
Waiting for participant 2 to discover topic from participant 1 
test PASSED.

auto_run_tests_finished: tests/DCPS/InconsistentTopic/run_test.pl rtps_disc Time:1s Result:0

==============================================================================

tests/DCPS/TopicReuse/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TopicReuse/tpreuse  -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile tpreuse.log -DCPSPendingTimeout 3 
tpreuse PID: 20723 started at 2023-04-28 22:24:47
test PASSED.

auto_run_tests_finished: tests/DCPS/TopicReuse/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/DpShutdown/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DpShutdown/dpshutdown  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile dpshutdown.log -DCPSPendingTimeout 3 
dpshutdown PID: 20732 started at 2023-04-28 22:24:47
test PASSED.

auto_run_tests_finished: tests/DCPS/DpShutdown/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/ContainsEntity/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ContainsEntity/containsentity   -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile containsentity.log -DCPSPendingTimeout 3 
containsentity PID: 20743 started at 2023-04-28 22:24:48
test PASSED.

auto_run_tests_finished: tests/DCPS/ContainsEntity/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Serializer/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Serializer/SerializerTest  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile SerializerTest.log -DCPSPendingTimeout 3 
SerializerTest PID: 20754 started at 2023-04-28 22:24:48
Size of Values: 112
Size of ArrayValues: 976


*** Unaligned CDR, little-endian (native)

STARTING INSERTION OF SINGLE VALUES WITHOUT SWAPPING

BYTES WRITTEN: 354
01 11                                              ..              

45 23 ef                                           E#.             

cd ab 67 ef                                        ..g.            

cd ab 89 67 45                                     ...gE           

23 01 22 23 01 ab                                  #."#..          

89 67 45 ab 89 67 45                               .gE..gE         

23 01 ef cd cd cc cc 3d                            #......=        

9a 99 99 99 99 99 c9 3f  67                        .......?g       

45 23 01 ef cd ab 89 3e  40 00                     E#.....>@.      

00 00 00 00 00 1a b2 00  2c 00 00 00 54 68 69 73   ........,...This
20 69 73 20 61 20 74 65  73                         is a tes       

74 20 6f 66 20 74 68 65  20 73 74 72 69 6e 67 20   t of the string 
73 65 72 69 61 6c 69 7a  61 74 69 6f 6e 2e         serialization.  

00 30 00 00 00 54 68 69  73 20 69 73 20 61 20 74   .0...This is a t
65 73 74 20 6f 66 20 74  68 65 20 73 74 64 20 73   est of the std s
74 72 69                                           tri             

6e 67 20 73 65 72 69 61  6c 69 7a 61 74 69 6f 6e   ng serialization
2e 00 58 00 00 00 54 00  68 00 69 00 73 00 20 00   ..X...T.h.i.s. .
69 00 73 00 20 00 61 00                            i.s. .a.        

20 00 74 00 65 00 73 00  74 00 20 00 6f 00 66 00    .t.e.s.t. .o.f.
20 00 74 00 68 00 65 00  20 00 77 00 73 00 74 00    .t.h.e. .w.s.t.
72 00 69 00 6e 00 67 00  20 00 73 00 65            r.i.n.g. .s.e   

00 72 00 69 00 61 00 6c  00 69 00 7a 00 61 00 74   .r.i.a.l.i.z.a.t
00 69 00 6f 00 6e 00 2e  00 60 00 00 00 54 00 68   .i.o.n...`...T.h
00 69 00 73 00 20 00 69  00 73 00 20 00 61 00 20   .i.s. .i.s. .a. 
00 74                                              .t              

00 65 00 73 00 74 00 20  00 6f 00 66 00 20 00 74   .e.s.t. .o.f. .t
00 68 00 65 00 20 00 73  00 74 00 64 00 20 00 77   .h.e. .s.t.d. .w
00 73 00 74 00 72 00 69  00 6e 00 67 00 20 00 73   .s.t.r.i.n.g. .s
00 65 00 72 00 69 00 61  00 6c 00 69 00 7a 00 61   .e.r.i.a.l.i.z.a
00 74 00 69 00 6f 00 6e  00 2e 00                  .t.i.o.n...     

EXTRACTING SINGLE VALUES WITHOUT SWAPPING

STARTING INSERTION OF ARRAY VALUES WITHOUT SWAPPING

BYTES WRITTEN: 930
00 01                                              ..              

02 03 04                                           ...             

05 06 07 08                                        ....            

09 0a 0b 0c 0d                                     .....           

0e 00 01 00 01 04                                  ......          

05 04 05 08 09 08 09                               .......         

0c 0d 0c 00 00 01 00 02                            ........        

00 03 00 04 00 05 00 06  00                        .........       

07 00 08 00 09 00 0a 00  0b 00                     ..........      

0c 00 0d 00 0e 00 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f                        .........       

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f         ..............  

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f ef cd ab 89 67   ...............g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01                                           E#.             

ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01                            ....gE#.        

ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67            ....gE#.....g   

45 23 01 ef cd ab 89 67  45 23 01 dd dd df df dd   E#.....gE#......
dd df df dd dd df df dd  dd df ff ff ff ff ff ff   ................
ff ff ff ff ff ff ff ff  ff ff ff ff ff ff ff ff   ................
ff ff                                              ..              

ff ff ff ff ff ff f0 f0  f0 f0 f1 f0 f0 f0 f2 f0   ................
f0 f0 f3 f0 f0 f0 f4 f0  f0 f0 f5 f0 f0 f0 f6 f0   ................
f0 f0 f7 f0 f0 f0 f8 f0  f0 f0 f9 f0 f0 f0 fa f0   ................
f0 f0 fb f0 f0 f0 fc f0  f0 f0 fd f0 f0 f0 fe f0   ................
f0 f0 ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.

ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd 00 00 00 00 00 00   ....gE#.........
80 3f 00 00 00 3f ab aa  aa 3e 00 00 80 3e cd cc   .?...?...>...>..
4c 3e ab aa 2a 3e 25 49  12 3e 00 00 00 3e 39 8e   L>..*>%I.>...>9.
e3 3d cd cc cc 3d 8c 2e  ba 3d ab aa aa 3d d9 89   .=...=...=...=..
9d 3d 25 49 92 3d 00 00  00 00 00 00 00 00 00 00   .=%I.=..........
00 00 00 00 08 40 00 00  00 00 00 00 f8 3f 00 00   .....@.......?..
00 00 00 00 f0 3f 00 00  00 00 00 00 e8 3f 33 33   .....?.......?33
33 33 33 33 e3 3f 00 00  00 00 00 00 e0 3f db b6   3333.?.......?..
6d db b6 6d db 3f 00 00  00 00 00 00 d8 3f 55 55   m..m.?.......?UU
55 55 55 55 d5 3f 33 33  33 33 33 33 d3 3f 74 d1   UUUU.?333333.?t.
45 17 5d 74 d1 3f 00 00  00 00 00 00 d0 3f 9e d8   E.]t.?.......?..
89 9d d8 89 cd 3f db b6  6d db b6 6d cb 3f 67 45   .....?..m..m.?gE
23 01 ef cd ab 89 3e 40  00 00 01 00 00 00 67 45   #.....>@......gE

23 01 ef cd ab 89 3e 40  00 00 7c 7f 00 00 67 45   #.....>@..|...gE
23 01 ef cd ab 89 3e 40  00 00 3b 00 00 00 67 45   #.....>@..;...gE
23 01 ef cd ab 89 3e 40  00 00 fe 7f 00 00 67 45   #.....>@......gE
23 01 ef cd ab 89 3e 40  00 00 7c 7f 00 00 67 45   #.....>@..|...gE
23 01 ef cd ab 89 3e 40  00 00 3b 00 00 00 67 45   #.....>@..;...gE
23 01 ef cd ab 89 3e 40  00 00 7c 7f 00 00 67 45   #.....>@..|...gE
23 01 ef cd ab 89 3e 40  00 00 00 00 00 00 67 45   #.....>@......gE
23 01 ef cd ab 89 3e 40  00 00 3b 00 00 00 67 45   #.....>@..;...gE
23 01 ef cd ab 89 3e 40  00 00 fe 7f 00 00 67 45   #.....>@......gE
23 01 ef cd ab 89 3e 40  00 00 fe 7f 00 00 67 45   #.....>@......gE
23 01 ef cd ab 89 3e 40  00 00 3b 00 00 00 67 45   #.....>@..;...gE
23 01 ef cd ab 89 3e 40  00 00 3b 00 00 00 67 45   #.....>@..;...gE
23 01 ef cd ab 89 3e 40  00 00 01 00 00 00 67 45   #.....>@......gE
23 01 ef cd ab 89 3e 40  00 00 00 00 00 00 00 01   #.....>@........
02 03 04 05 06 07 08 09  0a 0b 0c 0d 0e 00 00 01   ................
00 02 00 03 00 04 00 05  00 06 00 07 00 08 00 09   ................
00 0a 00 0b 00 0c 00 0d  00 0e 00                  ...........     

EXTRACTING ARRAY VALUES WITHOUT SWAPPING


*** Unaligned CDR, big-endian (non-native)

STARTING INSERTION OF SINGLE VALUES WITH SWAPPING

BYTES WRITTEN: 354
01 11                                              ..              

23 45 67                                           #Eg             

ab cd ef 01                                        ....            

23 45 67 89 ab                                     #Eg..           

cd ef 22 01 23 45                                  ..".#E          

67 89 ab cd ef 01 23                               g.....#         

45 67 89 ab 3d cc cc cd                            Eg..=...        

3f c9 99 99 99 99 99 9a  00                        ?........       

00 00 00 00 00 40 3e 89  ab cd                     .....@>...      

ef 01 23 45 67 1a 00 b2  00 00 00 2c 54 68 69 73   ..#Eg......,This
20 69 73 20 61 20 74 65  73                         is a tes       

74 20 6f 66 20 74 68 65  20 73 74 72 69 6e 67 20   t of the string 
73 65 72 69 61 6c 69 7a  61 74 69 6f 6e 2e         serialization.  

00 00 00 00 30 54 68 69  73 20 69 73 20 61 20 74   ....0This is a t
65 73 74 20 6f 66 20 74  68 65 20 73 74 64 20 73   est of the std s
74 72 69                                           tri             

6e 67 20 73 65 72 69 61  6c 69 7a 61 74 69 6f 6e   ng serialization
2e 00 00 00 00 58 00 54  00 68 00 69 00 73 00 20   .....X.T.h.i.s. 
00 69 00 73 00 20 00 61                            .i.s. .a        

00 20 00 74 00 65 00 73  00 74 00 20 00 6f 00 66   . .t.e.s.t. .o.f
00 20 00 74 00 68 00 65  00 20 00 77 00 73 00 74   . .t.h.e. .w.s.t
00 72 00 69 00 6e 00 67  00 20 00 73 00            .r.i.n.g. .s.   

65 00 72 00 69 00 61 00  6c 00 69 00 7a 00 61 00   e.r.i.a.l.i.z.a.
74 00 69 00 6f 00 6e 00  2e 00 00 00 60 00 54 00   t.i.o.n.....`.T.
68 00 69 00 73 00 20 00  69 00 73 00 20 00 61 00   h.i.s. .i.s. .a.
20 00                                               .              

74 00 65 00 73 00 74 00  20 00 6f 00 66 00 20 00   t.e.s.t. .o.f. .
74 00 68 00 65 00 20 00  73 00 74 00 64 00 20 00   t.h.e. .s.t.d. .
77 00 73 00 74 00 72 00  69 00 6e 00 67 00 20 00   w.s.t.r.i.n.g. .
73 00 65 00 72 00 69 00  61 00 6c 00 69 00 7a 00   s.e.r.i.a.l.i.z.
61 00 74 00 69 00 6f 00  6e 00 2e                  a.t.i.o.n..     

EXTRACTING SINGLE VALUES WITH SWAPPING

STARTING INSERTION OF ARRAY VALUES WITH SWAPPING

BYTES WRITTEN: 930
00 01                                              ..              

02 03 04                                           ...             

05 06 07 08                                        ....            

09 0a 0b 0c 0d                                     .....           

0e 00 01 00 01 04                                  ......          

05 04 05 08 09 08 09                               .......         

0c 0d 0c 00 00 00 01 00                            ........        

02 00 03 00 04 00 05 00  06                        .........       

00 07 00 08 00 09 00 0a  00 0b                     ..........      

00 0c 00 0d 00 0e 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f                        .........       

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f         ..............  

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 01 23 45 67 89   ............#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef                                           ...             

01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef                            .#Eg....        

01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89            .#Eg.....#Eg.   

ab cd ef 01 23 45 67 89  ab cd ef dd dd df df dd   ....#Eg.........
dd df df dd dd df df dd  dd df ff ff ff ff ff ff   ................
ff ff ff ff ff ff ff ff  ff ff ff ff ff ff ff ff   ................
ff ff                                              ..              

ff ff ff ff ff ff f0 f0  f0 f0 f0 f0 f0 f1 f0 f0   ................
f0 f2 f0 f0 f0 f3 f0 f0  f0 f4 f0 f0 f0 f5 f0 f0   ................
f0 f6 f0 f0 f0 f7 f0 f0  f0 f8 f0 f0 f0 f9 f0 f0   ................
f0 fa f0 f0 f0 fb f0 f0  f0 fc f0 f0 f0 fd f0 f0   ................
f0 fe cd ef 01 23 45 67  89 ab cd ef 01 23 45 67   .....#Eg.....#Eg
89 ab cd ef 01 23 45 67  89 ab cd ef 01 23 45 67   .....#Eg.....#Eg
89 ab cd ef 01 23 45 67  89 ab cd ef 01 23 45 67   .....#Eg.....#Eg
89 ab cd ef 01 23 45 67  89 ab cd ef 01 23 45 67   .....#Eg.....#Eg

89 ab cd ef 01 23 45 67  89 ab cd ef 01 23 45 67   .....#Eg.....#Eg
89 ab cd ef 01 23 45 67  89 ab cd ef 01 23 45 67   .....#Eg.....#Eg
89 ab cd ef 01 23 45 67  89 ab cd ef 01 23 45 67   .....#Eg.....#Eg
89 ab cd ef 01 23 45 67  89 ab 00 00 00 00 3f 80   .....#Eg......?.
00 00 3f 00 00 00 3e aa  aa ab 3e 80 00 00 3e 4c   ..?...>...>...>L
cc cd 3e 2a aa ab 3e 12  49 25 3e 00 00 00 3d e3   ..>*..>.I%>...=.
8e 39 3d cc cc cd 3d ba  2e 8c 3d aa aa ab 3d 9d   .9=...=...=...=.
89 d9 3d 92 49 25 00 00  00 00 00 00 00 00 40 08   ..=.I%........@.
00 00 00 00 00 00 3f f8  00 00 00 00 00 00 3f f0   ......?.......?.
00 00 00 00 00 00 3f e8  00 00 00 00 00 00 3f e3   ......?.......?.
33 33 33 33 33 33 3f e0  00 00 00 00 00 00 3f db   333333?.......?.
6d b6 db 6d b6 db 3f d8  00 00 00 00 00 00 3f d5   m..m..?.......?.
55 55 55 55 55 55 3f d3  33 33 33 33 33 33 3f d1   UUUUUU?.333333?.
74 5d 17 45 d1 74 3f d0  00 00 00 00 00 00 3f cd   t].E.t?.......?.
89 d8 9d 89 d8 9e 3f cb  6d b6 db 6d b6 db 00 00   ......?.m..m....
00 01 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   ....@>.....#Eg..

7f 7c 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   .|..@>.....#Eg..
00 3b 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   .;..@>.....#Eg..
7f fe 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   ....@>.....#Eg..
7f 7c 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   .|..@>.....#Eg..
00 3b 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   .;..@>.....#Eg..
7f 7c 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   .|..@>.....#Eg..
00 00 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   ....@>.....#Eg..
00 3b 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   .;..@>.....#Eg..
7f fe 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   ....@>.....#Eg..
7f fe 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   ....@>.....#Eg..
00 3b 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   .;..@>.....#Eg..
00 3b 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   .;..@>.....#Eg..
00 01 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   ....@>.....#Eg..
00 00 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 01   ....@>.....#Eg..
02 03 04 05 06 07 08 09  0a 0b 0c 0d 0e 00 00 00   ................
01 00 02 00 03 00 04 00  05 00 06 00 07 00 08 00   ................
09 00 0a 00 0b 00 0c 00  0d 00 0e                  ...........     

EXTRACTING ARRAY VALUES WITH SWAPPING


*** CDR/XCDR1, little-endian (native)

STARTING INSERTION OF SINGLE VALUES WITHOUT SWAPPING

BYTES WRITTEN: 360
01 11                                              ..              

45 23 ef                                           E#.             

cd ab 67 ef                                        ..g.            

cd ab 89 67 45                                     ...gE           

23 01 22 00 23 01                                  #.".#.          

ab 89 67 45 ab 89 67                               ..gE..g         

45 23 01 ef cd cd cc cc                            E#......        

3d 00 00 00 00 9a 99 99  99                        =........       

99 99 c9 3f 67 45 23 01  ef cd                     ...?gE#...      

ab 89 3e 40 00 00 00 00  00 00 1a 00 b2 00 2c 00   ..>@..........,.
00 00 54 68 69 73 20 69  73                        ..This is       

20 61 20 74 65 73 74 20  6f 66 20 74 68 65 20 73    a test of the s
74 72 69 6e 67 20 73 65  72 69 61 6c 69 7a         tring serializ  

61 74 69 6f 6e 2e 00 30  00 00 00 54 68 69 73 20   ation..0...This 
69 73 20 61 20 74 65 73  74 20 6f 66 20 74 68 65   is a test of the
20 73 74                                            st             

64 20 73 74 72 69 6e 67  20 73 65 72 69 61 6c 69   d string seriali
7a 61 74 69 6f 6e 2e 00  58 00 00 00 54 00 68 00   zation..X...T.h.
69 00 73 00 20 00 69 00                            i.s. .i.        

73 00 20 00 61 00 20 00  74 00 65 00 73 00 74 00   s. .a. .t.e.s.t.
20 00 6f 00 66 00 20 00  74 00 68 00 65 00 20 00    .o.f. .t.h.e. .
77 00 73 00 74 00 72 00  69 00 6e 00 67            w.s.t.r.i.n.g   

00 20 00 73 00 65 00 72  00 69 00 61 00 6c 00 69   . .s.e.r.i.a.l.i
00 7a 00 61 00 74 00 69  00 6f 00 6e 00 2e 00 60   .z.a.t.i.o.n...`
00 00 00 54 00 68 00 69  00 73 00 20 00 69 00 73   ...T.h.i.s. .i.s
00 20                                              .               

00 61 00 20 00 74 00 65  00 73 00 74 00 20 00 6f   .a. .t.e.s.t. .o
00 66 00 20 00 74 00 68  00 65 00 20 00 73 00 74   .f. .t.h.e. .s.t
00 64 00 20 00 77 00 73  00 74 00 72 00 69 00 6e   .d. .w.s.t.r.i.n
00 67 00 20 00 73 00 65  00 72 00 69 00 61 00 6c   .g. .s.e.r.i.a.l
00 69 00 7a 00 61 00 74  00 69 00 6f 00 6e 00 2e   .i.z.a.t.i.o.n..
00                                                 .               

EXTRACTING SINGLE VALUES WITHOUT SWAPPING

STARTING INSERTION OF ARRAY VALUES WITHOUT SWAPPING

BYTES WRITTEN: 942
00 01                                              ..              

02 03 04                                           ...             

05 06 07 08                                        ....            

09 0a 0b 0c 0d                                     .....           

0e 00 01 00 01 04                                  ......          

05 04 05 08 09 08 09                               .......         

0c 0d 0c 00 00 01 00 02                            ........        

00 03 00 04 00 05 00 06  00                        .........       

07 00 08 00 09 00 0a 00  0b 00                     ..........      

0c 00 0d 00 0e 00 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f                        .........       

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f         ..............  

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f ef cd ab 89 67   ...............g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01                                           E#.             

ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01                            ....gE#.        

ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67            ....gE#.....g   

45 23 01 ef cd ab 89 67  45 23 01 dd dd df df dd   E#.....gE#......
dd df df dd dd df df dd  dd df 00 ff ff ff ff ff   ................
ff ff ff ff ff ff ff ff  ff ff ff ff ff ff ff ff   ................
ff ff                                              ..              

ff ff ff ff ff ff ff 00  00 f0 f0 f0 f0 f1 f0 f0   ................
f0 f2 f0 f0 f0 f3 f0 f0  f0 f4 f0 f0 f0 f5 f0 f0   ................
f0 f6 f0 f0 f0 f7 f0 f0  f0 f8 f0 f0 f0 f9 f0 f0   ................
f0 fa f0 f0 f0 fb f0 f0  f0 fc f0 f0 f0 fd f0 f0   ................
f0 fe f0 f0 f0 00 00 00  00 ab 89 67 45 23 01 ef   ...........gE#..
cd ab 89 67 45 23 01 ef  cd ab 89 67 45 23 01 ef   ...gE#.....gE#..
cd ab 89 67 45 23 01 ef  cd ab 89 67 45 23 01 ef   ...gE#.....gE#..
cd ab 89 67 45 23 01 ef  cd ab 89 67 45 23 01 ef   ...gE#.....gE#..

cd ab 89 67 45 23 01 ef  cd ab 89 67 45 23 01 ef   ...gE#.....gE#..
cd ab 89 67 45 23 01 ef  cd ab 89 67 45 23 01 ef   ...gE#.....gE#..
cd ab 89 67 45 23 01 ef  cd ab 89 67 45 23 01 ef   ...gE#.....gE#..
cd ab 89 67 45 23 01 ef  cd ab 89 67 45 23 01 ef   ...gE#.....gE#..
cd 00 00 00 00 00 00 80  3f 00 00 00 3f ab aa aa   ........?...?...
3e 00 00 80 3e cd cc 4c  3e ab aa 2a 3e 25 49 12   >...>..L>..*>%I.
3e 00 00 00 3e 39 8e e3  3d cd cc cc 3d 8c 2e ba   >...>9..=...=...
3d ab aa aa 3d d9 89 9d  3d 25 49 92 3d 00 00 00   =...=...=%I.=...
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 08   ................
40 00 00 00 00 00 00 f8  3f 00 00 00 00 00 00 f0   @.......?.......
3f 00 00 00 00 00 00 e8  3f 33 33 33 33 33 33 e3   ?.......?333333.
3f 00 00 00 00 00 00 e0  3f db b6 6d db b6 6d db   ?.......?..m..m.
3f 00 00 00 00 00 00 d8  3f 55 55 55 55 55 55 d5   ?.......?UUUUUU.
3f 33 33 33 33 33 33 d3  3f 74 d1 45 17 5d 74 d1   ?333333.?t.E.]t.
3f 00 00 00 00 00 00 d0  3f 9e d8 89 9d d8 89 cd   ?.......?.......
3f db b6 6d db b6 6d cb  3f 67 45 23 01 ef cd ab   ?..m..m.?gE#....

89 3e 40 00 00 01 00 00  00 67 45 23 01 ef cd ab   .>@......gE#....
89 3e 40 00 00 7c 7f 00  00 67 45 23 01 ef cd ab   .>@..|...gE#....
89 3e 40 00 00 3b 00 00  00 67 45 23 01 ef cd ab   .>@..;...gE#....
89 3e 40 00 00 fe 7f 00  00 67 45 23 01 ef cd ab   .>@......gE#....
89 3e 40 00 00 7c 7f 00  00 67 45 23 01 ef cd ab   .>@..|...gE#....
89 3e 40 00 00 3b 00 00  00 67 45 23 01 ef cd ab   .>@..;...gE#....
89 3e 40 00 00 7c 7f 00  00 67 45 23 01 ef cd ab   .>@..|...gE#....
89 3e 40 00 00 00 00 00  00 67 45 23 01 ef cd ab   .>@......gE#....
89 3e 40 00 00 3b 00 00  00 67 45 23 01 ef cd ab   .>@..;...gE#....
89 3e 40 00 00 fe 7f 00  00 67 45 23 01 ef cd ab   .>@......gE#....
89 3e 40 00 00 fe 7f 00  00 67 45 23 01 ef cd ab   .>@......gE#....
89 3e 40 00 00 3b 00 00  00 67 45 23 01 ef cd ab   .>@..;...gE#....
89 3e 40 00 00 3b 00 00  00 67 45 23 01 ef cd ab   .>@..;...gE#....
89 3e 40 00 00 01 00 00  00 67 45 23 01 ef cd ab   .>@......gE#....
89 3e 40 00 00 00 00 00  00 00 01 02 03 04 05 06   .>@.............
07 08 09 0a 0b 0c 0d 0e  00 00 00 01 00 02 00 03   ................
00 04 00 05 00 06 00 07  00 08 00 09 00 0a 00 0b   ................
00 0c 00 0d 00 0e 00                               .......         

EXTRACTING ARRAY VALUES WITHOUT SWAPPING


*** CDR/XCDR1, big-endian (non-native)

STARTING INSERTION OF SINGLE VALUES WITH SWAPPING

BYTES WRITTEN: 360
01 11                                              ..              

23 45 67                                           #Eg             

ab cd ef 01                                        ....            

23 45 67 89 ab                                     #Eg..           

cd ef 22 00 01 23                                  .."..#          

45 67 89 ab cd ef 01                               Eg.....         

23 45 67 89 ab 3d cc cc                            #Eg..=..        

cd 00 00 00 00 3f c9 99  99                        .....?...       

99 99 99 9a 00 00 00 00  00 00                     ..........      

40 3e 89 ab cd ef 01 23  45 67 1a 00 00 b2 00 00   @>.....#Eg......
00 2c 54 68 69 73 20 69  73                        .,This is       

20 61 20 74 65 73 74 20  6f 66 20 74 68 65 20 73    a test of the s
74 72 69 6e 67 20 73 65  72 69 61 6c 69 7a         tring serializ  

61 74 69 6f 6e 2e 00 00  00 00 30 54 68 69 73 20   ation.....0This 
69 73 20 61 20 74 65 73  74 20 6f 66 20 74 68 65   is a test of the
20 73 74                                            st             

64 20 73 74 72 69 6e 67  20 73 65 72 69 61 6c 69   d string seriali
7a 61 74 69 6f 6e 2e 00  00 00 00 58 00 54 00 68   zation.....X.T.h
00 69 00 73 00 20 00 69                            .i.s. .i        

00 73 00 20 00 61 00 20  00 74 00 65 00 73 00 74   .s. .a. .t.e.s.t
00 20 00 6f 00 66 00 20  00 74 00 68 00 65 00 20   . .o.f. .t.h.e. 
00 77 00 73 00 74 00 72  00 69 00 6e 00            .w.s.t.r.i.n.   

67 00 20 00 73 00 65 00  72 00 69 00 61 00 6c 00   g. .s.e.r.i.a.l.
69 00 7a 00 61 00 74 00  69 00 6f 00 6e 00 2e 00   i.z.a.t.i.o.n...
00 00 60 00 54 00 68 00  69 00 73 00 20 00 69 00   ..`.T.h.i.s. .i.
73 00                                              s.              

20 00 61 00 20 00 74 00  65 00 73 00 74 00 20 00    .a. .t.e.s.t. .
6f 00 66 00 20 00 74 00  68 00 65 00 20 00 73 00   o.f. .t.h.e. .s.
74 00 64 00 20 00 77 00  73 00 74 00 72 00 69 00   t.d. .w.s.t.r.i.
6e 00 67 00 20 00 73 00  65 00 72 00 69 00 61 00   n.g. .s.e.r.i.a.
6c 00 69 00 7a 00 61 00  74 00 69 00 6f 00 6e 00   l.i.z.a.t.i.o.n.
2e                                                 .               

EXTRACTING SINGLE VALUES WITH SWAPPING

STARTING INSERTION OF ARRAY VALUES WITH SWAPPING

BYTES WRITTEN: 942
00 01                                              ..              

02 03 04                                           ...             

05 06 07 08                                        ....            

09 0a 0b 0c 0d                                     .....           

0e 00 01 00 01 04                                  ......          

05 04 05 08 09 08 09                               .......         

0c 0d 0c 00 00 00 01 00                            ........        

02 00 03 00 04 00 05 00  06                        .........       

00 07 00 08 00 09 00 0a  00 0b                     ..........      

00 0c 00 0d 00 0e 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f                        .........       

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f         ..............  

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 01 23 45 67 89   ............#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef                                           ...             

01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef                            .#Eg....        

01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89            .#Eg.....#Eg.   

ab cd ef 01 23 45 67 89  ab cd ef dd dd df df dd   ....#Eg.........
dd df df dd dd df df dd  dd df 00 ff ff ff ff ff   ................
ff ff ff ff ff ff ff ff  ff ff ff ff ff ff ff ff   ................
ff ff                                              ..              

ff ff ff ff ff ff ff 00  00 f0 f0 f0 f0 f0 f0 f0   ................
f1 f0 f0 f0 f2 f0 f0 f0  f3 f0 f0 f0 f4 f0 f0 f0   ................
f5 f0 f0 f0 f6 f0 f0 f0  f7 f0 f0 f0 f8 f0 f0 f0   ................
f9 f0 f0 f0 fa f0 f0 f0  fb f0 f0 f0 fc f0 f0 f0   ................
fd f0 f0 f0 fe 00 00 00  00 cd ef 01 23 45 67 89   ............#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.

ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab 00 00 00 00 3f 80 00  00 3f 00 00 00 3e aa aa   .....?...?...>..
ab 3e 80 00 00 3e 4c cc  cd 3e 2a aa ab 3e 12 49   .>...>L..>*..>.I
25 3e 00 00 00 3d e3 8e  39 3d cc cc cd 3d ba 2e   %>...=..9=...=..
8c 3d aa aa ab 3d 9d 89  d9 3d 92 49 25 00 00 00   .=...=...=.I%...
00 00 00 00 00 00 00 00  00 40 08 00 00 00 00 00   .........@......
00 3f f8 00 00 00 00 00  00 3f f0 00 00 00 00 00   .?.......?......
00 3f e8 00 00 00 00 00  00 3f e3 33 33 33 33 33   .?.......?.33333
33 3f e0 00 00 00 00 00  00 3f db 6d b6 db 6d b6   3?.......?.m..m.
db 3f d8 00 00 00 00 00  00 3f d5 55 55 55 55 55   .?.......?.UUUUU
55 3f d3 33 33 33 33 33  33 3f d1 74 5d 17 45 d1   U?.333333?.t].E.
74 3f d0 00 00 00 00 00  00 3f cd 89 d8 9d 89 d8   t?.......?......
9e 3f cb 6d b6 db 6d b6  db 00 00 00 01 00 00 40   .?.m..m........@

3e 89 ab cd ef 01 23 45  67 00 00 7f 7c 00 00 40   >.....#Eg...|..@
3e 89 ab cd ef 01 23 45  67 00 00 00 3b 00 00 40   >.....#Eg...;..@
3e 89 ab cd ef 01 23 45  67 00 00 7f fe 00 00 40   >.....#Eg......@
3e 89 ab cd ef 01 23 45  67 00 00 7f 7c 00 00 40   >.....#Eg...|..@
3e 89 ab cd ef 01 23 45  67 00 00 00 3b 00 00 40   >.....#Eg...;..@
3e 89 ab cd ef 01 23 45  67 00 00 7f 7c 00 00 40   >.....#Eg...|..@
3e 89 ab cd ef 01 23 45  67 00 00 00 00 00 00 40   >.....#Eg......@
3e 89 ab cd ef 01 23 45  67 00 00 00 3b 00 00 40   >.....#Eg...;..@
3e 89 ab cd ef 01 23 45  67 00 00 7f fe 00 00 40   >.....#Eg......@
3e 89 ab cd ef 01 23 45  67 00 00 7f fe 00 00 40   >.....#Eg......@
3e 89 ab cd ef 01 23 45  67 00 00 00 3b 00 00 40   >.....#Eg...;..@
3e 89 ab cd ef 01 23 45  67 00 00 00 3b 00 00 40   >.....#Eg...;..@
3e 89 ab cd ef 01 23 45  67 00 00 00 01 00 00 40   >.....#Eg......@
3e 89 ab cd ef 01 23 45  67 00 00 00 00 00 00 40   >.....#Eg......@
3e 89 ab cd ef 01 23 45  67 00 01 02 03 04 05 06   >.....#Eg.......
07 08 09 0a 0b 0c 0d 0e  00 00 00 00 01 00 02 00   ................
03 00 04 00 05 00 06 00  07 00 08 00 09 00 0a 00   ................
0b 00 0c 00 0d 00 0e                               .......         

EXTRACTING ARRAY VALUES WITH SWAPPING


*** XCDR2, little-endian (native)

STARTING INSERTION OF SINGLE VALUES WITHOUT SWAPPING

BYTES WRITTEN: 356
01 11                                              ..              

45 23 ef                                           E#.             

cd ab 67 ef                                        ..g.            

cd ab 89 67 45                                     ...gE           

23 01 22 00 23 01                                  #.".#.          

ab 89 67 45 ab 89 67                               ..gE..g         

45 23 01 ef cd cd cc cc                            E#......        

3d 9a 99 99 99 99 99 c9  3f                        =.......?       

67 45 23 01 ef cd ab 89  3e 40                     gE#.....>@      

00 00 00 00 00 00 1a 00  b2 00 2c 00 00 00 54 68   ..........,...Th
69 73 20 69 73 20 61 20  74                        is is a t       

65 73 74 20 6f 66 20 74  68 65 20 73 74 72 69 6e   est of the strin
67 20 73 65 72 69 61 6c  69 7a 61 74 69 6f         g serializatio  

6e 2e 00 30 00 00 00 54  68 69 73 20 69 73 20 61   n..0...This is a
20 74 65 73 74 20 6f 66  20 74 68 65 20 73 74 64    test of the std
20 73 74                                            st             

72 69 6e 67 20 73 65 72  69 61 6c 69 7a 61 74 69   ring serializati
6f 6e 2e 00 58 00 00 00  54 00 68 00 69 00 73 00   on..X...T.h.i.s.
20 00 69 00 73 00 20 00                             .i.s. .        

61 00 20 00 74 00 65 00  73 00 74 00 20 00 6f 00   a. .t.e.s.t. .o.
66 00 20 00 74 00 68 00  65 00 20 00 77 00 73 00   f. .t.h.e. .w.s.
74 00 72 00 69 00 6e 00  67 00 20 00 73            t.r.i.n.g. .s   

00 65 00 72 00 69 00 61  00 6c 00 69 00 7a 00 61   .e.r.i.a.l.i.z.a
00 74 00 69 00 6f 00 6e  00 2e 00 60 00 00 00 54   .t.i.o.n...`...T
00 68 00 69 00 73 00 20  00 69 00 73 00 20 00 61   .h.i.s. .i.s. .a
00 20                                              .               

00 74 00 65 00 73 00 74  00 20 00 6f 00 66 00 20   .t.e.s.t. .o.f. 
00 74 00 68 00 65 00 20  00 73 00 74 00 64 00 20   .t.h.e. .s.t.d. 
00 77 00 73 00 74 00 72  00 69 00 6e 00 67 00 20   .w.s.t.r.i.n.g. 
00 73 00 65 00 72 00 69  00 61 00 6c 00 69 00 7a   .s.e.r.i.a.l.i.z
00 61 00 74 00 69 00 6f  00 6e 00 2e 00            .a.t.i.o.n...   

EXTRACTING SINGLE VALUES WITHOUT SWAPPING

STARTING INSERTION OF ARRAY VALUES WITHOUT SWAPPING

BYTES WRITTEN: 934
00 01                                              ..              

02 03 04                                           ...             

05 06 07 08                                        ....            

09 0a 0b 0c 0d                                     .....           

0e 00 01 00 01 04                                  ......          

05 04 05 08 09 08 09                               .......         

0c 0d 0c 00 00 01 00 02                            ........        

00 03 00 04 00 05 00 06  00                        .........       

07 00 08 00 09 00 0a 00  0b 00                     ..........      

0c 00 0d 00 0e 00 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f                        .........       

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f         ..............  

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f ef cd ab 89 67   ...............g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01                                           E#.             

ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01                            ....gE#.        

ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67            ....gE#.....g   

45 23 01 ef cd ab 89 67  45 23 01 dd dd df df dd   E#.....gE#......
dd df df dd dd df df dd  dd df 00 ff ff ff ff ff   ................
ff ff ff ff ff ff ff ff  ff ff ff ff ff ff ff ff   ................
ff ff                                              ..              

ff ff ff ff ff ff ff 00  00 f0 f0 f0 f0 f1 f0 f0   ................
f0 f2 f0 f0 f0 f3 f0 f0  f0 f4 f0 f0 f0 f5 f0 f0   ................
f0 f6 f0 f0 f0 f7 f0 f0  f0 f8 f0 f0 f0 f9 f0 f0   ................
f0 fa f0 f0 f0 fb f0 f0  f0 fc f0 f0 f0 fd f0 f0   ................
f0 fe f0 f0 f0 ab 89 67  45 23 01 ef cd ab 89 67   .......gE#.....g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g

45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01 ef cd ab 89 67  45 23 01 ef cd 00 00 00   E#.....gE#......
00 00 00 80 3f 00 00 00  3f ab aa aa 3e 00 00 80   ....?...?...>...
3e cd cc 4c 3e ab aa 2a  3e 25 49 12 3e 00 00 00   >..L>..*>%I.>...
3e 39 8e e3 3d cd cc cc  3d 8c 2e ba 3d ab aa aa   >9..=...=...=...
3d d9 89 9d 3d 25 49 92  3d 00 00 00 00 00 00 00   =...=%I.=.......
00 00 00 00 00 00 00 08  40 00 00 00 00 00 00 f8   ........@.......
3f 00 00 00 00 00 00 f0  3f 00 00 00 00 00 00 e8   ?.......?.......
3f 33 33 33 33 33 33 e3  3f 00 00 00 00 00 00 e0   ?333333.?.......
3f db b6 6d db b6 6d db  3f 00 00 00 00 00 00 d8   ?..m..m.?.......
3f 55 55 55 55 55 55 d5  3f 33 33 33 33 33 33 d3   ?UUUUUU.?333333.
3f 74 d1 45 17 5d 74 d1  3f 00 00 00 00 00 00 d0   ?t.E.]t.?.......
3f 9e d8 89 9d d8 89 cd  3f db b6 6d db b6 6d cb   ?.......?..m..m.
3f 67 45 23 01 ef cd ab  89 3e 40 00 00 01 00 00   ?gE#.....>@.....

00 67 45 23 01 ef cd ab  89 3e 40 00 00 7c 7f 00   .gE#.....>@..|..
00 67 45 23 01 ef cd ab  89 3e 40 00 00 3b 00 00   .gE#.....>@..;..
00 67 45 23 01 ef cd ab  89 3e 40 00 00 fe 7f 00   .gE#.....>@.....
00 67 45 23 01 ef cd ab  89 3e 40 00 00 7c 7f 00   .gE#.....>@..|..
00 67 45 23 01 ef cd ab  89 3e 40 00 00 3b 00 00   .gE#.....>@..;..
00 67 45 23 01 ef cd ab  89 3e 40 00 00 7c 7f 00   .gE#.....>@..|..
00 67 45 23 01 ef cd ab  89 3e 40 00 00 00 00 00   .gE#.....>@.....
00 67 45 23 01 ef cd ab  89 3e 40 00 00 3b 00 00   .gE#.....>@..;..
00 67 45 23 01 ef cd ab  89 3e 40 00 00 fe 7f 00   .gE#.....>@.....
00 67 45 23 01 ef cd ab  89 3e 40 00 00 fe 7f 00   .gE#.....>@.....
00 67 45 23 01 ef cd ab  89 3e 40 00 00 3b 00 00   .gE#.....>@..;..
00 67 45 23 01 ef cd ab  89 3e 40 00 00 3b 00 00   .gE#.....>@..;..
00 67 45 23 01 ef cd ab  89 3e 40 00 00 01 00 00   .gE#.....>@.....
00 67 45 23 01 ef cd ab  89 3e 40 00 00 00 00 00   .gE#.....>@.....
00 00 01 02 03 04 05 06  07 08 09 0a 0b 0c 0d 0e   ................
00 00 00 01 00 02 00 03  00 04 00 05 00 06 00 07   ................
00 08 00 09 00 0a 00 0b  00 0c 00 0d 00 0e 00      ............... 

EXTRACTING ARRAY VALUES WITHOUT SWAPPING


*** XCDR2, big-endian (non-native)

STARTING INSERTION OF SINGLE VALUES WITH SWAPPING

BYTES WRITTEN: 356
01 11                                              ..              

23 45 67                                           #Eg             

ab cd ef 01                                        ....            

23 45 67 89 ab                                     #Eg..           

cd ef 22 00 01 23                                  .."..#          

45 67 89 ab cd ef 01                               Eg.....         

23 45 67 89 ab 3d cc cc                            #Eg..=..        

cd 3f c9 99 99 99 99 99  9a                        .?.......       

00 00 00 00 00 00 40 3e  89 ab                     ......@>..      

cd ef 01 23 45 67 1a 00  00 b2 00 00 00 2c 54 68   ...#Eg.......,Th
69 73 20 69 73 20 61 20  74                        is is a t       

65 73 74 20 6f 66 20 74  68 65 20 73 74 72 69 6e   est of the strin
67 20 73 65 72 69 61 6c  69 7a 61 74 69 6f         g serializatio  

6e 2e 00 00 00 00 30 54  68 69 73 20 69 73 20 61   n.....0This is a
20 74 65 73 74 20 6f 66  20 74 68 65 20 73 74 64    test of the std
20 73 74                                            st             

72 69 6e 67 20 73 65 72  69 61 6c 69 7a 61 74 69   ring serializati
6f 6e 2e 00 00 00 00 58  00 54 00 68 00 69 00 73   on.....X.T.h.i.s
00 20 00 69 00 73 00 20                            . .i.s.         

00 61 00 20 00 74 00 65  00 73 00 74 00 20 00 6f   .a. .t.e.s.t. .o
00 66 00 20 00 74 00 68  00 65 00 20 00 77 00 73   .f. .t.h.e. .w.s
00 74 00 72 00 69 00 6e  00 67 00 20 00            .t.r.i.n.g. .   

73 00 65 00 72 00 69 00  61 00 6c 00 69 00 7a 00   s.e.r.i.a.l.i.z.
61 00 74 00 69 00 6f 00  6e 00 2e 00 00 00 60 00   a.t.i.o.n.....`.
54 00 68 00 69 00 73 00  20 00 69 00 73 00 20 00   T.h.i.s. .i.s. .
61 00                                              a.              

20 00 74 00 65 00 73 00  74 00 20 00 6f 00 66 00    .t.e.s.t. .o.f.
20 00 74 00 68 00 65 00  20 00 73 00 74 00 64 00    .t.h.e. .s.t.d.
20 00 77 00 73 00 74 00  72 00 69 00 6e 00 67 00    .w.s.t.r.i.n.g.
20 00 73 00 65 00 72 00  69 00 61 00 6c 00 69 00    .s.e.r.i.a.l.i.
7a 00 61 00 74 00 69 00  6f 00 6e 00 2e            z.a.t.i.o.n..   

EXTRACTING SINGLE VALUES WITH SWAPPING

STARTING INSERTION OF ARRAY VALUES WITH SWAPPING

BYTES WRITTEN: 934
00 01                                              ..              

02 03 04                                           ...             

05 06 07 08                                        ....            

09 0a 0b 0c 0d                                     .....           

0e 00 01 00 01 04                                  ......          

05 04 05 08 09 08 09                               .......         

0c 0d 0c 00 00 00 01 00                            ........        

02 00 03 00 04 00 05 00  06                        .........       

00 07 00 08 00 09 00 0a  00 0b                     ..........      

00 0c 00 0d 00 0e 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f                        .........       

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f         ..............  

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 01 23 45 67 89   ............#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef                                           ...             

01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef                            .#Eg....        

01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89            .#Eg.....#Eg.   

ab cd ef 01 23 45 67 89  ab cd ef dd dd df df dd   ....#Eg.........
dd df df dd dd df df dd  dd df 00 ff ff ff ff ff   ................
ff ff ff ff ff ff ff ff  ff ff ff ff ff ff ff ff   ................
ff ff                                              ..              

ff ff ff ff ff ff ff 00  00 f0 f0 f0 f0 f0 f0 f0   ................
f1 f0 f0 f0 f2 f0 f0 f0  f3 f0 f0 f0 f4 f0 f0 f0   ................
f5 f0 f0 f0 f6 f0 f0 f0  f7 f0 f0 f0 f8 f0 f0 f0   ................
f9 f0 f0 f0 fa f0 f0 f0  fb f0 f0 f0 fc f0 f0 f0   ................
fd f0 f0 f0 fe cd ef 01  23 45 67 89 ab cd ef 01   ........#Eg.....
23 45 67 89 ab cd ef 01  23 45 67 89 ab cd ef 01   #Eg.....#Eg.....
23 45 67 89 ab cd ef 01  23 45 67 89 ab cd ef 01   #Eg.....#Eg.....
23 45 67 89 ab cd ef 01  23 45 67 89 ab cd ef 01   #Eg.....#Eg.....

23 45 67 89 ab cd ef 01  23 45 67 89 ab cd ef 01   #Eg.....#Eg.....
23 45 67 89 ab cd ef 01  23 45 67 89 ab cd ef 01   #Eg.....#Eg.....
23 45 67 89 ab cd ef 01  23 45 67 89 ab cd ef 01   #Eg.....#Eg.....
23 45 67 89 ab cd ef 01  23 45 67 89 ab 00 00 00   #Eg.....#Eg.....
00 3f 80 00 00 3f 00 00  00 3e aa aa ab 3e 80 00   .?...?...>...>..
00 3e 4c cc cd 3e 2a aa  ab 3e 12 49 25 3e 00 00   .>L..>*..>.I%>..
00 3d e3 8e 39 3d cc cc  cd 3d ba 2e 8c 3d aa aa   .=..9=...=...=..
ab 3d 9d 89 d9 3d 92 49  25 00 00 00 00 00 00 00   .=...=.I%.......
00 40 08 00 00 00 00 00  00 3f f8 00 00 00 00 00   .@.......?......
00 3f f0 00 00 00 00 00  00 3f e8 00 00 00 00 00   .?.......?......
00 3f e3 33 33 33 33 33  33 3f e0 00 00 00 00 00   .?.333333?......
00 3f db 6d b6 db 6d b6  db 3f d8 00 00 00 00 00   .?.m..m..?......
00 3f d5 55 55 55 55 55  55 3f d3 33 33 33 33 33   .?.UUUUUU?.33333
33 3f d1 74 5d 17 45 d1  74 3f d0 00 00 00 00 00   3?.t].E.t?......
00 3f cd 89 d8 9d 89 d8  9e 3f cb 6d b6 db 6d b6   .?.......?.m..m.
db 00 00 00 01 00 00 40  3e 89 ab cd ef 01 23 45   .......@>.....#E

67 00 00 7f 7c 00 00 40  3e 89 ab cd ef 01 23 45   g...|..@>.....#E
67 00 00 00 3b 00 00 40  3e 89 ab cd ef 01 23 45   g...;..@>.....#E
67 00 00 7f fe 00 00 40  3e 89 ab cd ef 01 23 45   g......@>.....#E
67 00 00 7f 7c 00 00 40  3e 89 ab cd ef 01 23 45   g...|..@>.....#E
67 00 00 00 3b 00 00 40  3e 89 ab cd ef 01 23 45   g...;..@>.....#E
67 00 00 7f 7c 00 00 40  3e 89 ab cd ef 01 23 45   g...|..@>.....#E
67 00 00 00 00 00 00 40  3e 89 ab cd ef 01 23 45   g......@>.....#E
67 00 00 00 3b 00 00 40  3e 89 ab cd ef 01 23 45   g...;..@>.....#E
67 00 00 7f fe 00 00 40  3e 89 ab cd ef 01 23 45   g......@>.....#E
67 00 00 7f fe 00 00 40  3e 89 ab cd ef 01 23 45   g......@>.....#E
67 00 00 00 3b 00 00 40  3e 89 ab cd ef 01 23 45   g...;..@>.....#E
67 00 00 00 3b 00 00 40  3e 89 ab cd ef 01 23 45   g...;..@>.....#E
67 00 00 00 01 00 00 40  3e 89 ab cd ef 01 23 45   g......@>.....#E
67 00 00 00 00 00 00 40  3e 89 ab cd ef 01 23 45   g......@>.....#E
67 00 01 02 03 04 05 06  07 08 09 0a 0b 0c 0d 0e   g...............
00 00 00 00 01 00 02 00  03 00 04 00 05 00 06 00   ................
07 00 08 00 09 00 0a 00  0b 00 0c 00 0d 00 0e      ............... 

EXTRACTING ARRAY VALUES WITH SWAPPING

Running overrun test...

Running alignment tests...

Running alignment reset tests...

Running alignment overrun test...

Running encapsulation options tests...

SerializerTest PASSED
test PASSED.

auto_run_tests_finished: tests/DCPS/Serializer/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/idl_test1_main/run_test.pl #

serialized_size_bound => <unbounded>
serialized_size => 79
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test1_main/idl_test1 PASSED

auto_run_tests_finished: tests/DCPS/Compiler/idl_test1_main/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/Compiler/idl_test3_main/run_test.pl #

Xyz::StructOfArrayOfBoolean: serialized_size_bound(unaligned_encoding) => 5
Xyz::StructOfArrayOfBoolean: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfBoolean: serialized_size(unaligned_encoding, foo) => 5
Xyz::StructOfArrayOfBoolean: serialized_size(aligned_encoding, foo) => 5
Xyz::StructOfArrayOfBoolean: try_marshaling PASSED
Xyz::StructOfArrayOfString: serialized_size_bound(unaligned_encoding) => 60
Xyz::StructOfArrayOfString: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfString: serialized_size(unaligned_encoding, foo) => 39
Xyz::StructOfArrayOfString: serialized_size(aligned_encoding, foo) => 49
Xyz::StructOfArrayOfString: try_marshaling PASSED
Xyz::StructOfArrayOfChar: serialized_size_bound(unaligned_encoding) => 5
Xyz::StructOfArrayOfChar: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfChar: serialized_size(unaligned_encoding, foo) => 5
Xyz::StructOfArrayOfChar: serialized_size(aligned_encoding, foo) => 5
Xyz::StructOfArrayOfChar: try_marshaling PASSED
Xyz::StructOfArrayOfOctet: serialized_size_bound(unaligned_encoding) => 5
Xyz::StructOfArrayOfOctet: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfOctet: serialized_size(unaligned_encoding, foo) => 5
Xyz::StructOfArrayOfOctet: serialized_size(aligned_encoding, foo) => 5
Xyz::StructOfArrayOfOctet: try_marshaling PASSED
Xyz::StructOfArrayOfLong: serialized_size_bound(unaligned_encoding) => 20
Xyz::StructOfArrayOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfLong: serialized_size(unaligned_encoding, foo) => 20
Xyz::StructOfArrayOfLong: serialized_size(aligned_encoding, foo) => 20
Xyz::StructOfArrayOfLong: try_marshaling PASSED
Xyz::StructOfArrayOfAnEnum: serialized_size_bound(unaligned_encoding) => 20
Xyz::StructOfArrayOfAnEnum: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfAnEnum: serialized_size(unaligned_encoding, foo) => 20
Xyz::StructOfArrayOfAnEnum: serialized_size(aligned_encoding, foo) => 20
Xyz::StructOfArrayOfAnEnum: try_marshaling PASSED
Xyz::StructOfArrayOfArrayOfLong: serialized_size_bound(unaligned_encoding) => 140
Xyz::StructOfArrayOfArrayOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfArrayOfLong: serialized_size(unaligned_encoding, foo) => 140
Xyz::StructOfArrayOfArrayOfLong: serialized_size(aligned_encoding, foo) => 140
Xyz::StructOfArrayOfArrayOfLong: try_marshaling PASSED
Xyz::StructOfSeqOfBoolean: serialized_size_bound(unaligned_encoding) => 10
Xyz::StructOfSeqOfBoolean: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfBoolean: serialized_size(unaligned_encoding, foo) => 9
Xyz::StructOfSeqOfBoolean: serialized_size(aligned_encoding, foo) => 9
Xyz::StructOfSeqOfBoolean: try_marshaling PASSED
Xyz::StructOfSeqOfString: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size(unaligned_encoding, foo) => 43
Xyz::StructOfSeqOfString: serialized_size(aligned_encoding, foo) => 53
Xyz::StructOfSeqOfString: try_marshaling PASSED
Xyz::StructOfSeqOfChar: serialized_size_bound(unaligned_encoding) => 10
Xyz::StructOfSeqOfChar: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfChar: serialized_size(unaligned_encoding, foo) => 9
Xyz::StructOfSeqOfChar: serialized_size(aligned_encoding, foo) => 9
Xyz::StructOfSeqOfChar: try_marshaling PASSED
Xyz::StructOfSeqOfOctet: serialized_size_bound(unaligned_encoding) => 10
Xyz::StructOfSeqOfOctet: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfOctet: serialized_size(unaligned_encoding, foo) => 9
Xyz::StructOfSeqOfOctet: serialized_size(aligned_encoding, foo) => 9
Xyz::StructOfSeqOfOctet: try_marshaling PASSED
Xyz::StructOfSeqOfLong: serialized_size_bound(unaligned_encoding) => 28
Xyz::StructOfSeqOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfLong: serialized_size(unaligned_encoding, foo) => 24
Xyz::StructOfSeqOfLong: serialized_size(aligned_encoding, foo) => 24
Xyz::StructOfSeqOfLong: try_marshaling PASSED
Xyz::StructOfSeqOfAnEnum: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::StructOfSeqOfAnEnum: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfAnEnum: serialized_size(unaligned_encoding, foo) => 24
Xyz::StructOfSeqOfAnEnum: serialized_size(aligned_encoding, foo) => 24
Xyz::StructOfSeqOfAnEnum: try_marshaling PASSED
Xyz::StructOfArrayOfSeqOfLong: serialized_size_bound(unaligned_encoding) => 168
Xyz::StructOfArrayOfSeqOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfSeqOfLong: serialized_size(unaligned_encoding, foo) => 144
Xyz::StructOfArrayOfSeqOfLong: serialized_size(aligned_encoding, foo) => 144
Xyz::StructOfArrayOfSeqOfLong: try_marshaling PASSED
Xyz::StructOfSeqOfSeqOfLong: serialized_size_bound(unaligned_encoding) => 116
Xyz::StructOfSeqOfSeqOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfSeqOfLong: serialized_size(unaligned_encoding, foo) => 100
Xyz::StructOfSeqOfSeqOfLong: serialized_size(aligned_encoding, foo) => 100
Xyz::StructOfSeqOfSeqOfLong: try_marshaling PASSED
Xyz::StructAUnion: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::StructAUnion: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructAUnion: serialized_size(unaligned_encoding, foo) => 12
Xyz::StructAUnion: serialized_size(aligned_encoding, foo) => 12
Xyz::StructAUnion: try_marshaling PASSED
Xyz::StructOfSeqOfString: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size(unaligned_encoding, foo) => 23
Xyz::StructOfSeqOfString: serialized_size(aligned_encoding, foo) => 26
Xyz::StructOfSeqOfString: try_marshaling PASSED
Xyz::Foo: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::Foo: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::Foo: serialized_size(unaligned_encoding, foo) => 4529
Xyz::Foo: serialized_size(aligned_encoding, foo) => 5160
Xyz::Foo: try_marshaling PASSED
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test3_main/idl_test3 PASSED

auto_run_tests_finished: tests/DCPS/Compiler/idl_test3_main/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/key_annotation/run_test.pl #

auto_run_tests_finished: tests/DCPS/Compiler/key_annotation/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/is_topic_type/run_test.pl #

is_topic_type: JSON::PP not installed, skipping test and returning 0.

auto_run_tests_finished: tests/DCPS/Compiler/is_topic_type/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/TryConstruct/run_test.pl #

[==========] Running 11 tests from 5 test cases.
[----------] Global test environment set-up.
[----------] 1 test from TestTryCon
[ RUN      ] TestTryCon.string
[       OK ] TestTryCon.string (1 ms)
[----------] 1 test from TestTryCon (1 ms total)

[----------] 3 tests from StructandSeq
[ RUN      ] StructandSeq.DISCARD
[       OK ] StructandSeq.DISCARD (2 ms)
[ RUN      ] StructandSeq.USE_DEFAULT
[       OK ] StructandSeq.USE_DEFAULT (5 ms)
[ RUN      ] StructandSeq.TRIM
[       OK ] StructandSeq.TRIM (0 ms)
[----------] 3 tests from StructandSeq (7 ms total)

[----------] 2 tests from AnonSequence
[ RUN      ] AnonSequence.Trim
[       OK ] AnonSequence.Trim (1 ms)
[ RUN      ] AnonSequence.USE_DEFAULT
[       OK ] AnonSequence.USE_DEFAULT (0 ms)
[----------] 2 tests from AnonSequence (1 ms total)

[----------] 2 tests from AnonArray
[ RUN      ] AnonArray.TRIM
[       OK ] AnonArray.TRIM (0 ms)
[ RUN      ] AnonArray.USE_DEFAULT
[       OK ] AnonArray.USE_DEFAULT (0 ms)
[----------] 2 tests from AnonArray (0 ms total)

[----------] 3 tests from Union
[ RUN      ] Union.DISCARD
[       OK ] Union.DISCARD (1 ms)
[ RUN      ] Union.USE_DEFAULT
[       OK ] Union.USE_DEFAULT (0 ms)
[ RUN      ] Union.TRIM
[       OK ] Union.TRIM (1 ms)
[----------] 3 tests from Union (2 ms total)

[----------] Global test environment tear-down
[==========] 11 tests from 5 test cases ran. (11 ms total)
[  PASSED  ] 11 tests.

auto_run_tests_finished: tests/DCPS/Compiler/TryConstruct/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/Compiler/typeobject_hash_consistency/run_test.pl #

test PASSED

auto_run_tests_finished: tests/DCPS/Compiler/typeobject_hash_consistency/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/xcdr/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/xcdr/xcdr  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile test.log -DCPSPendingTimeout 3 
test PID: 20772 started at 2023-04-28 22:24:50
[==========] Running 78 tests from 6 test cases.
[----------] Global test environment set-up.
[----------] 13 tests from BasicTests
[ RUN      ] BasicTests.FinalXcdr1Struct
[       OK ] BasicTests.FinalXcdr1Struct (0 ms)
[ RUN      ] BasicTests.AppendableXcdr1Struct
[       OK ] BasicTests.AppendableXcdr1Struct (0 ms)
[ RUN      ] BasicTests.MutableXcdr1Struct
[       OK ] BasicTests.MutableXcdr1Struct (5 ms)
[ RUN      ] BasicTests.FinalXcdr2Struct
[       OK ] BasicTests.FinalXcdr2Struct (0 ms)
[ RUN      ] BasicTests.FinalXcdr2StructLE
[       OK ] BasicTests.FinalXcdr2StructLE (0 ms)
[ RUN      ] BasicTests.AppendableXcdr2Struct
[       OK ] BasicTests.AppendableXcdr2Struct (0 ms)
[ RUN      ] BasicTests.AppendableXcdr2StructLE
[       OK ] BasicTests.AppendableXcdr2StructLE (0 ms)
[ RUN      ] BasicTests.MutableXcdr2Struct
[       OK ] BasicTests.MutableXcdr2Struct (0 ms)
[ RUN      ] BasicTests.MutableXcdr2StructLE
[       OK ] BasicTests.MutableXcdr2StructLE (0 ms)
[ RUN      ] BasicTests.MutableXcdr12Union
[       OK ] BasicTests.MutableXcdr12Union (0 ms)
[ RUN      ] BasicTests.MutableXcdr12UnionLE
[       OK ] BasicTests.MutableXcdr12UnionLE (0 ms)
[ RUN      ] BasicTests.FinalUnion
[       OK ] BasicTests.FinalUnion (0 ms)
[ RUN      ] BasicTests.FinalUnionLE
[       OK ] BasicTests.FinalUnionLE (0 ms)
[----------] 13 tests from BasicTests (6 ms total)

[----------] 17 tests from AppendableTests
[ RUN      ] AppendableTests.FromNestedStruct
[       OK ] AppendableTests.FromNestedStruct (0 ms)
[ RUN      ] AppendableTests.FromAdditionalNestedStruct
[       OK ] AppendableTests.FromAdditionalNestedStruct (0 ms)
[ RUN      ] AppendableTests.FromAdditionalNestedStructLE
[       OK ] AppendableTests.FromAdditionalNestedStructLE (0 ms)
[ RUN      ] AppendableTests.BothAdditionalNestedStruct
[       OK ] AppendableTests.BothAdditionalNestedStruct (0 ms)
[ RUN      ] AppendableTests.BothAdditionalNestedStructLE
[       OK ] AppendableTests.BothAdditionalNestedStructLE (0 ms)
[ RUN      ] AppendableTests.BothAppendableStruct
[       OK ] AppendableTests.BothAppendableStruct (0 ms)
[ RUN      ] AppendableTests.BothAppendableStructLE
[       OK ] AppendableTests.BothAppendableStructLE (0 ms)
[ RUN      ] AppendableTests.FromAppendableStruct
[       OK ] AppendableTests.FromAppendableStruct (0 ms)
[ RUN      ] AppendableTests.FromAppendableStructLE
[       OK ] AppendableTests.FromAppendableStructLE (0 ms)
[ RUN      ] AppendableTests.FromAdditionalAppendableStruct
[       OK ] AppendableTests.FromAdditionalAppendableStruct (0 ms)
[ RUN      ] AppendableTests.FromAdditionalAppendableStructLE
[       OK ] AppendableTests.FromAdditionalAppendableStructLE (0 ms)
[ RUN      ] AppendableTests.BothAdditionalAppendableStruct
[       OK ] AppendableTests.BothAdditionalAppendableStruct (1 ms)
[ RUN      ] AppendableTests.BothAdditionalAppendableStructLE
[       OK ] AppendableTests.BothAdditionalAppendableStructLE (0 ms)
[ RUN      ] AppendableTests.BothAppendableWithNestedStruct2
[       OK ] AppendableTests.BothAppendableWithNestedStruct2 (0 ms)
[ RUN      ] AppendableTests.BothAppendableWithNestedStruct2LE
[       OK ] AppendableTests.BothAppendableWithNestedStruct2LE (0 ms)
[ RUN      ] AppendableTests.FromAppendableUnion
[       OK ] AppendableTests.FromAppendableUnion (0 ms)
[ RUN      ] AppendableTests.FromAppendableUnionLE
[       OK ] AppendableTests.FromAppendableUnionLE (0 ms)
[----------] 17 tests from AppendableTests (1 ms total)

[----------] 25 tests from MutableTests
[ RUN      ] MutableTests.BaselineXcdr1Test
[       OK ] MutableTests.BaselineXcdr1Test (24 ms)
[ RUN      ] MutableTests.BaselineXcdr2Test
[       OK ] MutableTests.BaselineXcdr2Test (0 ms)
[ RUN      ] MutableTests.BaselineXcdr2TestLE
[       OK ] MutableTests.BaselineXcdr2TestLE (0 ms)
[ RUN      ] MutableTests.BaselineXcdr2TestUnion
[       OK ] MutableTests.BaselineXcdr2TestUnion (0 ms)
[ RUN      ] MutableTests.BaselineXcdr2TestUnionLE
[       OK ] MutableTests.BaselineXcdr2TestUnionLE (0 ms)
[ RUN      ] MutableTests.FromMutableUnion
[       OK ] MutableTests.FromMutableUnion (0 ms)
[ RUN      ] MutableTests.FromMutableUnionLE
[       OK ] MutableTests.FromMutableUnionLE (0 ms)
[ RUN      ] MutableTests.FromModifiedMutableUnion
[       OK ] MutableTests.FromModifiedMutableUnion (0 ms)
[ RUN      ] MutableTests.FromModifiedMutableUnionLE
[       OK ] MutableTests.FromModifiedMutableUnionLE (1 ms)
[ RUN      ] MutableTests.ToReorderedXcdr1Test
[       OK ] MutableTests.ToReorderedXcdr1Test (0 ms)
[ RUN      ] MutableTests.FromReorderedXcdr1Test
[       OK ] MutableTests.FromReorderedXcdr1Test (0 ms)
[ RUN      ] MutableTests.ToReorderedXcdr2Test
[       OK ] MutableTests.ToReorderedXcdr2Test (0 ms)
[ RUN      ] MutableTests.ToReorderedXcdr2TestLE
[       OK ] MutableTests.ToReorderedXcdr2TestLE (0 ms)
[ RUN      ] MutableTests.FromReorderedXcdr2Test
[       OK ] MutableTests.FromReorderedXcdr2Test (0 ms)
[ RUN      ] MutableTests.ToAdditionalFieldXcdr1Test
[       OK ] MutableTests.ToAdditionalFieldXcdr1Test (0 ms)
[ RUN      ] MutableTests.FromAdditionalFieldXcdr1Test
[       OK ] MutableTests.FromAdditionalFieldXcdr1Test (0 ms)
[ RUN      ] MutableTests.ToAdditionalFieldXcdr2Test
[       OK ] MutableTests.ToAdditionalFieldXcdr2Test (0 ms)
[ RUN      ] MutableTests.FromAdditionalFieldMustUnderstandTest
[       OK ] MutableTests.FromAdditionalFieldMustUnderstandTest (0 ms)
[ RUN      ] MutableTests.FromAdditionalFieldXcdr2Test
[       OK ] MutableTests.FromAdditionalFieldXcdr2Test (0 ms)
[ RUN      ] MutableTests.LengthCodeTest
[       OK ] MutableTests.LengthCodeTest (0 ms)
[ RUN      ] MutableTests.ReadLc567Test
[       OK ] MutableTests.ReadLc567Test (0 ms)
[ RUN      ] MutableTests.BothMixedMutableStruct
[       OK ] MutableTests.BothMixedMutableStruct (0 ms)
[ RUN      ] MutableTests.BothMixedMutableStructLE
[       OK ] MutableTests.BothMixedMutableStructLE (0 ms)
[ RUN      ] MutableTests.FromMixedMutableStruct
[       OK ] MutableTests.FromMixedMutableStruct (0 ms)
[ RUN      ] MutableTests.FromMixedMutableStructLE
[       OK ] MutableTests.FromMixedMutableStructLE (0 ms)
[----------] 25 tests from MutableTests (26 ms total)

[----------] 6 tests from MixedExtenTests
[ RUN      ] MixedExtenTests.NestingFinalStruct
[       OK ] MixedExtenTests.NestingFinalStruct (0 ms)
[ RUN      ] MixedExtenTests.NestingFinalStructLE
[       OK ] MixedExtenTests.NestingFinalStructLE (0 ms)
[ RUN      ] MixedExtenTests.NestingAppendableStruct
[       OK ] MixedExtenTests.NestingAppendableStruct (0 ms)
[ RUN      ] MixedExtenTests.NestingAppendableStructLE
[       OK ] MixedExtenTests.NestingAppendableStructLE (0 ms)
[ RUN      ] MixedExtenTests.NestingMutableStruct
[       OK ] MixedExtenTests.NestingMutableStruct (0 ms)
[ RUN      ] MixedExtenTests.NestingMutableStructLE
[       OK ] MixedExtenTests.NestingMutableStructLE (0 ms)
[----------] 6 tests from MixedExtenTests (0 ms total)

[----------] 1 test from IdVsDeclOrder
[ RUN      ] IdVsDeclOrder.test
[       OK ] IdVsDeclOrder.test (1 ms)
[----------] 1 test from IdVsDeclOrder (1 ms total)

[----------] 16 tests from KeyTests
[ RUN      ] KeyTests.normal_BasicUnkeyedStruct
[       OK ] KeyTests.normal_BasicUnkeyedStruct (0 ms)
[ RUN      ] KeyTests.normal_BasicKeyedStruct
[       OK ] KeyTests.normal_BasicKeyedStruct (0 ms)
[ RUN      ] KeyTests.normal_UnkeyedUnion
[       OK ] KeyTests.normal_UnkeyedUnion (0 ms)
[ RUN      ] KeyTests.normal_KeyedUnion
[       OK ] KeyTests.normal_KeyedUnion (0 ms)
[ RUN      ] KeyTests.normal_ComplexUnkeyedStruct
[       OK ] KeyTests.normal_ComplexUnkeyedStruct (0 ms)
[ RUN      ] KeyTests.normal_ComplexKeyedStruct
[       OK ] KeyTests.normal_ComplexKeyedStruct (0 ms)
[ RUN      ] KeyTests.NestedKeyOnly_BasicUnkeyedStruct
[       OK ] KeyTests.NestedKeyOnly_BasicUnkeyedStruct (1 ms)
[ RUN      ] KeyTests.NestedKeyOnly_BasicKeyedStruct
[       OK ] KeyTests.NestedKeyOnly_BasicKeyedStruct (0 ms)
[ RUN      ] KeyTests.NestedKeyOnly_UnkeyedUnion
[       OK ] KeyTests.NestedKeyOnly_UnkeyedUnion (0 ms)
[ RUN      ] KeyTests.NestedKeyOnly_KeyedUnion
[       OK ] KeyTests.NestedKeyOnly_KeyedUnion (0 ms)
[ RUN      ] KeyTests.NestedKeyOnly_ComplexUnkeyedStruct
[       OK ] KeyTests.NestedKeyOnly_ComplexUnkeyedStruct (0 ms)
[ RUN      ] KeyTests.NestedKeyOnly_ComplexKeyedStruct
[       OK ] KeyTests.NestedKeyOnly_ComplexKeyedStruct (1 ms)
[ RUN      ] KeyTests.KeyOnly_BasicUnkeyedStruct
[       OK ] KeyTests.KeyOnly_BasicUnkeyedStruct (0 ms)
[ RUN      ] KeyTests.KeyOnly_BasicKeyedStruct
[       OK ] KeyTests.KeyOnly_BasicKeyedStruct (0 ms)
[ RUN      ] KeyTests.KeyOnly_UnkeyedUnion
[       OK ] KeyTests.KeyOnly_UnkeyedUnion (0 ms)
[ RUN      ] KeyTests.KeyOnly_KeyedUnion
[       OK ] KeyTests.KeyOnly_KeyedUnion (0 ms)
[----------] 16 tests from KeyTests (2 ms total)

[----------] Global test environment tear-down
[==========] 78 tests from 6 test cases ran. (36 ms total)
[  PASSED  ] 78 tests.
test PASSED.

auto_run_tests_finished: tests/DCPS/Compiler/xcdr/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/XtypesExtensibility/run_test.pl #

[==========] Running 8 tests from 4 test cases.
[----------] Global test environment set-up.
[----------] 1 test from TestFinal
[ RUN      ] TestFinal.flags_match
[       OK ] TestFinal.flags_match (3 ms)
[----------] 1 test from TestFinal (3 ms total)

[----------] 1 test from TestAppendable
[ RUN      ] TestAppendable.flags_match
[       OK ] TestAppendable.flags_match (0 ms)
[----------] 1 test from TestAppendable (0 ms total)

[----------] 1 test from TestMutable
[ RUN      ] TestMutable.flags_match
[       OK ] TestMutable.flags_match (0 ms)
[----------] 1 test from TestMutable (0 ms total)

[----------] 5 tests from TestDefault
[ RUN      ] TestDefault.flags_match
[       OK ] TestDefault.flags_match (0 ms)
[ RUN      ] TestDefault.FinalEnum
[       OK ] TestDefault.FinalEnum (1 ms)
[ RUN      ] TestDefault.AppendableEnum
[       OK ] TestDefault.AppendableEnum (0 ms)
[ RUN      ] TestDefault.DefaultEnum
[       OK ] TestDefault.DefaultEnum (0 ms)
[ RUN      ] TestDefault.ZeroEnumDefaultEnum
[       OK ] TestDefault.ZeroEnumDefaultEnum (0 ms)
[----------] 5 tests from TestDefault (1 ms total)

[----------] Global test environment tear-down
[==========] 8 tests from 4 test cases ran. (4 ms total)
[  PASSED  ] 8 tests.

auto_run_tests_finished: tests/DCPS/Compiler/XtypesExtensibility/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/Compiler/typeobject_generator/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/typeobject_generator/TypeObjectTest  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile test.log -DCPSPendingTimeout 3 
test PID: 20776 started at 2023-04-28 22:24:51
[==========] Running 2 tests from 1 test case.
[----------] Global test environment set-up.
[----------] 2 tests from TypeMapTest
[ RUN      ] TypeMapTest.Minimal
[       OK ] TypeMapTest.Minimal (13 ms)
[ RUN      ] TypeMapTest.Complete
[       OK ] TypeMapTest.Complete (0 ms)
[----------] 2 tests from TypeMapTest (13 ms total)

[----------] Global test environment tear-down
[==========] 2 tests from 1 test case ran. (14 ms total)
[  PASSED  ] 2 tests.
test PASSED.

auto_run_tests_finished: tests/DCPS/Compiler/typeobject_generator/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/keywords/run_test.pl classic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/keywords/classic/keywords-classic  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile test.log -DCPSPendingTimeout 3 
test PID: 20778 started at 2023-04-28 22:24:51
[==========] Running 8 tests from 4 test cases.
[----------] Global test environment set-up.
[----------] 2 tests from EscapedNonKeywords
[ RUN      ] EscapedNonKeywords.struct_topic_type
[       OK ] EscapedNonKeywords.struct_topic_type (0 ms)
[ RUN      ] EscapedNonKeywords.union_topic_type
[       OK ] EscapedNonKeywords.union_topic_type (0 ms)
[----------] 2 tests from EscapedNonKeywords (1 ms total)

[----------] 2 tests from IdlKeywords
[ RUN      ] IdlKeywords.struct_topic_type
[       OK ] IdlKeywords.struct_topic_type (0 ms)
[ RUN      ] IdlKeywords.union_topic_type
[       OK ] IdlKeywords.union_topic_type (0 ms)
[----------] 2 tests from IdlKeywords (0 ms total)

[----------] 2 tests from CppKeywords
[ RUN      ] CppKeywords.struct_topic_type
[       OK ] CppKeywords.struct_topic_type (0 ms)
[ RUN      ] CppKeywords.union_topic_type
[       OK ] CppKeywords.union_topic_type (0 ms)
[----------] 2 tests from CppKeywords (0 ms total)

[----------] 2 tests from DoubleKeywords
[ RUN      ] DoubleKeywords.struct_topic_type
[       OK ] DoubleKeywords.struct_topic_type (0 ms)
[ RUN      ] DoubleKeywords.union_topic_type
[       OK ] DoubleKeywords.union_topic_type (0 ms)
[----------] 2 tests from DoubleKeywords (0 ms total)

[----------] Global test environment tear-down
[==========] 8 tests from 4 test cases ran. (1 ms total)
[  PASSED  ] 8 tests.
test PASSED.

auto_run_tests_finished: tests/DCPS/Compiler/keywords/run_test.pl classic Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/explicit_ints/run_test.pl classic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/explicit_ints/classic/explicit-ints-classic  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile test.log -DCPSPendingTimeout 3 
test PID: 20780 started at 2023-04-28 22:24:51
[==========] Running 1 test from 1 test case.
[----------] Global test environment set-up.
[----------] 1 test from ExplicitInts
[ RUN      ] ExplicitInts.min_max
[       OK ] ExplicitInts.min_max (0 ms)
[----------] 1 test from ExplicitInts (0 ms total)

[----------] Global test environment tear-down
[==========] 1 test from 1 test case ran. (0 ms total)
[  PASSED  ] 1 test.
test PASSED.

auto_run_tests_finished: tests/DCPS/Compiler/explicit_ints/run_test.pl classic Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/char_literals/run_test.pl classic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/char_literals/classic/char-literals-classic  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile test.log -DCPSPendingTimeout 3 
test PID: 20782 started at 2023-04-28 22:24:52
[==========] Running 3 tests from 1 test case.
[----------] Global test environment set-up.
[----------] 3 tests from CharLiterals
[ RUN      ] CharLiterals.char_literal_values
[       OK ] CharLiterals.char_literal_values (0 ms)
[ RUN      ] CharLiterals.wchar_literal_values
[       OK ] CharLiterals.wchar_literal_values (0 ms)
[ RUN      ] CharLiterals.str_literal_values
[       OK ] CharLiterals.str_literal_values (0 ms)
[----------] 3 tests from CharLiterals (0 ms total)

[----------] Global test environment tear-down
[==========] 3 tests from 1 test case ran. (1 ms total)
[  PASSED  ] 3 tests.
test PASSED.

auto_run_tests_finished: tests/DCPS/Compiler/char_literals/run_test.pl classic Time:1s Result:0

==============================================================================

tests/DCPS/Compiler/union_defaults/run_test.pl #

[==========] Running 11 tests from 1 test case.
[----------] Global test environment set-up.
[----------] 11 tests from UnionDefault
[ RUN      ] UnionDefault.no_default
[       OK ] UnionDefault.no_default (0 ms)
[ RUN      ] UnionDefault.string
[       OK ] UnionDefault.string (0 ms)
[ RUN      ] UnionDefault.Z
[       OK ] UnionDefault.Z (0 ms)
[ RUN      ] UnionDefault.dummy
[       OK ] UnionDefault.dummy (0 ms)
[ RUN      ] UnionDefault.dummy_sequence
[       OK ] UnionDefault.dummy_sequence (4 ms)
[ RUN      ] UnionDefault.dummy_array
[       OK ] UnionDefault.dummy_array (0 ms)
[ RUN      ] UnionDefault.wstring
[       OK ] UnionDefault.wstring (1 ms)
[ RUN      ] UnionDefault.wchar
[       OK ] UnionDefault.wchar (0 ms)
[ RUN      ] UnionDefault.long_double
[       OK ] UnionDefault.long_double (0 ms)
[ RUN      ] UnionDefault.boolean
[       OK ] UnionDefault.boolean (0 ms)
[ RUN      ] UnionDefault.enum
[       OK ] UnionDefault.enum (0 ms)
[----------] 11 tests from UnionDefault (5 ms total)

[----------] Global test environment tear-down
[==========] 11 tests from 1 test case ran. (5 ms total)
[  PASSED  ] 11 tests.

auto_run_tests_finished: tests/DCPS/Compiler/union_defaults/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/DelayedDurable/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/DelayedDurable --writer -DCPSConfigFile rtps_disc.ini -DCPSPendingTimeout 3 
writer PID: 20786 started at 2023-04-28 22:24:52
(20786|20786) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(20786|20786) writer starting at  22:24:52.604070
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/DelayedDurable --reader -DCPSConfigFile rtps_disc.ini -DCPSPendingTimeout 3 
readerB PID: 20792 started at 2023-04-28 22:25:07
(20792|20792) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(20792|20792) reader starting at  22:25:07.580914
reader: Counter reached 981 at  22:25:10.205343
(20786|20786) writer ending at  22:25:30.857346
(20792|20792) reader ending at  22:25:40.357565
test PASSED.

auto_run_tests_finished: tests/DCPS/DelayedDurable/run_test.pl Time:48s Result:0

==============================================================================

tests/DCPS/DelayedDurable/run_test.pl --large-samples #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/DelayedDurable --writer -DCPSConfigFile rtps_disc.ini --large-samples -DCPSPendingTimeout 3 
writer PID: 20810 started at 2023-04-28 22:25:40
(20810|20810) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(20810|20810) writer starting at  22:25:40.527260
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/DelayedDurable --reader -DCPSConfigFile rtps_disc.ini --large-samples -DCPSPendingTimeout 3 
readerB PID: 20817 started at 2023-04-28 22:25:55
(20817|20817) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(20817|20817) reader starting at  22:25:55.528797
reader: Counter reached 95 at  22:25:58.981874
(20810|20810) writer ending at  22:26:17.994560
(20817|20817) reader ending at  22:26:27.659855
test PASSED.

auto_run_tests_finished: tests/DCPS/DelayedDurable/run_test.pl --large-samples Time:47s Result:0

==============================================================================

tests/DCPS/DelayedDurable/run_test.pl --early-reader #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/DelayedDurable --reader -DCPSConfigFile rtps_disc.ini --has-early-reader --report-last-value readerA.txt -DCPSPendingTimeout 3 
readerA PID: 20832 started at 2023-04-28 22:26:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/DelayedDurable --writer -DCPSConfigFile rtps_disc.ini --has-early-reader -DCPSPendingTimeout 3 
writer PID: 20833 started at 2023-04-28 22:26:27
(20833|20833) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(20832|20832) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(20832|20832) reader starting at  22:26:27.777574
(20833|20833) writer starting at  22:26:27.777685
reader: Counter reached 1 at  22:26:27.818557
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/DelayedDurable --reader -DCPSConfigFile rtps_disc.ini --has-early-reader --report-last-value readerB.txt -DCPSPendingTimeout 3 
readerB PID: 20844 started at 2023-04-28 22:26:37
(20844|20844) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(20844|20844) reader starting at  22:26:37.906704
(20833|20833) writer ending at  22:26:38.243993
reader: Counter reached 1 at  22:26:38.378001
(20832|20832) reader ending at  22:26:39.015187
(20844|20844) reader ending at  22:26:48.378971
test PASSED.

auto_run_tests_finished: tests/DCPS/DelayedDurable/run_test.pl --early-reader Time:21s Result:0

==============================================================================

tests/DCPS/Restart/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Restart/RestartTest  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile rt.log -DCPSPendingTimeout 3 
rt PID: 20852 started at 2023-04-28 22:26:48
Application 1 Starting
Application 1 retrieved domain participant factory
Application 1 created domain participant
Application 1 Started
Application 2 Starting
Application 2 retrieved domain participant factory
Application 2 created domain participant
Application 2 Started
Application 2 Ending
Application 2 did delete contained_entities
Application 2 did delete domain participant
Application 2 Ended
Application 3 Starting
Application 3 retrieved domain participant factory
Application 3 created domain participant
Application 3 Started
Application 3 Ending
Application 3 did delete contained_entities
Application 3 did delete domain participant
Application 3 Ended
Application 1 Ending
Application 1 did delete contained_entities
Application 1 did delete domain participant
Application 1 shutdown service participant
Application 1 Ended
Application 4 Starting
Application 4 retrieved domain participant factory
Application 4 created domain participant
Application 4 Started
Application 4 Ending
Application 4 did delete contained_entities
Application 4 did delete domain participant
Application 4 shutdown service participant
Application 4 Ended
test PASSED.

auto_run_tests_finished: tests/DCPS/Restart/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/sub_init_loop/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -ORBSvcConf repo.conf -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 20872
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/sub_init_loop/subscriber -DCPSConfigFile sub.ini -v -DCPSPendingTimeout 3 
sub PID: 20879 started at 2023-04-28 22:26:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/sub_init_loop/publisher -DCPSConfigFile pub.ini  -DCPSPendingTimeout 3 
pub PID: 20880 started at 2023-04-28 22:26:48
(20880|20880) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(20879|20879) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(20872|20872) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(20879|20879) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(20880|20880) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(20879|20879) Reinitializing subscriber.
(20879|20879) *** Destroying Subscriber
(20879|20879) Reinitializing subscriber.
(20879|20879) *** Destroying Subscriber
(20879|20879) Reinitializing subscriber.
(20879|20879) *** Destroying Subscriber
(20879|20879) Reinitializing subscriber.
(20879|20879) *** Destroying Subscriber
(20879|20879) Reinitializing subscriber.
(20879|20879) *** Destroying Subscriber
(20879|20879) Reinitializing subscriber.
(20879|20879) *** Destroying Subscriber
(20879|20879) Reinitializing subscriber.
(20879|20879) *** Destroying Subscriber
(20879|20879) Reinitializing subscriber.
(20879|20879) *** Destroying Subscriber
(20879|20879) Reinitializing subscriber.
(20879|20879) *** Destroying Subscriber
(20879|20879) Reinitializing subscriber.
(20879|20879) *** Destroying Subscriber
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/sub_init_loop/run_test.pl Time:5s Result:0

==============================================================================

tests/DCPS/BuiltInTopic/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior
InfoRepo PID: 20897
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopic/bit  -i 0 
client PID: 20904 started at 2023-04-28 22:26:53
(20897|20897) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(20904|20904) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(20904|20904) write begins.
(20904|20904) write  done
(20904|20904) read begins.
foo.x = 0.000000 foo.y = -1.000000, foo.key = 101010
(20904|20904) read done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/BuiltInTopic/run_test.pl Time:13s Result:0

==============================================================================

tests/DCPS/BuiltInTopic/run_test.pl ignore_part #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior
InfoRepo PID: 20916
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopic/bit  -i 1 
client PID: 20923 started at 2023-04-28 22:27:07
(20916|20916) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(20923|20923) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Ignoring before the association
(20923|20923) IGNORE_PARTICIPANT,  participant 01030000.349822b8.00000002.000001c1 ignore participant 01030000.349822b8.00000002.000001c1 .
(20923|20923) IGNORE_PARTICIPANT, ignored participant 01030000.349822b8.00000002.000001c1 has handle 0x3.
(20923|20923) write begins.
(20923|20923) write  done
(20923|20923) read begins.
(20923|20923) read done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/BuiltInTopic/run_test.pl ignore_part Time:14s Result:0

==============================================================================

tests/DCPS/BuiltInTopic/run_test.pl ignore_topic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior
InfoRepo PID: 20933
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopic/bit  -i 2 
client PID: 20940 started at 2023-04-28 22:27:20
(20933|20933) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(20940|20940) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Ignoring before the association
(20940|20940) IGNORE_TOPIC, participant 01030000.55fc124c.00000002.000001c1 ignore topic 01030000.55fc124c.00000002.00000845 .
(20940|20940) IGNORE_TOPIC,  ignored topic 01030000.55fc124c.00000002.00000845 has handle 0x7.
(20940|20940) write begins.
(20940|20940) write  done
(20940|20940) read begins.
(20940|20940) read done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/BuiltInTopic/run_test.pl ignore_topic Time:13s Result:0

==============================================================================

tests/DCPS/BuiltInTopic/run_test.pl ignore_pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior
InfoRepo PID: 20958
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopic/bit  -i 3 
client PID: 20965 started at 2023-04-28 22:27:34
(20958|20958) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(20965|20965) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Ignoring after the association
(20965|20965) IGNORE_PUBLICATION, participant 01030000.5b0a5000.00000002.000001c1 ignore publication 01030000.5b0a5000.00000002.00000102 .
(20965|20965) IGNORE_PUBLICATION,  ignored topic 01030000.5b0a5000.00000002.00000102 has handle 0xb.
(20965|20965) write begins.
(20965|20965) write  done
(20965|20965) read begins.
(20965|20965) read done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/BuiltInTopic/run_test.pl ignore_pub Time:14s Result:0

==============================================================================

tests/DCPS/BuiltInTopic/run_test.pl ignore_sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior
InfoRepo PID: 20978
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopic/bit  -i 4 
client PID: 20985 started at 2023-04-28 22:27:47
(20978|20978) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(20985|20985) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Ignoring after the association
(20985|20985) IGNORE_SUBSCRIPTION, participant 01030000.7c8b94c6.00000002.000001c1 ignore subscription 01030000.7c8b94c6.00000002.00000507 .
(20985|20985) IGNORE_SUBSCRIPTION,  ignored topic 01030000.7c8b94c6.00000002.00000507 has handle 0xa.
(20985|20985) write begins.
(20985|20985) write  done
(20985|20985) read begins.
(20985|20985) read done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/BuiltInTopic/run_test.pl ignore_sub Time:13s Result:0

==============================================================================

tests/DCPS/CorbaSeq/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -NOBITS -DCPSPendingTimeout 3 
InfoRepo PID: 20996
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CorbaSeq/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub.log -DCPSBit 0  -DCPSPendingTimeout 3 
pub PID: 21003 started at 2023-04-28 22:28:01
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CorbaSeq/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub.log -DCPSBit 0  -DCPSPendingTimeout 3 
sub PID: 21004 started at 2023-04-28 22:28:01
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/CorbaSeq/run_test.pl Time:5s Result:0

==============================================================================

tests/DCPS/NotifyTest/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 21015
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/NotifyTest/publisher -d -u -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub.log -DCPSPendingTimeout 3 
pub PID: 21022 started at 2023-04-28 22:28:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/NotifyTest/subscriber -d -u -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub.log -DCPSPendingTimeout 3 
sub PID: 21023 started at 2023-04-28 22:28:05
(21015|21015) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
 expected 10/2/0
 recv 0
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
instance is disposed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
instance is disposed
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/NotifyTest/run_test.pl Time:3s Result:0

==============================================================================

tests/DCPS/Observer/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Observer/subscriber  -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 21041 started at 2023-04-28 22:28:08
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Observer/publisher  -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 21042 started at 2023-04-28 22:28:08
Reader1 observes SAMPLE_READ
on_enabled 1 reader 0103000c.297a35f2.5231e59c.00000007
on_qos_changed 1 reader 0103000c.297a35f2.5231e59c.00000007 qos.user_data: cba
Reader2 observes SAMPLE_TAKEN
on_enabled 1 writer 0103000c.297a35f2.523229da.00000002
Publisher waiting for subscriber...
on_enabled 2 reader 0103000c.297a35f2.5231e59c.01000007
on_qos_changed 2 reader 0103000c.297a35f2.5231e59c.01000007 qos.user_data: cba
on_associated 1 reader 0103000c.297a35f2.5231e59c.00000007 with writer 0103000c.297a35f2.523229da.00000002
on_associated 2 reader 0103000c.297a35f2.5231e59c.01000007 with writer 0103000c.297a35f2.523229da.00000002
on_associated 1 writer 0103000c.297a35f2.523229da.00000002 with reader 0103000c.297a35f2.5231e59c.00000007
on_sample_sent 1 writer 0103000c.297a35f2.523229da.00000002
on_associated 2 writer 0103000c.297a35f2.523229da.00000002 with reader 0103000c.297a35f2.5231e59c.01000007
on_sample_read 1 reader 0103000c.297a35f2.5231e59c.00000007
on_sample_received 1 reader 0103000c.297a35f2.5231e59c.00000007
on_sample_received 2 reader 0103000c.297a35f2.5231e59c.01000007
on_sample_sent 2 writer 0103000c.297a35f2.523229da.00000002
on_sample_read 2 reader 0103000c.297a35f2.5231e59c.00000007
on_sample_received 3 reader 0103000c.297a35f2.5231e59c.00000007
on_sample_received 4 reader 0103000c.297a35f2.5231e59c.01000007
on_qos_changed 1 writer 0103000c.297a35f2.523229da.00000002 qos.user_data: abc
on_sample_sent 3 writer 0103000c.297a35f2.523229da.00000002
on_sample_received 5 reader 0103000c.297a35f2.5231e59c.00000007
on_sample_taken 1 reader 0103000c.297a35f2.5231e59c.01000007
on_sample_received 6 reader 0103000c.297a35f2.5231e59c.01000007
on_sample_sent 4 writer 0103000c.297a35f2.523229da.00000002
on_sample_read 3 reader 0103000c.297a35f2.5231e59c.00000007
on_sample_received 7 reader 0103000c.297a35f2.5231e59c.00000007
on_sample_received 8 reader 0103000c.297a35f2.5231e59c.01000007
on_sample_sent 5 writer 0103000c.297a35f2.523229da.00000002
on_sample_received 9 reader 0103000c.297a35f2.5231e59c.00000007
on_sample_taken 2 reader 0103000c.297a35f2.5231e59c.01000007
on_sample_received 10 reader 0103000c.297a35f2.5231e59c.01000007
on_sample_sent 6 writer 0103000c.297a35f2.523229da.00000002
on_sample_received 11 reader 0103000c.297a35f2.5231e59c.00000007
on_sample_taken 3 reader 0103000c.297a35f2.5231e59c.01000007
on_sample_received 12 reader 0103000c.297a35f2.5231e59c.01000007
Publisher cleanup
Publisher delete_contained_entities
on_deleted 1 writer 0103000c.297a35f2.523229da.00000002
on_sample_taken 4 reader 0103000c.297a35f2.5231e59c.01000007
on_disassociated 1 writer 0103000c.297a35f2.523229da.00000002 from reader 0103000c.297a35f2.5231e59c.00000007
on_disassociated 2 writer 0103000c.297a35f2.523229da.00000002 from reader 0103000c.297a35f2.5231e59c.01000007
on_disassociated 1 reader 0103000c.297a35f2.5231e59c.00000007 from writer 0103000c.297a35f2.523229da.00000002
check_ = 1
Publisher delete_participant
on_disassociated 2 reader 0103000c.297a35f2.5231e59c.01000007 from writer 0103000c.297a35f2.523229da.00000002
Subscriber cleanup
Subscriber delete_contained_entities
on_deleted 1 reader 0103000c.297a35f2.5231e59c.00000007
on_deleted 2 reader 0103000c.297a35f2.5231e59c.01000007
Subscriber delete_participant
Publisher TheServiceParticipant.shutdown
check_ = 2
Publisher cleanup done
Subscriber TheServiceParticipant.shutdown
check_ = 3
Subscriber cleanup done
test PASSED.

auto_run_tests_finished: tests/DCPS/Observer/run_test.pl Time:13s Result:0

==============================================================================

tests/DCPS/ReliableBestEffortReaders/run_test.pl #

Testing best-effort readers:
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/subscriber  -DCPSConfigFile rtps_disc.ini -r 00 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 21055 started at 2023-04-28 22:28:21
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/publisher  -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 21056 started at 2023-04-28 22:28:21
Reader1: best-effort
Reader2: best-effort
Publisher waiting for subscriber...
Reader1 received 1:1:test
Reader2 received 1:1:test
Reader1 received 1:2:test
Reader2 received 1:2:test
Reader1 received 1:3:test
Reader2 received 1:3:test
Reader1 received 1:4:test
Reader2 received 1:4:test
Publisher cleanup
Reader1 received 1:5:test
Reader2 received 1:5:test
Reader1 received: 5/5
Reader2 received: 5/5
Subscriber cleanup
test PASSED.

Testing reliable readers:
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/subscriber  -DCPSConfigFile rtps_disc.ini -r 11 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 21075 started at 2023-04-28 22:28:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/publisher  -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 21076 started at 2023-04-28 22:28:26
Reader1: reliable
Reader2: reliable
Publisher waiting for subscriber...
Publisher cleanup
Reader1 received 1:2:test
Reader1 received 1:3:test
Reader1 received 1:4:test
Reader1 received 1:5:test
Reader2 received 1:2:test
Reader2 received 1:3:test
Reader2 received 1:4:test
Reader2 received 1:5:test
Reader1 received: 4/5
Reader2 received: 4/5
Subscriber cleanup
test PASSED.

Testing best-effort and reliable readers:
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/subscriber  -DCPSConfigFile rtps_disc.ini -r 01 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 21087 started at 2023-04-28 22:28:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/publisher  -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 21088 started at 2023-04-28 22:28:30
Reader1: best-effort
Reader2: reliable
Publisher waiting for subscriber...
Reader1 received 1:1:test
Reader2 received 1:1:test
Reader1 received 1:2:test
Reader2 received 1:2:test
Reader1 received 1:3:test
Reader2 received 1:3:test
Reader1 received 1:4:test
Reader2 received 1:4:test
Publisher cleanup
Reader1 received 1:5:test
Reader2 received 1:5:test
Reader1 received: 5/5
Reader2 received: 5/5
Subscriber cleanup
test PASSED.

Testing reliable and best-effort readers:
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/subscriber  -DCPSConfigFile rtps_disc.ini -r 10 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 21099 started at 2023-04-28 22:28:33
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/publisher  -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 21100 started at 2023-04-28 22:28:33
Reader1: reliable
Reader2: best-effort
Publisher waiting for subscriber...
Reader2 received 1:1:test
Reader1 received 1:1:test
Reader1 received 1:2:test
Reader2 received 1:2:test
Reader1 received 1:3:test
Reader2 received 1:3:test
Reader1 received 1:4:test
Reader2 received 1:4:test
Publisher cleanup
Reader1 received 1:5:test
Reader2 received 1:5:test
Reader1 received: 5/5
Reader2 received: 5/5
Subscriber cleanup
test PASSED.

auto_run_tests_finished: tests/DCPS/ReliableBestEffortReaders/run_test.pl Time:17s Result:0

==============================================================================

tests/DCPS/WriteDataContainer/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/WriteDataContainer/WriteDataContainerTest -DcpsBit 0 -ORBVerboseLogging 1 -DCPSDebugLevel 10 -DCPSTransportDebugLevel 1 -ORBLogFile WriteDataContainerTest.log -DCPSPendingTimeout 3 
WriteDataContainerTest PID: 21113 started at 2023-04-28 22:28:38
(21113|21113) write data container test start
test PASSED.

auto_run_tests_finished: tests/DCPS/WriteDataContainer/run_test.pl Time:2s Result:0

==============================================================================

tests/transport/simple/run_test.pl bp #

simple_subscriber -p 1:localhost:19882 -s 2:localhost:13875 -n 400 -q
simple_publisher -p 1:localhost:19882 -s 2:localhost:13875 -n 400 -c 128 -q
(21116|21119) DataReader association with 01030000.00000000.00000001.00000102(99dbc960) is done flags=1.
(21120|21123) DataWriter association with 01030000.00000000.00000001.00000207(c29c6e2c) is done flags=3.
(21120|21120) Publisher total time required was 0.11923 seconds.
(21116|21116) Total time required is 0.11339 seconds.

auto_run_tests_finished: tests/transport/simple/run_test.pl bp Time:17s Result:0

==============================================================================

tests/transport/simple/run_test.pl n #

simple_subscriber -p 1:localhost:11844 -s 2:localhost:17842 -n 400
simple_publisher -p 1:localhost:11844 -s 2:localhost:17842 -n 400
(21125|21128) DataReader association with 01030000.00000000.00000001.00000102(99dbc960) is done flags=1.
(21129|21132) DataWriter association with 01030000.00000000.00000001.00000207(c29c6e2c) is done flags=3.
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [1]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [2]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [3]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [4]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [5]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [6]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [7]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [8]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [9]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [10]]
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [11]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [12]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [13]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [14]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [15]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [16]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [17]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [18]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [19]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [20]]
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [21]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [22]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [23]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [24]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [25]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [26]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [27]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [28]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [29]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [30]]
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [31]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [32]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [33]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [34]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [35]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [36]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [37]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [38]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [39]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [40]]
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [41]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [42]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [43]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [44]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [45]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [46]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [47]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [48]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [49]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [50]]
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [51]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [52]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [53]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [54]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [55]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [56]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [57]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [58]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [59]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [60]]
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [61]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [62]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [63]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [64]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [65]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [66]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [67]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [68]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [69]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [70]]
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [71]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [72]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [73]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [74]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [75]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [76]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [77]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [78]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [79]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [80]]
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [81]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [82]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [83]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [84]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [85]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [86]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [87]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [88]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [89]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [90]]
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [91]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [92]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [93]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [94]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [95]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [96]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [97]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [98]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [99]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [100]]
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [101]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [102]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [103]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [104]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [105]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [106]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [107]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [108]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [109]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [110]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [111]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [112]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [113]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [114]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [115]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [116]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [117]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [118]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [119]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [120]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [121]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [122]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [123]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [124]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [125]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [126]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [127]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [128]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [129]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [130]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [131]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [132]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [133]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [134]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [135]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [136]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [137]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [138]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [139]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [140]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [141]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [142]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [143]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [144]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [145]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [146]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [147]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [148]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [149]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [150]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [151]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [152]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [153]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [154]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [155]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [156]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [157]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [158]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [159]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [160]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [161]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [162]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [163]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [164]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [165]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [166]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [167]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [168]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [169]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [170]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [171]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [172]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [173]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [174]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [175]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [176]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [177]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [178]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [179]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [180]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [181]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [182]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [183]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [184]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [185]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [186]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [187]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [188]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [189]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [190]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [191]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [192]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [193]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [194]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [195]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [196]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [197]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [198]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [199]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [200]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [201]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [202]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [203]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [204]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [205]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [206]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [207]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [208]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [209]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [210]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [211]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [212]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [213]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [214]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [215]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [216]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [217]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [218]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [219]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [220]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [221]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [222]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [223]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [224]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [225]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [226]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [227]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [228]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [229]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [230]]
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) The transport has confirmed that a sample has been delivered.
(21129|21129) Publisher total time required was 0.21576 seconds.
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [231]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [232]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [233]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [234]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [235]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [236]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [237]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [238]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [239]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [240]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [241]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [242]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [243]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [244]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [245]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [246]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [247]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [248]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [249]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [250]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [251]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [252]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [253]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [254]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [255]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [256]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [257]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [258]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [259]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [260]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [261]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [262]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [263]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [264]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [265]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [266]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [267]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [268]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [269]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [270]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [271]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [272]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [273]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [274]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [275]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [276]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [277]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [278]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [279]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [280]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [281]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [282]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [283]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [284]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [285]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [286]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [287]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [288]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [289]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [290]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [291]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [292]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [293]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [294]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [295]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [296]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [297]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [298]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [299]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [300]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [301]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [302]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [303]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [304]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [305]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [306]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [307]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [308]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [309]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [310]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [311]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [312]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [313]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [314]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [315]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [316]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [317]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [318]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [319]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [320]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [321]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [322]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [323]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [324]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [325]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [326]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [327]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [328]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [329]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [330]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [331]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [332]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [333]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [334]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [335]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [336]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [337]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [338]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [339]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [340]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [341]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [342]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [343]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [344]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [345]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [346]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [347]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [348]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [349]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [350]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [351]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [352]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [353]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [354]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [355]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [356]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [357]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [358]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [359]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [360]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [361]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [362]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [363]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [364]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [365]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [366]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [367]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [368]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [369]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [370]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [371]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [372]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [373]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [374]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [375]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [376]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [377]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [378]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [379]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [380]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [381]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [382]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [383]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [384]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [385]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [386]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [387]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [388]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [389]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [390]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [391]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [392]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [393]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [394]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [395]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [396]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [397]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [398]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [399]]
(21125|21128) Data has been received:
(21125|21128) Message: [Hello World! [400]]
(21125|21125) Total time required is 0.30645 seconds.

auto_run_tests_finished: tests/transport/simple/run_test.pl n Time:2s Result:0

==============================================================================

tests/transport/simple/run_test.pl #

simple_subscriber -p 1:localhost:11845 -s 2:localhost:27562
simple_publisher -p 1:localhost:11845 -s 2:localhost:27562
(21134|21137) DataReader association with 01030000.00000000.00000001.00000102(99dbc960) is done flags=1.
(21138|21141) DataWriter association with 01030000.00000000.00000001.00000207(c29c6e2c) is done flags=3.
(21134|21137) Data has been received:
(21134|21137) Message: [Hello World! [1]]
(21138|21138) The transport has confirmed that a sample has been delivered.
(21138|21138) Publisher total time required was 0.651 seconds.
(21134|21134) Total time required is 0.1 seconds.

auto_run_tests_finished: tests/transport/simple/run_test.pl Time:2s Result:0

==============================================================================

tests/transport/simple/run_test.pl shmem bp #

simple_subscriber -p 1:localhost:27553 -s 2:localhost:19851 -m -n 400 -q
simple_publisher -p 1:localhost:27553 -s 2:localhost:19851 -m -n 400 -c 128 -q
(21143|21143) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(21148|21148) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(21143|21143) DataReader association with 01030000.00000000.00000001.00000102(99dbc960) is done flags=1.
(21148|21152) DataWriter association with 01030000.00000000.00000001.00000207(c29c6e2c) is done flags=3.
(21148|21148) Publisher total time required was 0.3547 seconds.
(21143|21143) Total time required is 0.4908 seconds.

auto_run_tests_finished: tests/transport/simple/run_test.pl shmem bp Time:18s Result:0

==============================================================================

tests/transport/simple/run_test.pl shmem n #

simple_subscriber -p 1:localhost:11263 -s 2:localhost:21340 -m -n 400
simple_publisher -p 1:localhost:11263 -s 2:localhost:21340 -m -n 400
(21157|21157) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(21162|21162) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(21157|21157) DataReader association with 01030000.00000000.00000001.00000102(99dbc960) is done flags=1.
(21162|21166) DataWriter association with 01030000.00000000.00000001.00000207(c29c6e2c) is done flags=3.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [1]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [2]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [3]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [4]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [5]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [6]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [7]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [8]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [9]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [10]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [11]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [12]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [13]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [14]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [15]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [16]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [17]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [18]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [19]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [20]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [21]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [22]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [23]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [24]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [25]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [26]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [27]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [28]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [29]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [30]]
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [31]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [32]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [33]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [34]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [35]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [36]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [37]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [38]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [39]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [40]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [41]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [42]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [43]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [44]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [45]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [46]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [47]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [48]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [49]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [50]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [51]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [52]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [53]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [54]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [55]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [56]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [57]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [58]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [59]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [60]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [61]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [62]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [63]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [64]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [65]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [66]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [67]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [68]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [69]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [70]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [71]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [72]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [73]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [74]]
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [75]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [76]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [77]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [78]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [79]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [80]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [81]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [82]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [83]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [84]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [85]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [86]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [87]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [88]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [89]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [90]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [91]]
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [92]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [93]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [94]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [95]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [96]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [97]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [98]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [99]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [100]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [101]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [102]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [103]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [104]]
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [105]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [106]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [107]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [108]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [109]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [110]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [111]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [112]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [113]]
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [114]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [115]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [116]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [117]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [118]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [119]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [120]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [121]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [122]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [123]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [124]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [125]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [126]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [127]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [128]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [129]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [130]]
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [131]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [132]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [133]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [134]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [135]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [136]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [137]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [138]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [139]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [140]]
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [141]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [142]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [143]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [144]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [145]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [146]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [147]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [148]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [149]]
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [150]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [151]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [152]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [153]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [154]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [155]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [156]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [157]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [158]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [159]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [160]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [161]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [162]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [163]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [164]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [165]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [166]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [167]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [168]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [169]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [170]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [171]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [172]]
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Data has been received:
(21162|21162) The transport has confirmed that a sample has been delivered.
(21157|21161) Message: [Hello World! [173]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [174]]
(21162|21162) Publisher total time required was 0.8563 seconds.
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [175]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [176]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [177]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [178]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [179]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [180]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [181]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [182]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [183]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [184]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [185]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [186]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [187]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [188]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [189]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [190]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [191]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [192]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [193]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [194]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [195]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [196]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [197]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [198]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [199]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [200]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [201]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [202]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [203]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [204]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [205]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [206]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [207]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [208]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [209]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [210]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [211]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [212]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [213]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [214]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [215]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [216]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [217]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [218]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [219]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [220]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [221]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [222]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [223]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [224]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [225]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [226]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [227]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [228]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [229]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [230]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [231]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [232]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [233]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [234]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [235]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [236]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [237]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [238]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [239]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [240]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [241]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [242]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [243]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [244]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [245]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [246]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [247]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [248]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [249]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [250]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [251]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [252]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [253]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [254]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [255]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [256]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [257]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [258]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [259]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [260]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [261]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [262]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [263]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [264]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [265]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [266]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [267]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [268]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [269]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [270]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [271]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [272]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [273]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [274]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [275]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [276]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [277]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [278]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [279]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [280]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [281]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [282]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [283]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [284]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [285]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [286]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [287]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [288]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [289]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [290]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [291]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [292]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [293]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [294]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [295]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [296]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [297]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [298]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [299]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [300]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [301]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [302]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [303]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [304]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [305]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [306]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [307]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [308]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [309]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [310]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [311]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [312]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [313]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [314]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [315]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [316]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [317]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [318]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [319]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [320]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [321]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [322]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [323]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [324]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [325]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [326]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [327]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [328]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [329]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [330]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [331]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [332]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [333]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [334]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [335]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [336]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [337]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [338]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [339]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [340]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [341]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [342]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [343]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [344]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [345]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [346]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [347]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [348]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [349]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [350]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [351]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [352]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [353]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [354]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [355]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [356]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [357]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [358]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [359]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [360]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [361]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [362]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [363]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [364]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [365]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [366]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [367]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [368]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [369]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [370]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [371]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [372]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [373]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [374]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [375]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [376]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [377]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [378]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [379]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [380]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [381]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [382]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [383]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [384]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [385]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [386]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [387]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [388]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [389]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [390]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [391]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [392]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [393]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [394]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [395]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [396]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [397]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [398]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [399]]
(21157|21161) Data has been received:
(21157|21161) Message: [Hello World! [400]]
(21157|21157) Total time required is 0.18239 seconds.

auto_run_tests_finished: tests/transport/simple/run_test.pl shmem n Time:4s Result:0

==============================================================================

tests/transport/simple/run_test.pl shmem #

simple_subscriber -p 1:localhost:28685 -s 2:localhost:29951 -m
simple_publisher -p 1:localhost:28685 -s 2:localhost:29951 -m
(21177|21177) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(21182|21182) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(21177|21177) DataReader association with 01030000.00000000.00000001.00000102(99dbc960) is done flags=1.
(21182|21186) DataWriter association with 01030000.00000000.00000001.00000207(c29c6e2c) is done flags=3.
(21182|21182) The transport has confirmed that a sample has been delivered.
(21182|21182) Publisher total time required was 0.295 seconds.
(21177|21181) Data has been received:
(21177|21181) Message: [Hello World! [1]]
(21177|21177) Total time required is 0.1 seconds.

auto_run_tests_finished: tests/transport/simple/run_test.pl shmem Time:5s Result:0

==============================================================================

tests/transport/error_handling/run_test.pl #

test PASSED.

auto_run_tests_finished: tests/transport/error_handling/run_test.pl Time:0s Result:0

==============================================================================

tests/transport/network_resource/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/network_resource/NetworkResource  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile nr.log -DCPSPendingTimeout 3 
nr PID: 21195 started at 2023-04-28 22:29:28
[==========] Running 2 tests from 1 test case.
[----------] Global test environment set-up.
[----------] 2 tests from dds_DCPS_NetworkResource
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_double
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_double (187 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_triple
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_triple (86 ms)
[----------] 2 tests from dds_DCPS_NetworkResource (273 ms total)

[----------] Global test environment tear-down
[==========] 2 tests from 1 test case ran. (273 ms total)
[  PASSED  ] 2 tests.
test PASSED.

auto_run_tests_finished: tests/transport/network_resource/run_test.pl Time:0s Result:0

==============================================================================

performance-tests/DCPS/InfoRepo_population/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -NOBITS -o repo.ior
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/syncServer  -p2 -s1
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/publisher   -DCPSConfigFile pub.ini -DCPSBit 0 -t5 -n5 -p5 -s5
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/publisher   -DCPSConfigFile pub.ini -DCPSBit 0 -t5 -n5 -p5 -s5
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/subscriber   -DCPSConfigFile sub.ini -DCPSBit 0 -t5 -n5 -s5 -p10
(21206|21206) NOTICE: using DCPSBit value from command option (overrides value if it's in config file)
(21207|21207) NOTICE: using DCPSBit value from command option (overrides value if it's in config file)
(21208|21208) NOTICE: using DCPSBit value from command option (overrides value if it's in config file)
(21208|21208) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(21206|21206) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(21207|21207) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.

Role: Topic,  15 instances in 28 milliseconds.
Role: Participant,  15 instances in 6 milliseconds.
Role: Publisher,  10 instances in 151 milliseconds.
Role: Subscriber,  5 instances in 1015 milliseconds.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: performance-tests/DCPS/InfoRepo_population/run_test.pl Time:2s Result:0

==============================================================================

DevGuideExamples/DCPS/Messenger/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -ORBDebugLevel 1 -ORBLogFile DCPSInfoRepo.log -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 21225
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger/subscriber  -ORBDebugLevel 1 -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 21232 started at 2023-04-28 22:29:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger/publisher  -ORBDebugLevel 1 -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 21233 started at 2023-04-28 22:29:30
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 100
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 101
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 102
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 103
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 104
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 105
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 106
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 107
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 108
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
test PASSED.

auto_run_tests_finished: DevGuideExamples/DCPS/Messenger/run_test.pl Time:0s Result:0

==============================================================================

DevGuideExamples/DCPS/Messenger/run_test.pl --rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger/subscriber  -ORBDebugLevel 1 -DCPSConfigFile rtps.ini -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 21249 started at 2023-04-28 22:29:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger/publisher  -ORBDebugLevel 1 -DCPSConfigFile rtps.ini -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 21250 started at 2023-04-28 22:29:30
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 100
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 101
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 102
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 103
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 104
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 105
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 106
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 107
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 108
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
test PASSED.

auto_run_tests_finished: DevGuideExamples/DCPS/Messenger/run_test.pl --rtps Time:2s Result:0

==============================================================================

DevGuideExamples/DCPS/Messenger_ZeroCopy/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile DCPSInfoRepo.log -o repo.ior 
TAO (21262|21262) - Completed initializing the process-wide service context
TAO (21262|21262) - Default ORB services initialization begins
TAO (21262|21262) - ORBInitializer_Registry::register_orb_initializer 0 @0x6f3800
TAO (21262|21262) - ORBInitializer_Registry::register_orb_initializer 1 @0x6f0c00
TAO (21262|21262) - Default ORB services initialization completed
TAO (21262|21262) - We are the default ORB ...
TAO (21262|21262) - Initializing the orb-specific services
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger_ZeroCopy/publisher  -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile publisher.log
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger_ZeroCopy/subscriber  -ORBDebugLevel 10 -DCPSDebugLevel 10 -DCPSTransportDebugLevel 6 -ORBLogFile subscriber.log
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 2
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.

auto_run_tests_finished: DevGuideExamples/DCPS/Messenger_ZeroCopy/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Messenger/run_test.pl udp #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -ORBDebugLevel 1 -ORBLogFile DCPSInfoRepo.log  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 21286
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/subscriber -ORBDebugLevel 1 -DCPSConfigFile sub_udp.ini -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 21293 started at 2023-04-28 22:29:33
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/publisher -ORBDebugLevel 1 -DCPSConfigFile pub_udp.ini -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 21294 started at 2023-04-28 22:29:33
Starting publisher
Starting publisher with 1 args
Transport is UN-RELIABLE
Start Writing Samples
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
deleting contained entities
deleting participant
shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
test PASSED.

auto_run_tests_finished: tests/DCPS/Messenger/run_test.pl udp Time:11s Result:0

==============================================================================

tests/DCPS/Messenger/run_test.pl default_udp #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -ORBDebugLevel 1 -ORBLogFile DCPSInfoRepo.log  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 21307
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/subscriber -ORBDebugLevel 1 -t udp -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 21314 started at 2023-04-28 22:29:43
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/publisher -ORBDebugLevel 1 -t udp -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 21315 started at 2023-04-28 22:29:43
Starting publisher
Starting publisher with 3 args
Transport is UN-RELIABLE
Start Writing Samples
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
deleting contained entities
deleting participant
shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
test PASSED.

auto_run_tests_finished: tests/DCPS/Messenger/run_test.pl default_udp Time:10s Result:0

==============================================================================

tests/DCPS/RecorderReplayer/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -ORBDebugLevel 1 -ORBLogFile DCPSInfoRepo.log   -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 21331
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/publisher -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile pub.log -DCPSConfigFile pub.ini
publisher PID: 21338 started at 2023-04-28 22:29:54
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile sub.log -DCPSConfigFile sub.ini
subscriber PID: 21339 started at 2023-04-28 22:29:54
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/relay -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile relay.log -DCPSConfigFile relay.ini
relay PID: 21340 started at 2023-04-28 22:29:54
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 100
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 101
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 102
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 103
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 104
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 105
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 106
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 107
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 108
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
test PASSED.

auto_run_tests_finished: tests/DCPS/RecorderReplayer/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/RecorderReplayer/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/publisher -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile pub.log -DCPSConfigFile rtps_disc.ini
publisher PID: 21362 started at 2023-04-28 22:29:54
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile sub.log -DCPSConfigFile rtps_disc.ini
subscriber PID: 21363 started at 2023-04-28 22:29:54
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/relay -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile relay.log -DCPSConfigFile rtps_disc.ini
relay PID: 21364 started at 2023-04-28 22:29:54
test PASSED.

auto_run_tests_finished: tests/DCPS/RecorderReplayer/run_test.pl rtps_disc Time:1s Result:0

==============================================================================

tests/DCPS/RecorderLogging/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -ORBDebugLevel 1 -ORBLogFile DCPSInfoRepo.log   -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 21381
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderLogging/publisher -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile pub.log -DCPSConfigFile pub.ini
publisher PID: 21388 started at 2023-04-28 22:29:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderLogging/recorder -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile recorder.log -DCPSConfigFile recorder.ini
recorder PID: 21389 started at 2023-04-28 22:29:55
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
test PASSED.

auto_run_tests_finished: tests/DCPS/RecorderLogging/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/RecorderLogging/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderLogging/publisher -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile pub.log -DCPSConfigFile rtps_disc.ini
publisher PID: 21405 started at 2023-04-28 22:29:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderLogging/recorder -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile recorder.log -DCPSConfigFile rtps_disc.ini
recorder PID: 21406 started at 2023-04-28 22:29:56
test PASSED.

auto_run_tests_finished: tests/DCPS/RecorderLogging/run_test.pl rtps_disc Time:0s Result:0

==============================================================================

examples/DCPS/Messenger_Imr/run_test.pl #

>>> /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/TAO/orbsvcs/ImplRepo_Service/tao_imr_locator -o imr.ior  -orbendpoint iiop://:28448
>>> /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/TAO/orbsvcs/ImplRepo_Service/tao_imr_activator -o activator.ior -ORBInitRef ImplRepoService=file://imr.ior  -orbendpoint iiop://:28301
>>> /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -NOBITS -o repo.ior  -ORBuseimr 1 -ORBInitRef ImplRepoService=file://imr.ior
>>> /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin/tao_imr -ORBInitRef ImplRepoService=file://imr.ior shutdown InfoRepo
Successfully shut down server <InfoRepo>
>>> /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin/tao_imr -ORBInitRef ImplRepoService=file://imr.ior update InfoRepo -l flea -c "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/.//DCPSInfoRepo  -NOBITS -o repo.ior  -ORBuseimr 1 -ORBInitRef ImplRepoService=file://imr.ior"
Successfully registered <InfoRepo>.
>>> /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin/tao_imr -ORBInitRef ImplRepoService=file://imr.ior list -v
Server <InfoRepo>
  Activator: flea
  Command Line: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/.//DCPSInfoRepo  -NOBITS -o repo.ior  -ORBuseimr 1 -ORBInitRef ImplRepoService=file://imr.ior
  Working Directory: 
  Activation Mode: NORMAL
  Number of retries: 0
  Not currently running

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_Imr/publisher   -DCPSConfigFile pub.ini -orbendpoint iiop://:11139
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_Imr/subscriber   -DCPSConfigFile sub.ini
(21430|21430) Writer::start
(21430|21447) Writer::svc begins.
DataReaderListenerImpl::on_subscription_matched
(21430|21447)  22:29:58.122120 Writer::svc starting to write.
DataReaderListenerImpl::on_liveliness_changed
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
(21430|21447) Writer::svc finished.
(21430|21430) Writer::end
>>> /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin/tao_imr -ORBInitRef ImplRepoService=file://imr.ior shutdown InfoRepo
Successfully shut down server <InfoRepo>
test PASSED.

auto_run_tests_finished: examples/DCPS/Messenger_Imr/run_test.pl Time:6s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 21455
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_1.log
pub_1 PID: 21462 started at 2023-04-28 22:30:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log
sub_1 PID: 21463 started at 2023-04-28 22:30:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_2.log
pub_2 PID: 21464 started at 2023-04-28 22:30:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log
sub_2 PID: 21465 started at 2023-04-28 22:30:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_3.log
pub_3 PID: 21466 started at 2023-04-28 22:30:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log
sub_3 PID: 21467 started at 2023-04-28 22:30:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_4.log
pub_4 PID: 21468 started at 2023-04-28 22:30:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log
sub_4 PID: 21469 started at 2023-04-28 22:30:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_5.log
pub_5 PID: 21470 started at 2023-04-28 22:30:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log
sub_5 PID: 21471 started at 2023-04-28 22:30:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_6.log
pub_6 PID: 21472 started at 2023-04-28 22:30:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log
sub_6 PID: 21473 started at 2023-04-28 22:30:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_7.log
pub_7 PID: 21474 started at 2023-04-28 22:30:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log
sub_7 PID: 21475 started at 2023-04-28 22:30:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_8.log
pub_8 PID: 21476 started at 2023-04-28 22:30:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log
sub_8 PID: 21477 started at 2023-04-28 22:30:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_9.log
pub_9 PID: 21478 started at 2023-04-28 22:30:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log
sub_9 PID: 21479 started at 2023-04-28 22:30:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_10.log
pub_10 PID: 21480 started at 2023-04-28 22:30:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log
sub_10 PID: 21481 started at 2023-04-28 22:30:02
(21455|21455) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Subscriber 21463 got new message data:
 - From  : 21462
 - Count : 3
Subscriber 21463 is done. Exiting.
Subscriber 21465 got new message data:
 - From  : 21462
 - Count : 10
Subscriber 21465 is done. Exiting.
Subscriber 21465 got new message data:
 - From  : 21462
 - Count : 11
Subscriber 21465 got new message data:
 - From  : 21466
 - Count : 5
Subscriber 21471 got new message data:
 - From  : 21462
 - Count : 23
Subscriber 21471 is done. Exiting.
Subscriber 21471 got new message data:
 - From  : 21466
 - Count : 17
Subscriber 21471 got new message data:
 - From  : 21462
 - Count : 24
Subscriber 21471 got new message data:
 - From  : 21466
 - Count : 18
Subscriber 21471 got new message data:
 - From  : 21468
 - Count : 5
Subscriber 21471 got new message data:
 - From  : 21464
 - Count : 12
Subscriber 21481 got new message data:
 - From  : 21462
 - Count : 28
Subscriber 21481 is done. Exiting.
Subscriber 21473 got new message data:
 - From  : 21462
 - Count : 42
Subscriber 21473 is done. Exiting.
Subscriber 21469 got new message data:
 - From  : 21466
 - Count : 85
Subscriber 21469 is done. Exiting.
Subscriber 21467 got new message data:
 - From  : 21462
 - Count : 92
Subscriber 21467 is done. Exiting.
Subscriber 21479 got new message data:
 - From  : 21462
 - Count : 109
Subscriber 21479 is done. Exiting.
Subscriber 21475 got new message data:
 - From  : 21462
 - Count : 128
Subscriber 21475 is done. Exiting.
Subscriber 21477 got new message data:
 - From  : 21466
 - Count : 135
Subscriber 21477 is done. Exiting.
Subscriber 21477 got new message data:
 - From  : 21462
 - Count : 140
Subscriber 21477 got new message data:
 - From  : 21466
 - Count : 136
Subscriber 21477 got new message data:
 - From  : 21462
 - Count : 141
Publisher 21462 is done. Exiting.
Publisher 21466 is done. Exiting.
Publisher 21464 is done. Exiting.
Publisher 21468 is done. Exiting.
Publisher 21470 is done. Exiting.
Publisher 21472 is done. Exiting.
Publisher 21480 is done. Exiting.
Publisher 21474 is done. Exiting.
Publisher 21478 is done. Exiting.
Publisher 21476 is done. Exiting.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl publishers 10 subscribers 10 Time:10s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl rtps publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 21605
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_1.log -DCPSConfigFile rtps.ini 
pub_1 PID: 21612 started at 2023-04-28 22:30:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log -DCPSConfigFile rtps.ini 
sub_1 PID: 21613 started at 2023-04-28 22:30:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_2.log -DCPSConfigFile rtps.ini 
pub_2 PID: 21614 started at 2023-04-28 22:30:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log -DCPSConfigFile rtps.ini 
sub_2 PID: 21615 started at 2023-04-28 22:30:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_3.log -DCPSConfigFile rtps.ini 
pub_3 PID: 21616 started at 2023-04-28 22:30:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log -DCPSConfigFile rtps.ini 
sub_3 PID: 21617 started at 2023-04-28 22:30:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_4.log -DCPSConfigFile rtps.ini 
pub_4 PID: 21618 started at 2023-04-28 22:30:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log -DCPSConfigFile rtps.ini 
sub_4 PID: 21619 started at 2023-04-28 22:30:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_5.log -DCPSConfigFile rtps.ini 
pub_5 PID: 21620 started at 2023-04-28 22:30:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log -DCPSConfigFile rtps.ini 
sub_5 PID: 21621 started at 2023-04-28 22:30:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_6.log -DCPSConfigFile rtps.ini 
pub_6 PID: 21622 started at 2023-04-28 22:30:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log -DCPSConfigFile rtps.ini 
sub_6 PID: 21623 started at 2023-04-28 22:30:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_7.log -DCPSConfigFile rtps.ini 
pub_7 PID: 21624 started at 2023-04-28 22:30:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log -DCPSConfigFile rtps.ini 
sub_7 PID: 21625 started at 2023-04-28 22:30:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_8.log -DCPSConfigFile rtps.ini 
pub_8 PID: 21626 started at 2023-04-28 22:30:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log -DCPSConfigFile rtps.ini 
sub_8 PID: 21627 started at 2023-04-28 22:30:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_9.log -DCPSConfigFile rtps.ini 
pub_9 PID: 21628 started at 2023-04-28 22:30:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log -DCPSConfigFile rtps.ini 
sub_9 PID: 21629 started at 2023-04-28 22:30:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_10.log -DCPSConfigFile rtps.ini 
pub_10 PID: 21630 started at 2023-04-28 22:30:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log -DCPSConfigFile rtps.ini 
sub_10 PID: 21631 started at 2023-04-28 22:30:12
(21605|21605) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Subscriber 21615 got new message data:
 - From  : 21612
 - Count : 3
Subscriber 21615 is done. Exiting.
Subscriber 21615 got new message data:
 - From  : 21612
 - Count : 4
Subscriber 21615 got new message data:
 - From  : 21612
 - Count : 5
Subscriber 21615 got new message data:
 - From  : 21612
 - Count : 6
Subscriber 21613 got new message data:
 - From  : 21614
 - Count : 5
Subscriber 21613 is done. Exiting.
Subscriber 21617 got new message data:
 - From  : 21614
 - Count : 12
Subscriber 21617 is done. Exiting.
Subscriber 21627 got new message data:
 - From  : 21612
 - Count : 29
Subscriber 21627 is done. Exiting.
Subscriber 21627 got new message data:
 - From  : 21614
 - Count : 22
Subscriber 21627 got new message data:
 - From  : 21618
 - Count : 14
Subscriber 21627 got new message data:
 - From  : 21616
 - Count : 7
Subscriber 21631 got new message data:
 - From  : 21616
 - Count : 10
Subscriber 21631 is done. Exiting.
Subscriber 21621 got new message data:
 - From  : 21612
 - Count : 43
Subscriber 21621 is done. Exiting.
Subscriber 21621 got new message data:
 - From  : 21616
 - Count : 21
Subscriber 21621 got new message data:
 - From  : 21614
 - Count : 37
Subscriber 21621 got new message data:
 - From  : 21612
 - Count : 44
Subscriber 21621 got new message data:
 - From  : 21618
 - Count : 29
Subscriber 21621 got new message data:
 - From  : 21616
 - Count : 22
Subscriber 21621 got new message data:
 - From  : 21614
 - Count : 38
Subscriber 21621 got new message data:
 - From  : 21612
 - Count : 45
Subscriber 21621 got new message data:
 - From  : 21618
 - Count : 30
Subscriber 21621 got new message data:
 - From  : 21616
 - Count : 23
Subscriber 21619 got new message data:
 - From  : 21618
 - Count : 82
Subscriber 21619 got new message data:
 - From  : 21612
 - Count : 97
Subscriber 21619 got new message data:
 - From  : 21614
 - Count : 90
Subscriber 21619 is done. Exiting.
Subscriber 21619 got new message data:
 - From  : 21616
 - Count : 75
Subscriber 21623 got new message data:
 - From  : 21618
 - Count : 88
Subscriber 21623 got new message data:
 - From  : 21614
 - Count : 96
Subscriber 21623 got new message data:
 - From  : 21612
 - Count : 103
Subscriber 21623 is done. Exiting.
Subscriber 21625 got new message data:
 - From  : 21612
 - Count : 110
Subscriber 21625 is done. Exiting.
Subscriber 21629 got new message data:
 - From  : 21618
 - Count : 123
Subscriber 21629 got new message data:
 - From  : 21614
 - Count : 131
Subscriber 21629 got new message data:
 - From  : 21612
 - Count : 138
Subscriber 21629 got new message data:
 - From  : 21616
 - Count : 116
Subscriber 21629 got new message data:
 - From  : 21620
 - Count : 93
Subscriber 21629 got new message data:
 - From  : 21622
 - Count : 24
Subscriber 21629 got new message data:
 - From  : 21618
 - Count : 124
Subscriber 21629 got new message data:
 - From  : 21614
 - Count : 132
Subscriber 21629 got new message data:
 - From  : 21626
 - Count : 8
Subscriber 21629 is done. Exiting.
Publisher 21612 is done. Exiting.
Publisher 21614 is done. Exiting.
Publisher 21618 is done. Exiting.
Publisher 21616 is done. Exiting.
Publisher 21620 is done. Exiting.
Publisher 21622 is done. Exiting.
Publisher 21624 is done. Exiting.
Publisher 21626 is done. Exiting.
Publisher 21628 is done. Exiting.
Publisher 21630 is done. Exiting.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl rtps publishers 10 subscribers 10 Time:10s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl rtps_disc publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_1.log -DCPSConfigFile rtps_disc.ini 
pub_1 PID: 21755 started at 2023-04-28 22:30:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log -DCPSConfigFile rtps_disc.ini 
sub_1 PID: 21756 started at 2023-04-28 22:30:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_2.log -DCPSConfigFile rtps_disc.ini 
pub_2 PID: 21757 started at 2023-04-28 22:30:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log -DCPSConfigFile rtps_disc.ini 
sub_2 PID: 21758 started at 2023-04-28 22:30:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_3.log -DCPSConfigFile rtps_disc.ini 
pub_3 PID: 21759 started at 2023-04-28 22:30:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log -DCPSConfigFile rtps_disc.ini 
sub_3 PID: 21760 started at 2023-04-28 22:30:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_4.log -DCPSConfigFile rtps_disc.ini 
pub_4 PID: 21761 started at 2023-04-28 22:30:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log -DCPSConfigFile rtps_disc.ini 
sub_4 PID: 21762 started at 2023-04-28 22:30:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_5.log -DCPSConfigFile rtps_disc.ini 
pub_5 PID: 21763 started at 2023-04-28 22:30:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log -DCPSConfigFile rtps_disc.ini 
sub_5 PID: 21764 started at 2023-04-28 22:30:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_6.log -DCPSConfigFile rtps_disc.ini 
pub_6 PID: 21765 started at 2023-04-28 22:30:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log -DCPSConfigFile rtps_disc.ini 
sub_6 PID: 21766 started at 2023-04-28 22:30:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_7.log -DCPSConfigFile rtps_disc.ini 
pub_7 PID: 21767 started at 2023-04-28 22:30:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log -DCPSConfigFile rtps_disc.ini 
sub_7 PID: 21768 started at 2023-04-28 22:30:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_8.log -DCPSConfigFile rtps_disc.ini 
pub_8 PID: 21769 started at 2023-04-28 22:30:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log -DCPSConfigFile rtps_disc.ini 
sub_8 PID: 21770 started at 2023-04-28 22:30:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_9.log -DCPSConfigFile rtps_disc.ini 
pub_9 PID: 21771 started at 2023-04-28 22:30:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log -DCPSConfigFile rtps_disc.ini 
sub_9 PID: 21772 started at 2023-04-28 22:30:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_10.log -DCPSConfigFile rtps_disc.ini 
pub_10 PID: 21773 started at 2023-04-28 22:30:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log -DCPSConfigFile rtps_disc.ini 
sub_10 PID: 21774 started at 2023-04-28 22:30:22
Subscriber 21760 got new message data:
 - From  : 21757
 - Count : 86
Subscriber 21760 is done. Exiting.
Subscriber 21758 got new message data:
 - From  : 21759
 - Count : 188
Subscriber 21758 is done. Exiting.
Subscriber 21768 got new message data:
 - From  : 21759
 - Count : 197
Subscriber 21768 is done. Exiting.
Subscriber 21774 got new message data:
 - From  : 21763
 - Count : 237
Subscriber 21774 is done. Exiting.
Subscriber 21756 got new message data:
 - From  : 21759
 - Count : 258
Subscriber 21756 is done. Exiting.
Subscriber 21762 got new message data:
 - From  : 21763
 - Count : 262
Subscriber 21762 is done. Exiting.
Subscriber 21766 got new message data:
 - From  : 21763
 - Count : 271
Subscriber 21766 is done. Exiting.
Subscriber 21772 got new message data:
 - From  : 21763
 - Count : 275
Subscriber 21772 is done. Exiting.
Subscriber 21770 got new message data:
 - From  : 21759
 - Count : 291
Subscriber 21770 is done. Exiting.
Subscriber 21764 got new message data:
 - From  : 21759
 - Count : 293
Subscriber 21764 is done. Exiting.
Publisher 21757 is done. Exiting.
Publisher 21759 is done. Exiting.
Publisher 21761 is done. Exiting.
Publisher 21763 is done. Exiting.
Publisher 21765 is done. Exiting.
Publisher 21769 is done. Exiting.
Publisher 21773 is done. Exiting.
Publisher 21755 is done. Exiting.
Publisher 21771 is done. Exiting.
Publisher 21767 is done. Exiting.
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl rtps_disc publishers 10 subscribers 10 Time:9s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl rtps_disc_tcp publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_1.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_1 PID: 21885 started at 2023-04-28 22:30:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_1 PID: 21886 started at 2023-04-28 22:30:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_2.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_2 PID: 21887 started at 2023-04-28 22:30:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_2 PID: 21888 started at 2023-04-28 22:30:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_3.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_3 PID: 21889 started at 2023-04-28 22:30:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_3 PID: 21890 started at 2023-04-28 22:30:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_4.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_4 PID: 21891 started at 2023-04-28 22:30:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_4 PID: 21892 started at 2023-04-28 22:30:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_5.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_5 PID: 21893 started at 2023-04-28 22:30:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_5 PID: 21894 started at 2023-04-28 22:30:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_6.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_6 PID: 21895 started at 2023-04-28 22:30:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_6 PID: 21896 started at 2023-04-28 22:30:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_7.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_7 PID: 21897 started at 2023-04-28 22:30:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_7 PID: 21898 started at 2023-04-28 22:30:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_8.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_8 PID: 21899 started at 2023-04-28 22:30:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_8 PID: 21900 started at 2023-04-28 22:30:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_9.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_9 PID: 21901 started at 2023-04-28 22:30:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_9 PID: 21902 started at 2023-04-28 22:30:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_10.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_10 PID: 21903 started at 2023-04-28 22:30:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_10 PID: 21904 started at 2023-04-28 22:30:31
Subscriber 21888 got new message data:
 - From  : 21885
 - Count : 125
Subscriber 21888 is done. Exiting.
Subscriber 21892 got new message data:
 - From  : 21887
 - Count : 271
Subscriber 21892 is done. Exiting.
Subscriber 21894 got new message data:
 - From  : 21901
 - Count : 274
Subscriber 21894 is done. Exiting.
Subscriber 21886 got new message data:
 - From  : 21897
 - Count : 279
Subscriber 21886 is done. Exiting.
Subscriber 21890 got new message data:
 - From  : 21885
 - Count : 326
Subscriber 21890 is done. Exiting.
Subscriber 21904 got new message data:
 - From  : 21885
 - Count : 329
Subscriber 21904 is done. Exiting.
Subscriber 21898 got new message data:
 - From  : 21885
 - Count : 330
Subscriber 21898 is done. Exiting.
Subscriber 21896 got new message data:
 - From  : 21885
 - Count : 347
Subscriber 21896 is done. Exiting.
Subscriber 21902 got new message data:
 - From  : 21885
 - Count : 347
Subscriber 21902 is done. Exiting.
Subscriber 21900 got new message data:
 - From  : 21885
 - Count : 347
Subscriber 21900 is done. Exiting.
Subscriber 21900 got new message data:
 - From  : 21885
 - Count : 348
Subscriber 21900 got new message data:
 - From  : 21885
 - Count : 349
Subscriber 21900 got new message data:
 - From  : 21885
 - Count : 350
Publisher 21885 is done. Exiting.
Publisher 21889 is done. Exiting.
Publisher 21887 is done. Exiting.
Publisher 21895 is done. Exiting.
Publisher 21891 is done. Exiting.
Publisher 21893 is done. Exiting.
Publisher 21899 is done. Exiting.
Publisher 21903 is done. Exiting.
Publisher 21897 is done. Exiting.
Publisher 21901 is done. Exiting.
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl rtps_disc_tcp publishers 10 subscribers 10 Time:8s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl large_samples publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 22009
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_1.log
pub_1 PID: 22016 started at 2023-04-28 22:30:39
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log
sub_1 PID: 22017 started at 2023-04-28 22:30:39
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_2.log
pub_2 PID: 22018 started at 2023-04-28 22:30:39
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log
sub_2 PID: 22019 started at 2023-04-28 22:30:39
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_3.log
pub_3 PID: 22020 started at 2023-04-28 22:30:39
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log
sub_3 PID: 22021 started at 2023-04-28 22:30:39
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_4.log
pub_4 PID: 22022 started at 2023-04-28 22:30:39
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log
sub_4 PID: 22023 started at 2023-04-28 22:30:39
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_5.log
pub_5 PID: 22024 started at 2023-04-28 22:30:39
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log
sub_5 PID: 22025 started at 2023-04-28 22:30:39
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_6.log
pub_6 PID: 22026 started at 2023-04-28 22:30:39
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log
sub_6 PID: 22027 started at 2023-04-28 22:30:39
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_7.log
pub_7 PID: 22028 started at 2023-04-28 22:30:39
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log
sub_7 PID: 22029 started at 2023-04-28 22:30:39
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_8.log
pub_8 PID: 22030 started at 2023-04-28 22:30:39
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log
sub_8 PID: 22031 started at 2023-04-28 22:30:39
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_9.log
pub_9 PID: 22032 started at 2023-04-28 22:30:39
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log
sub_9 PID: 22033 started at 2023-04-28 22:30:39
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_10.log
pub_10 PID: 22034 started at 2023-04-28 22:30:39
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log
sub_10 PID: 22035 started at 2023-04-28 22:30:39
(22009|22009) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Subscriber 22025 got new message data:
 - From  : 22016
 - Count : 1
Subscriber 22017 got new message data:
 - From  : 22016
 - Count : 1
Subscriber 22021 got new message data:
 - From  : 22016
 - Count : 1
Subscriber 22031 got new message data:
 - From  : 22016
 - Count : 1
Subscriber 22025 is done. Exiting.
Subscriber 22019 got new message data:
 - From  : 22016
 - Count : 1
Subscriber 22031 is done. Exiting.
Subscriber 22035 got new message data:
 - From  : 22016
 - Count : 1
Subscriber 22023 got new message data:
 - From  : 22016
 - Count : 1
Subscriber 22017 is done. Exiting.
Subscriber 22021 is done. Exiting.
Subscriber 22019 is done. Exiting.
Subscriber 22035 is done. Exiting.
Subscriber 22023 is done. Exiting.
Subscriber 22027 got new message data:
 - From  : 22016
 - Count : 1
Subscriber 22027 is done. Exiting.
Subscriber 22033 got new message data:
 - From  : 22016
 - Count : 1
Subscriber 22033 is done. Exiting.
Subscriber 22029 got new message data:
 - From  : 22016
 - Count : 1
Subscriber 22029 is done. Exiting.
Subscriber 22029 got new message data:
 - From  : 22018
 - Count : 1
Subscriber 22035 got new message data:
 - From  : 22018
 - Count : 1
Subscriber 22023 got new message data:
 - From  : 22018
 - Count : 1
Subscriber 22017 got new message data:
 - From  : 22018
 - Count : 1
Subscriber 22023 got new message data:
 - From  : 22020
 - Count : 1
Subscriber 22035 got new message data:
 - From  : 22020
 - Count : 1
Subscriber 22029 got new message data:
 - From  : 22020
 - Count : 1
Subscriber 22029 got new message data:
 - From  : 22024
 - Count : 1
Publisher 22016 is done. Exiting.
Publisher 22018 is done. Exiting.
Publisher 22020 is done. Exiting.
Publisher 22024 is done. Exiting.
Publisher 22030 is done. Exiting.
Publisher 22034 is done. Exiting.
Publisher 22022 is done. Exiting.
Publisher 22026 is done. Exiting.
Publisher 22028 is done. Exiting.
Publisher 22032 is done. Exiting.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl large_samples publishers 10 subscribers 10 Time:11s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl large_samples rtps publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 22160
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_1.log -DCPSConfigFile rtps.ini 
pub_1 PID: 22167 started at 2023-04-28 22:30:50
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log -DCPSConfigFile rtps.ini 
sub_1 PID: 22168 started at 2023-04-28 22:30:50
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_2.log -DCPSConfigFile rtps.ini 
pub_2 PID: 22169 started at 2023-04-28 22:30:50
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log -DCPSConfigFile rtps.ini 
sub_2 PID: 22170 started at 2023-04-28 22:30:50
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_3.log -DCPSConfigFile rtps.ini 
pub_3 PID: 22171 started at 2023-04-28 22:30:50
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log -DCPSConfigFile rtps.ini 
sub_3 PID: 22172 started at 2023-04-28 22:30:50
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_4.log -DCPSConfigFile rtps.ini 
pub_4 PID: 22173 started at 2023-04-28 22:30:50
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log -DCPSConfigFile rtps.ini 
sub_4 PID: 22174 started at 2023-04-28 22:30:50
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_5.log -DCPSConfigFile rtps.ini 
pub_5 PID: 22175 started at 2023-04-28 22:30:50
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log -DCPSConfigFile rtps.ini 
sub_5 PID: 22176 started at 2023-04-28 22:30:50
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_6.log -DCPSConfigFile rtps.ini 
pub_6 PID: 22177 started at 2023-04-28 22:30:50
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log -DCPSConfigFile rtps.ini 
sub_6 PID: 22178 started at 2023-04-28 22:30:50
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_7.log -DCPSConfigFile rtps.ini 
pub_7 PID: 22179 started at 2023-04-28 22:30:50
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log -DCPSConfigFile rtps.ini 
sub_7 PID: 22180 started at 2023-04-28 22:30:50
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_8.log -DCPSConfigFile rtps.ini 
pub_8 PID: 22181 started at 2023-04-28 22:30:50
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log -DCPSConfigFile rtps.ini 
sub_8 PID: 22182 started at 2023-04-28 22:30:50
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_9.log -DCPSConfigFile rtps.ini 
pub_9 PID: 22183 started at 2023-04-28 22:30:50
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log -DCPSConfigFile rtps.ini 
sub_9 PID: 22184 started at 2023-04-28 22:30:50
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_10.log -DCPSConfigFile rtps.ini 
pub_10 PID: 22185 started at 2023-04-28 22:30:50
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log -DCPSConfigFile rtps.ini 
sub_10 PID: 22186 started at 2023-04-28 22:30:50
(22160|22160) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Subscriber 22180 got new message data:
 - From  : 22167
 - Count : 1
Subscriber 22180 is done. Exiting.
Subscriber 22178 got new message data:
 - From  : 22167
 - Count : 1
Subscriber 22172 got new message data:
 - From  : 22167
 - Count : 1
Subscriber 22178 is done. Exiting.
Subscriber 22172 is done. Exiting.
Subscriber 22182 got new message data:
 - From  : 22167
 - Count : 1
Subscriber 22168 got new message data:
 - From  : 22167
 - Count : 1
Subscriber 22182 is done. Exiting.
Subscriber 22168 is done. Exiting.
Subscriber 22170 got new message data:
 - From  : 22167
 - Count : 1
Subscriber 22170 is done. Exiting.
Subscriber 22174 got new message data:
 - From  : 22167
 - Count : 1
Subscriber 22174 is done. Exiting.
Subscriber 22176 got new message data:
 - From  : 22167
 - Count : 1
Subscriber 22186 got new message data:
 - From  : 22167
 - Count : 1
Subscriber 22176 is done. Exiting.
Subscriber 22184 got new message data:
 - From  : 22167
 - Count : 1
Subscriber 22186 is done. Exiting.
Subscriber 22184 is done. Exiting.
Publisher 22167 is done. Exiting.
Publisher 22169 is done. Exiting.
Publisher 22171 is done. Exiting.
Publisher 22175 is done. Exiting.
Publisher 22177 is done. Exiting.
Publisher 22173 is done. Exiting.
Publisher 22185 is done. Exiting.
Publisher 22179 is done. Exiting.
Publisher 22181 is done. Exiting.
Publisher 22183 is done. Exiting.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl large_samples rtps publishers 10 subscribers 10 Time:10s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl large_samples rtps_disc publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_1.log -DCPSConfigFile rtps_disc.ini 
pub_1 PID: 22310 started at 2023-04-28 22:31:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log -DCPSConfigFile rtps_disc.ini 
sub_1 PID: 22311 started at 2023-04-28 22:31:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_2.log -DCPSConfigFile rtps_disc.ini 
pub_2 PID: 22312 started at 2023-04-28 22:31:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log -DCPSConfigFile rtps_disc.ini 
sub_2 PID: 22313 started at 2023-04-28 22:31:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_3.log -DCPSConfigFile rtps_disc.ini 
pub_3 PID: 22314 started at 2023-04-28 22:31:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log -DCPSConfigFile rtps_disc.ini 
sub_3 PID: 22315 started at 2023-04-28 22:31:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_4.log -DCPSConfigFile rtps_disc.ini 
pub_4 PID: 22316 started at 2023-04-28 22:31:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log -DCPSConfigFile rtps_disc.ini 
sub_4 PID: 22317 started at 2023-04-28 22:31:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_5.log -DCPSConfigFile rtps_disc.ini 
pub_5 PID: 22318 started at 2023-04-28 22:31:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log -DCPSConfigFile rtps_disc.ini 
sub_5 PID: 22319 started at 2023-04-28 22:31:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_6.log -DCPSConfigFile rtps_disc.ini 
pub_6 PID: 22320 started at 2023-04-28 22:31:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log -DCPSConfigFile rtps_disc.ini 
sub_6 PID: 22321 started at 2023-04-28 22:31:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_7.log -DCPSConfigFile rtps_disc.ini 
pub_7 PID: 22322 started at 2023-04-28 22:31:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log -DCPSConfigFile rtps_disc.ini 
sub_7 PID: 22323 started at 2023-04-28 22:31:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_8.log -DCPSConfigFile rtps_disc.ini 
pub_8 PID: 22324 started at 2023-04-28 22:31:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log -DCPSConfigFile rtps_disc.ini 
sub_8 PID: 22325 started at 2023-04-28 22:31:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_9.log -DCPSConfigFile rtps_disc.ini 
pub_9 PID: 22326 started at 2023-04-28 22:31:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log -DCPSConfigFile rtps_disc.ini 
sub_9 PID: 22327 started at 2023-04-28 22:31:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_10.log -DCPSConfigFile rtps_disc.ini 
pub_10 PID: 22328 started at 2023-04-28 22:31:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log -DCPSConfigFile rtps_disc.ini 
sub_10 PID: 22329 started at 2023-04-28 22:31:00
Subscriber 22315 got new message data:
 - From  : 22310
 - Count : 2
Subscriber 22329 got new message data:
 - From  : 22310
 - Count : 2
Subscriber 22319 got new message data:
 - From  : 22310
 - Count : 2
Subscriber 22321 got new message data:
 - From  : 22310
 - Count : 2
Subscriber 22325 got new message data:
 - From  : 22310
 - Count : 2
Subscriber 22317 got new message data:
 - From  : 22310
 - Count : 2
Subscriber 22327 got new message data:
 - From  : 22310
 - Count : 2
Subscriber 22315 is done. Exiting.
Subscriber 22313 got new message data:
 - From  : 22310
 - Count : 2
Subscriber 22329 is done. Exiting.
Subscriber 22321 is done. Exiting.
Subscriber 22323 got new message data:
 - From  : 22310
 - Count : 2
Subscriber 22327 is done. Exiting.
Subscriber 22311 got new message data:
 - From  : 22310
 - Count : 2
Subscriber 22319 is done. Exiting.
Subscriber 22325 is done. Exiting.
Subscriber 22317 is done. Exiting.
Subscriber 22313 is done. Exiting.
Subscriber 22323 is done. Exiting.
Subscriber 22311 is done. Exiting.
Publisher 22310 is done. Exiting.
Publisher 22314 is done. Exiting.
Publisher 22316 is done. Exiting.
Publisher 22312 is done. Exiting.
Publisher 22318 is done. Exiting.
Publisher 22320 is done. Exiting.
Publisher 22322 is done. Exiting.
Publisher 22326 is done. Exiting.
Publisher 22324 is done. Exiting.
Publisher 22328 is done. Exiting.
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl large_samples rtps_disc publishers 10 subscribers 10 Time:9s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl large_samples rtps_disc_tcp publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_1.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_1 PID: 22431 started at 2023-04-28 22:31:09
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_1 PID: 22432 started at 2023-04-28 22:31:09
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_2.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_2 PID: 22433 started at 2023-04-28 22:31:09
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_2 PID: 22434 started at 2023-04-28 22:31:09
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_3.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_3 PID: 22435 started at 2023-04-28 22:31:09
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_3 PID: 22436 started at 2023-04-28 22:31:09
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_4.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_4 PID: 22437 started at 2023-04-28 22:31:09
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_4 PID: 22438 started at 2023-04-28 22:31:09
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_5.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_5 PID: 22439 started at 2023-04-28 22:31:09
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_5 PID: 22440 started at 2023-04-28 22:31:09
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_6.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_6 PID: 22441 started at 2023-04-28 22:31:09
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_6 PID: 22442 started at 2023-04-28 22:31:09
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_7.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_7 PID: 22443 started at 2023-04-28 22:31:09
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_7 PID: 22444 started at 2023-04-28 22:31:09
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_8.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_8 PID: 22445 started at 2023-04-28 22:31:09
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_8 PID: 22446 started at 2023-04-28 22:31:09
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_9.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_9 PID: 22447 started at 2023-04-28 22:31:09
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_9 PID: 22448 started at 2023-04-28 22:31:09
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_10.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_10 PID: 22449 started at 2023-04-28 22:31:09
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_10 PID: 22450 started at 2023-04-28 22:31:09
Subscriber 22438 got new message data:
 - From  : 22431
 - Count : 2
Subscriber 22450 got new message data:
 - From  : 22431
 - Count : 2
Subscriber 22438 is done. Exiting.
Subscriber 22440 got new message data:
 - From  : 22431
 - Count : 2
Subscriber 22444 got new message data:
 - From  : 22431
 - Count : 2
Subscriber 22440 is done. Exiting.
Subscriber 22444 is done. Exiting.
Subscriber 22450 is done. Exiting.
Subscriber 22446 got new message data:
 - From  : 22431
 - Count : 2
Subscriber 22446 is done. Exiting.
Subscriber 22448 got new message data:
 - From  : 22431
 - Count : 2
Subscriber 22448 is done. Exiting.
Subscriber 22442 got new message data:
 - From  : 22435
 - Count : 2
Subscriber 22442 is done. Exiting.
Subscriber 22432 got new message data:
 - From  : 22433
 - Count : 2
Subscriber 22432 is done. Exiting.
Subscriber 22436 got new message data:
 - From  : 22437
 - Count : 2
Subscriber 22434 got new message data:
 - From  : 22437
 - Count : 2
Subscriber 22436 is done. Exiting.
Subscriber 22434 is done. Exiting.
Publisher 22431 is done. Exiting.
Publisher 22435 is done. Exiting.
Publisher 22433 is done. Exiting.
Publisher 22437 is done. Exiting.
Publisher 22439 is done. Exiting.
Publisher 22441 is done. Exiting.
Publisher 22443 is done. Exiting.
Publisher 22445 is done. Exiting.
Publisher 22449 is done. Exiting.
Publisher 22447 is done. Exiting.
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl large_samples rtps_disc_tcp publishers 10 subscribers 10 Time:9s Result:0

==============================================================================

tests/DCPS/LivelinessKeepAliveTest/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 22552
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessKeepAliveTest/subscriber  -DCPSPendingTimeout 3 
sub PID: 22559 started at 2023-04-28 22:31:18
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessKeepAliveTest/publisher SATELLITE_ONE -DCPSPendingTimeout 3 
pub PID: 22560 started at 2023-04-28 22:31:18
(22552|22552) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(22559|22559) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(22560|22560) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Subscriber: waiting for events
(22559|22559)  22:31:18.798736 waiting for publisher to be ready
(22559|22563) AlertDataReaderListenerImpl::on_subscription_matched
(22559|22559)  22:31:19.049120 Publisher is ready
(22559|22559)  22:31:19.049288 waiting for publisher to finish
(22560|22560) SATELLITE_ONE : Publishing Low Fuel Alert
(22560|22560) SATELLITE_ONE : Publishing Dead Battery Alert

==================================================
(22559|22572) AlertDataReaderListenerImpl::on_liveliness_changed
    Liveliness Changes     = 1
    Alive Count            = 1
    Alive Count Change     = 1
    Not Alive Count        = 0
    Not Alive Count Change = 0
==================================================


======================
(22559|22572) AlertDataReaderListenerImpl::on_data_available:
	SATELLITE_ONE - Received Alert (0) Fuel : Low - Your fuel is low
======================


======================
(22559|22572) AlertDataReaderListenerImpl::on_data_available:
	SATELLITE_ONE - Received Alert (0) Battery : Dead - Your battery is dead; re-orient the solar panels to recharge
======================

(22560|22560) SATELLITE_ONE : Publishing Low Fuel Alert

======================
(22559|22572) AlertDataReaderListenerImpl::on_data_available:
	SATELLITE_ONE - Received Alert (1) Fuel : Low - Your fuel is low
======================

(22560|22560) SATELLITE_ONE : Publishing Low Fuel Alert

======================
(22559|22572) AlertDataReaderListenerImpl::on_data_available:
	SATELLITE_ONE - Received Alert (2) Fuel : Low - Your fuel is low
======================

(22560|22560) SATELLITE_ONE : Publishing Dead Battery Alert

======================
(22559|22572) AlertDataReaderListenerImpl::on_data_available:
	SATELLITE_ONE - Received Alert (1) Battery : Dead - Your battery is dead; re-orient the solar panels to recharge
======================

(22560|22560) SATELLITE_ONE : Publishing Low Fuel Alert

======================
(22559|22572) AlertDataReaderListenerImpl::on_data_available:
	SATELLITE_ONE - Received Alert (3) Fuel : Low - Your fuel is low
======================

(22560|22560)  22:31:39.068006 Writers are finished

======================
(22559|22572) AlertDataReaderListenerImpl::on_data_available:
	SATELLITE_ONE - Received Alert (9999) System : System Shutdown - The Satellite is being shut down
======================

(22559|22572) AlertDataReaderListenerImpl::on_data_available:
Received SYSTEM_SHUTDOWN message, udpating expected liveliness values
(22560|22560)  22:31:39.068350 waiting for readers to finish
(22560|22560)  22:31:39.318545 Readers are finished

==================================================
(22559|22563) AlertDataReaderListenerImpl::on_liveliness_changed
    Liveliness Changes     = 2
    Alive Count            = 0
    Alive Count Change     = -1
    Not Alive Count        = 0
    Not Alive Count Change = 0
==================================================

(22559|22563) AlertDataReaderListenerImpl::on_subscription_matched
Exiting...

=== TEST COMPLETE ===
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/LivelinessKeepAliveTest/run_test.pl Time:26s Result:0

==============================================================================

tests/DCPS/LivelinessTimeout/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 22586
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/subscriber -t 19 -l 2 -x 67.1 -DCPSPendingTimeout 3 
sub PID: 22593 started at 2023-04-28 22:31:44
(22586|22586) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(22593|22593) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 67.1 -DCPSLivelinessFactor 300  -DCPSPendingTimeout 3 
pub0 PID: 22603 started at 2023-04-28 22:31:49
(22603|22603) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(22593|22601) DataReaderListenerImpl::on_subscription_matched
(22603|22609) DataReaderListenerImpl::on_publication_matched
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 64.1 -DCPSLivelinessFactor 80  -DCPSPendingTimeout 3 
pub1 PID: 22610 started at 2023-04-28 22:31:52
(22610|22610) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(22593|22601) DataReaderListenerImpl::on_liveliness_changed: active=1, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=0
(22593|22601) DataReaderListenerImpl::on_subscription_matched
(22610|22616) DataReaderListenerImpl::on_publication_matched
(22593|22601) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=0
(22593|22594) DataReaderListenerImpl::on_liveliness_changed: active=1, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=1
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 61.1 -DCPSLivelinessFactor 80  -DCPSPendingTimeout 3 
pub2 PID: 22618 started at 2023-04-28 22:31:55
(22618|22618) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(22593|22601) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=1
(22593|22601) DataReaderListenerImpl::on_subscription_matched
(22618|22624) DataReaderListenerImpl::on_publication_matched
(22593|22601) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=1
(22593|22594) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=2
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 58.1 -DCPSLivelinessFactor 80  -DCPSPendingTimeout 3 
pub3 PID: 22625 started at 2023-04-28 22:31:58
(22625|22625) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(22593|22601) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=2
(22593|22601) DataReaderListenerImpl::on_subscription_matched
(22625|22631) DataReaderListenerImpl::on_publication_matched
(22593|22601) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=2
(22593|22594) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=3
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 55.1 -DCPSLivelinessFactor 80  -DCPSPendingTimeout 3 
pub4 PID: 22632 started at 2023-04-28 22:32:01
(22632|22632) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(22593|22601) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=3
(22593|22601) DataReaderListenerImpl::on_subscription_matched
(22632|22638) DataReaderListenerImpl::on_publication_matched
(22593|22601) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=3
(22593|22594) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=4
(22593|22601) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=4
(22593|22594) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=5
(22593|22601) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=5
(22593|22594) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=6
(22593|22601) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=6
(22593|22594) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=7
(22593|22601) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=7
(22593|22594) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=8
(22593|22601) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=8
(22593|22594) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=9
(22593|22601) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=9
(22593|22594) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=10
(22593|22601) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=10
(22593|22594) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=11
(22593|22601) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=11
(22593|22594) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=12
(22593|22601) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=12
(22593|22594) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=13
(22593|22601) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=13
(22593|22594) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=14
(22593|22601) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=14
(22593|22594) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=15
(22593|22601) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=15
(22593|22594) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=16
(22593|22601) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=16
(22593|22594) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=17
(22593|22601) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=17
(22593|22594) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=18
(22593|22601) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=18
(22593|22594) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=19
(22593|22601) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=19
(22593|22594) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=20
(22625|22627) DataReaderListenerImpl::on_publication_matched
(22603|22605) DataReaderListenerImpl::on_publication_matched
(22610|22612) DataReaderListenerImpl::on_publication_matched
(22618|22620) DataReaderListenerImpl::on_publication_matched
(22593|22593) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=0, activeDelta=0, inactiveDelta=-1 deadline_missed=20
(22593|22593) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(22632|22634) DataReaderListenerImpl::on_publication_matched
(22593|22593) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(22593|22593) DataReaderListenerImpl::on_liveliness_changed: active=1, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(22593|22593) DataReaderListenerImpl::on_liveliness_changed: active=0, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(22593|22593) DataReaderListenerImpl::on_subscription_matched
(22593|22593) subscriber: liviness deadline violated enough for test. Got 20, expected at least 19.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/LivelinessTimeout/run_test.pl Time:73s Result:0

==============================================================================

tests/DCPS/LivelinessTimeout/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/subscriber -t 19 -l 2 -x 67.1 -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
sub PID: 22655 started at 2023-04-28 22:32:57
(22655|22655) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 67.1 -DCPSLivelinessFactor 300  -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
pub0 PID: 22662 started at 2023-04-28 22:33:02
(22662|22662) NOTICE: using DCPSLivelinessFactor value from command option (overrides value if it's in config file)
(22662|22662) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(22662|22664) DataReaderListenerImpl::on_publication_matched
(22655|22657) DataReaderListenerImpl::on_subscription_matched
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 64.1 -DCPSLivelinessFactor 80  -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
pub1 PID: 22668 started at 2023-04-28 22:33:05
(22668|22668) NOTICE: using DCPSLivelinessFactor value from command option (overrides value if it's in config file)
(22668|22668) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(22655|22658) DataReaderListenerImpl::on_liveliness_changed: active=1, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=0
(22668|22670) DataReaderListenerImpl::on_publication_matched
(22655|22657) DataReaderListenerImpl::on_subscription_matched
(22655|22658) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=0
(22655|22656) DataReaderListenerImpl::on_liveliness_changed: active=1, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=1
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 61.1 -DCPSLivelinessFactor 80  -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
pub2 PID: 22674 started at 2023-04-28 22:33:08
(22674|22674) NOTICE: using DCPSLivelinessFactor value from command option (overrides value if it's in config file)
(22674|22674) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(22655|22658) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=1
(22674|22676) DataReaderListenerImpl::on_publication_matched
(22655|22657) DataReaderListenerImpl::on_subscription_matched
(22655|22658) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=1
(22655|22656) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=2
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 58.1 -DCPSLivelinessFactor 80  -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
pub3 PID: 22681 started at 2023-04-28 22:33:11
(22681|22681) NOTICE: using DCPSLivelinessFactor value from command option (overrides value if it's in config file)
(22681|22681) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(22655|22658) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=2
(22655|22657) DataReaderListenerImpl::on_subscription_matched
(22681|22683) DataReaderListenerImpl::on_publication_matched
(22655|22658) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=2
(22655|22656) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=3
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 55.1 -DCPSLivelinessFactor 80  -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
pub4 PID: 22687 started at 2023-04-28 22:33:14
(22687|22687) NOTICE: using DCPSLivelinessFactor value from command option (overrides value if it's in config file)
(22687|22687) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(22655|22658) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=3
(22655|22657) DataReaderListenerImpl::on_subscription_matched
(22687|22689) DataReaderListenerImpl::on_publication_matched
(22655|22658) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=3
(22655|22656) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=4
(22655|22658) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=4
(22655|22656) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=5
(22655|22658) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=5
(22655|22656) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=6
(22655|22658) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=6
(22655|22656) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=7
(22655|22658) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=7
(22655|22656) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=8
(22655|22658) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=8
(22655|22656) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=9
(22655|22658) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=9
(22655|22656) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=10
(22655|22658) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=10
(22655|22656) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=11
(22655|22658) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=11
(22655|22656) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=12
(22655|22658) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=12
(22655|22656) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=13
(22655|22658) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=13
(22655|22656) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=14
(22655|22658) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=14
(22655|22656) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=15
(22655|22658) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=15
(22655|22656) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=16
(22655|22658) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=16
(22655|22656) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=17
(22655|22658) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=17
(22655|22656) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=18
(22655|22658) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=18
(22655|22656) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=19
(22655|22658) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=19
(22655|22656) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=20
(22655|22655) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=0, activeDelta=0, inactiveDelta=-1 deadline_missed=20
(22655|22655) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(22655|22655) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(22655|22655) DataReaderListenerImpl::on_liveliness_changed: active=1, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(22655|22655) DataReaderListenerImpl::on_liveliness_changed: active=0, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(22662|22664) DataReaderListenerImpl::on_publication_matched
(22655|22655) DataReaderListenerImpl::on_subscription_matched
(22674|22676) DataReaderListenerImpl::on_publication_matched
(22687|22689) DataReaderListenerImpl::on_publication_matched
(22668|22670) DataReaderListenerImpl::on_publication_matched
(22681|22683) DataReaderListenerImpl::on_publication_matched
(22655|22655) subscriber: liviness deadline violated enough for test. Got 20, expected at least 19.
test PASSED.

auto_run_tests_finished: tests/DCPS/LivelinessTimeout/run_test.pl rtps_disc Time:72s Result:0

==============================================================================

tests/DCPS/BitDataReader/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BitDataReader/BitDataReader -DCPSConfigFile rtps.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile exec.log -DCPSPendingTimeout 3 
exec PID: 22703 started at 2023-04-28 22:34:09
test PASSED.

auto_run_tests_finished: tests/DCPS/BitDataReader/run_test.pl Time:5s Result:0

==============================================================================

tests/unit-tests/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/unit-tests/UnitTests  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile UnitTests.log -DCPSPendingTimeout 3 
UnitTests PID: 22708 started at 2023-04-28 22:34:14
[==========] Running 760 tests from 55 test cases.
[----------] Global test environment set-up.
[----------] 2 tests from dds_DCPS_SafeBool_T
[ RUN      ] dds_DCPS_SafeBool_T.virtual_method
[       OK ] dds_DCPS_SafeBool_T.virtual_method (0 ms)
[ RUN      ] dds_DCPS_SafeBool_T.non_virtual_method
[       OK ] dds_DCPS_SafeBool_T.non_virtual_method (0 ms)
[----------] 2 tests from dds_DCPS_SafeBool_T (0 ms total)

[----------] 12 tests from dds_DCPS_RcHandle_T
[ RUN      ] dds_DCPS_RcHandle_T.ctors
[       OK ] dds_DCPS_RcHandle_T.ctors (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.dtor
[       OK ] dds_DCPS_RcHandle_T.dtor (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.reset
[       OK ] dds_DCPS_RcHandle_T.reset (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.assign
[       OK ] dds_DCPS_RcHandle_T.assign (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.swaps
[       OK ] dds_DCPS_RcHandle_T.swaps (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.access
[       OK ] dds_DCPS_RcHandle_T.access (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.param_passing
[       OK ] dds_DCPS_RcHandle_T.param_passing (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.bool_conv
[       OK ] dds_DCPS_RcHandle_T.bool_conv (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.relational
[       OK ] dds_DCPS_RcHandle_T.relational (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.casts
[       OK ] dds_DCPS_RcHandle_T.casts (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.make_rch
[       OK ] dds_DCPS_RcHandle_T.make_rch (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.rchandle_from
[       OK ] dds_DCPS_RcHandle_T.rchandle_from (0 ms)
[----------] 12 tests from dds_DCPS_RcHandle_T (0 ms total)

[----------] 18 tests from dds_DCPS_XTypes_TypeAssignability
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.PrimitiveTypesTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.PrimitiveTypesTest_Assignable (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.PrimitiveTypesTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.PrimitiveTypesTest_NotAssignable (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.StringTypesTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.StringTypesTest_Assignable (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.StringTypesTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.StringTypesTest_NotAssignable (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.BitmaskTypeTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.BitmaskTypeTest_Assignable (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.BitmaskTypeTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.BitmaskTypeTest_NotAssignable (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.SequenceTypeTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.SequenceTypeTest_Assignable (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.SequenceTypeTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.SequenceTypeTest_NotAssignable (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.ArrayTypeTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.ArrayTypeTest_Assignable (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.ArrayTypeTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.ArrayTypeTest_NotAssignable (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.MapTypeTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.MapTypeTest_Assignable (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.MapTypeTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.MapTypeTest_NotAssignable (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.AliasTypeTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.AliasTypeTest_Assignable (3 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.AliasTypeTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.AliasTypeTest_NotAssignable (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.StructTypeTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.StructTypeTest_Assignable (4 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.StructTypeTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.StructTypeTest_NotAssignable (2 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.UnionTypeTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.UnionTypeTest_Assignable (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.UnionTypeTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.UnionTypeTest_NotAssignable (0 ms)
[----------] 18 tests from dds_DCPS_XTypes_TypeAssignability (14 ms total)

[----------] 2 tests from dds_DCPS_XTypes_TypeAssignability_EnumTypeTest
[ RUN      ] dds_DCPS_XTypes_TypeAssignability_EnumTypeTest.Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability_EnumTypeTest.Assignable (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability_EnumTypeTest.NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability_EnumTypeTest.NotAssignable (1 ms)
[----------] 2 tests from dds_DCPS_XTypes_TypeAssignability_EnumTypeTest (1 ms total)

[----------] 6 tests from dds_DCPS_XTypes_Utils
[ RUN      ] dds_DCPS_XTypes_Utils.extensibility
[       OK ] dds_DCPS_XTypes_Utils.extensibility (14 ms)
[ RUN      ] dds_DCPS_XTypes_Utils.max_extensibility
[       OK ] dds_DCPS_XTypes_Utils.max_extensibility (13 ms)
[ RUN      ] dds_DCPS_XTypes_Utils.get_keys
[       OK ] dds_DCPS_XTypes_Utils.get_keys (5 ms)
[ RUN      ] dds_DCPS_XTypes_Utils.member_path_get_member_from_type
[       OK ] dds_DCPS_XTypes_Utils.member_path_get_member_from_type (1 ms)
[ RUN      ] dds_DCPS_XTypes_Utils.less_than
[       OK ] dds_DCPS_XTypes_Utils.less_than (10 ms)
[ RUN      ] dds_DCPS_XTypes_Utils.MemberPathParser
[       OK ] dds_DCPS_XTypes_Utils.MemberPathParser (0 ms)
[----------] 6 tests from dds_DCPS_XTypes_Utils (43 ms total)

[----------] 40 tests from dds_DCPS_XTypes_DynamicDataXcdrReadImpl
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadValueFromStruct
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadValueFromStruct (9 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_StructWithOptionalMembers
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_StructWithOptionalMembers (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadValueFromUnion
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadValueFromUnion (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadSequenceFromStruct
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadSequenceFromStruct (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadSequenceFromUnion
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadSequenceFromUnion (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadValueFromArray
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadValueFromArray (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_SkipNestedMembers
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_SkipNestedMembers (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadRecursiveStruct
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadRecursiveStruct (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromStruct
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromStruct (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromStructXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromStructXCDR1 (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_StructWithOptionalMembers
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_StructWithOptionalMembers (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromUnion
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromUnion (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromUnionXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromUnionXCDR1 (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromStruct
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromStruct (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromStructXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromStructXCDR1 (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromUnion
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromUnion (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromUnionXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromUnionXCDR1 (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromArray
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromArray (0 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromArrayXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromArrayXCDR1 (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_SkipNestedMembers
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_SkipNestedMembers (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_SkipNestedMembersXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_SkipNestedMembersXCDR1 (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromStruct
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromStruct (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromStructXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromStructXCDR1 (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_StructWithOptionalMembers
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_StructWithOptionalMembers (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromUnion
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromUnion (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromUnionXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromUnionXCDR1 (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromStruct
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromStruct (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromStructXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromStructXCDR1 (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromUnion
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromUnion (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromUnionXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromUnionXCDR1 (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromArray
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromArray (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromArrayXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromArrayXCDR1 (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_SkipNestedMembers
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_SkipNestedMembers (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_SkipNestedMembersXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_SkipNestedMembersXCDR1 (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_SingleKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_SingleKeyOnly (0 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_NestedStructKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_NestedStructKeyOnly (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_NestedUnionKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_NestedUnionKeyOnly (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ArrayKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ArrayKeyOnly (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ImplicitNestedKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ImplicitNestedKeyOnly (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Enum_As_String
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Enum_As_String (1 ms)
[----------] 40 tests from dds_DCPS_XTypes_DynamicDataXcdrReadImpl (66 ms total)

[----------] 1 test from dds_DCPS_XTypes_DynamicDataAdapter
[ RUN      ] dds_DCPS_XTypes_DynamicDataAdapter.null_get_dynamic_data_adapter
[       OK ] dds_DCPS_XTypes_DynamicDataAdapter.null_get_dynamic_data_adapter (8 ms)
[----------] 1 test from dds_DCPS_XTypes_DynamicDataAdapter (8 ms total)

[----------] 11 tests from dds_DCPS_XTypes_DynamicTypeImpl
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyInnerStruct
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyInnerStruct (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyOuterStruct
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyOuterStruct (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyAliasStruct
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyAliasStruct (0 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_StructWithTypedefMember
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_StructWithTypedefMember (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_PrimitiveKind
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_PrimitiveKind (0 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyUnion
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyUnion (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyInnerArray
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyInnerArray (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyOuterArray
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyOuterArray (0 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MySeq
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MySeq (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyAnonStruct
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyAnonStruct (0 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_CircularStruct
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_CircularStruct (1 ms)
[----------] 11 tests from dds_DCPS_XTypes_DynamicTypeImpl (8 ms total)

[----------] 6 tests from dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.MyStruct
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.MyStruct (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.MyUnion
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.MyUnion (0 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.SCC
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.SCC (0 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.LSeq
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.LSeq (0 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.LArr
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.LArr (0 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.MyEnum
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.MyEnum (0 ms)
[----------] 6 tests from dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal (3 ms total)

[----------] 30 tests from dds_DCPS_XTypes_DynamicDataImpl
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToStruct
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToStruct (4 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToStructDefault
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToStructDefault (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToUnion
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToUnion (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToUnionDefault
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToUnionDefault (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteSequenceToStruct
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteSequenceToStruct (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteSequenceToStructDefault
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteSequenceToStructDefault (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteSequenceToUnion
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteSequenceToUnion (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToArray
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToArray (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToArrayDefault
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToArrayDefault (0 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteStructWithNestedMembers
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteStructWithNestedMembers (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteRecursiveStruct
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteRecursiveStruct (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteValueToStruct
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteValueToStruct (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteValueToUnion
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteValueToUnion (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteSequenceToStruct
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteSequenceToStruct (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteSequenceToUnion
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteSequenceToUnion (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteStructWithNestedMembers
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteStructWithNestedMembers (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteValueToStruct
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteValueToStruct (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteValueToUnion
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteValueToUnion (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteSequenceToStruct
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteSequenceToStruct (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteSequenceToUnion
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteSequenceToUnion (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteStructWithNestedMembers
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteStructWithNestedMembers (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteKeyOnly (0 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteKeyOnly (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteKeyOnly (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.MutableArray_WriteKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.MutableArray_WriteKeyOnly (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Nested_WriteKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Nested_WriteKeyOnly (0 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Union_Defaults
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Union_Defaults (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Union_Setter
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Union_Setter (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Enum_As_String
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Enum_As_String (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.String_As_Enum
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.String_As_Enum (1 ms)
[----------] 30 tests from dds_DCPS_XTypes_DynamicDataImpl (41 ms total)

[----------] 88 tests from dds_DCPS_XTypes_TypeObject
[ RUN      ] dds_DCPS_XTypes_TypeObject.maintest
[       OK ] dds_DCPS_XTypes_TypeObject.maintest (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.Optional_equal
[       OK ] dds_DCPS_XTypes_TypeObject.Optional_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.Optional_has_value
[       OK ] dds_DCPS_XTypes_TypeObject.Optional_has_value (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.Optional_destructor
[       OK ] dds_DCPS_XTypes_TypeObject.Optional_destructor (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.Optional_assignment
[       OK ] dds_DCPS_XTypes_TypeObject.Optional_assignment (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.Sequence_equal
[       OK ] dds_DCPS_XTypes_TypeObject.Sequence_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.ExtendedAnnotationParameterValue_equal
[       OK ] dds_DCPS_XTypes_TypeObject.ExtendedAnnotationParameterValue_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.AnnotationParameterValue_equal
[       OK ] dds_DCPS_XTypes_TypeObject.AnnotationParameterValue_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.AppliedAnnotationParameter_equal
[       OK ] dds_DCPS_XTypes_TypeObject.AppliedAnnotationParameter_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.AppliedVerbatimAnnotation_equal
[       OK ] dds_DCPS_XTypes_TypeObject.AppliedVerbatimAnnotation_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.AppliedBuiltinMemberAnnotations_equal
[       OK ] dds_DCPS_XTypes_TypeObject.AppliedBuiltinMemberAnnotations_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonStructMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonStructMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteMemberDetail_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteMemberDetail_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalMemberDetail_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalMemberDetail_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteStructMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteStructMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalStructMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalStructMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.AppliedBuiltinTypeAnnotations_equal
[       OK ] dds_DCPS_XTypes_TypeObject.AppliedBuiltinTypeAnnotations_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalTypeDetail_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalTypeDetail_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteTypeDetail_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteTypeDetail_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteStructHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteStructHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalStructHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalStructHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteStructType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteStructType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalStructType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalStructType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonUnionMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonUnionMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteUnionMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteUnionMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalUnionMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalUnionMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonDiscriminatorMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonDiscriminatorMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteDiscriminatorMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteDiscriminatorMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalDiscriminatorMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalDiscriminatorMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteUnionHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteUnionHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalUnionHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalUnionHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteUnionType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteUnionType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalUnionType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalUnionType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonAnnotationParameter_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonAnnotationParameter_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteAnnotationParameter_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteAnnotationParameter_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalAnnotationParameter_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalAnnotationParameter_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteAnnotationHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteAnnotationHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalAnnotationHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalAnnotationHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteAnnotationType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteAnnotationType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalAnnotationType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalAnnotationType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonAliasBody_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonAliasBody_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteAliasBody_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteAliasBody_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalAliasBody_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalAliasBody_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteAliasHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteAliasHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalAliasHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalAliasHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteAliasType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteAliasType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalAliasType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalAliasType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteElementDetail_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteElementDetail_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonCollectionElement_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonCollectionElement_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteCollectionElement_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteCollectionElement_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalCollectionElement_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalCollectionElement_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonCollectionHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonCollectionHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteCollectionHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteCollectionHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalCollectionHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalCollectionHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteSequenceType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteSequenceType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalSequenceType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalSequenceType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonArrayHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonArrayHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteArrayHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteArrayHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalArrayHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalArrayHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteArrayType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteArrayType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalArrayType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalArrayType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteMapType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteMapType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalMapType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalMapType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonEnumeratedLiteral_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonEnumeratedLiteral_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteEnumeratedLiteral_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteEnumeratedLiteral_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalEnumeratedLiteral_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalEnumeratedLiteral_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonEnumeratedHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonEnumeratedHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteEnumeratedHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteEnumeratedHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalEnumeratedHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalEnumeratedHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteEnumeratedType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteEnumeratedType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalEnumeratedType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalEnumeratedType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonBitflag_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonBitflag_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteBitflag_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteBitflag_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalBitflag_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalBitflag_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteBitmaskType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteBitmaskType_equal (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalBitmaskType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalBitmaskType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonBitfield_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonBitfield_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteBitfield_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteBitfield_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalBitfield_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalBitfield_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteBitsetHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteBitsetHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalBitsetHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalBitsetHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteBitsetType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteBitsetType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalBitsetType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalBitsetType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteExtendedType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteExtendedType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalExtendedType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalExtendedType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteTypeObject_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteTypeObject_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalTypeObject_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalTypeObject_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.TypeObject_equal
[       OK ] dds_DCPS_XTypes_TypeObject.TypeObject_equal (0 ms)
[----------] 88 tests from dds_DCPS_XTypes_TypeObject (2 ms total)

[----------] 1 test from dds_DCPS_XTypes_TypeIdentifierTypeObjectPair
[ RUN      ] dds_DCPS_XTypes_TypeIdentifierTypeObjectPair.TypeIdentifierTypeObjectPair_equal
[       OK ] dds_DCPS_XTypes_TypeIdentifierTypeObjectPair.TypeIdentifierTypeObjectPair_equal (0 ms)
[----------] 1 test from dds_DCPS_XTypes_TypeIdentifierTypeObjectPair (0 ms total)

[----------] 1 test from dds_DCPS_XTypes_TypeIdentifierPair
[ RUN      ] dds_DCPS_XTypes_TypeIdentifierPair.TypeIdentifierPair_equal
[       OK ] dds_DCPS_XTypes_TypeIdentifierPair.TypeIdentifierPair_equal (0 ms)
[----------] 1 test from dds_DCPS_XTypes_TypeIdentifierPair (0 ms total)

[----------] 1 test from dds_DCPS_XTypes_TypeIdentifierWithSize
[ RUN      ] dds_DCPS_XTypes_TypeIdentifierWithSize.TypeIdentifierWithSize_equal
[       OK ] dds_DCPS_XTypes_TypeIdentifierWithSize.TypeIdentifierWithSize_equal (0 ms)
[----------] 1 test from dds_DCPS_XTypes_TypeIdentifierWithSize (0 ms total)

[----------] 5 tests from dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue
[ RUN      ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.enqueue
[       OK ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.enqueue (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.enqueue_vector
[       OK ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.enqueue_vector (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.purge
[       OK ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.purge (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.purge_remote
[       OK ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.purge_remote (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.purge_local
[       OK ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.purge_local (0 ms)
[----------] 5 tests from dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue (0 ms total)

[----------] 1 test from dds_DCPS_TransactionalRtpsSendQueue
[ RUN      ] dds_DCPS_TransactionalRtpsSendQueue.begin_and_end_transaction
[       OK ] dds_DCPS_TransactionalRtpsSendQueue.begin_and_end_transaction (0 ms)
[----------] 1 test from dds_DCPS_TransactionalRtpsSendQueue (0 ms total)

[----------] 5 tests from dds_DCPS_transport_rtps_udp_MetaSubmessage
[ RUN      ] dds_DCPS_transport_rtps_udp_MetaSubmessage.DefaultConstructor
[       OK ] dds_DCPS_transport_rtps_udp_MetaSubmessage.DefaultConstructor (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_MetaSubmessage.Constructor
[       OK ] dds_DCPS_transport_rtps_udp_MetaSubmessage.Constructor (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_MetaSubmessage.reset_destination
[       OK ] dds_DCPS_transport_rtps_udp_MetaSubmessage.reset_destination (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_MetaSubmessage.dedup_empty
[       OK ] dds_DCPS_transport_rtps_udp_MetaSubmessage.dedup_empty (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_MetaSubmessage.Merging
[       OK ] dds_DCPS_transport_rtps_udp_MetaSubmessage.Merging (1 ms)
[----------] 5 tests from dds_DCPS_transport_rtps_udp_MetaSubmessage (1 ms total)

[----------] 6 tests from dds_DCPS_transport_framework_ReceivedDataSample
[ RUN      ] dds_DCPS_transport_framework_ReceivedDataSample.defctor
[       OK ] dds_DCPS_transport_framework_ReceivedDataSample.defctor (0 ms)
[ RUN      ] dds_DCPS_transport_framework_ReceivedDataSample.msgblock
[       OK ] dds_DCPS_transport_framework_ReceivedDataSample.msgblock (0 ms)
[ RUN      ] dds_DCPS_transport_framework_ReceivedDataSample.alloc
[       OK ] dds_DCPS_transport_framework_ReceivedDataSample.alloc (0 ms)
[ RUN      ] dds_DCPS_transport_framework_ReceivedDataSample.cont
[       OK ] dds_DCPS_transport_framework_ReceivedDataSample.cont (0 ms)
[ RUN      ] dds_DCPS_transport_framework_ReceivedDataSample.ser_copy_peek
[       OK ] dds_DCPS_transport_framework_ReceivedDataSample.ser_copy_peek (0 ms)
[ RUN      ] dds_DCPS_transport_framework_ReceivedDataSample.modifiers
[       OK ] dds_DCPS_transport_framework_ReceivedDataSample.modifiers (0 ms)
[----------] 6 tests from dds_DCPS_transport_framework_ReceivedDataSample (0 ms total)

[----------] 13 tests from dds_DCPS_transport_framework_TransportReassembly
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Main_Test
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Main_Test (2 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Empty
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Empty (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_Has_Frag
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_Has_Frag (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_First_Insert_Has_No_Gaps
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_First_Insert_Has_No_Gaps (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_Gaps
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_Gaps (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_One_Then_Gap
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_One_Then_Gap (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_One_Then_Split_Gap
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_One_Then_Split_Gap (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Right_To_Left
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Right_To_Left (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Left_To_Right
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Left_To_Right (1 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Out_Of_Order
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Out_Of_Order (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Permutations
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Permutations (10 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Overlapping_Inputs
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Overlapping_Inputs (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Overlapping_Inputs_2
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Overlapping_Inputs_2 (0 ms)
[----------] 13 tests from dds_DCPS_transport_framework_TransportReassembly (13 ms total)

[----------] 2 tests from copy_chain_visitor
[ RUN      ] copy_chain_visitor.simple_copy
[       OK ] copy_chain_visitor.simple_copy (1 ms)
[ RUN      ] copy_chain_visitor.simple_duplicate
[       OK ] copy_chain_visitor.simple_duplicate (0 ms)
[----------] 2 tests from copy_chain_visitor (1 ms total)

[----------] 4 tests from dds_DCPS_RTPS_DiscoveredEntities
[ RUN      ] dds_DCPS_RTPS_DiscoveredEntities.LocalEntity_ctor
[       OK ] dds_DCPS_RTPS_DiscoveredEntities.LocalEntity_ctor (0 ms)
[ RUN      ] dds_DCPS_RTPS_DiscoveredEntities.DiscoveredParticipant_ctor
[       OK ] dds_DCPS_RTPS_DiscoveredEntities.DiscoveredParticipant_ctor (0 ms)
[ RUN      ] dds_DCPS_RTPS_DiscoveredEntities.DiscoveredSubscription_ctor
[       OK ] dds_DCPS_RTPS_DiscoveredEntities.DiscoveredSubscription_ctor (0 ms)
[ RUN      ] dds_DCPS_RTPS_DiscoveredEntities.DiscoveredPublication_ctor
[       OK ] dds_DCPS_RTPS_DiscoveredEntities.DiscoveredPublication_ctor (0 ms)
[----------] 4 tests from dds_DCPS_RTPS_DiscoveredEntities (0 ms total)

[----------] 1 test from dds_DCPS_RTPS_ParameterListConverter
[ RUN      ] dds_DCPS_RTPS_ParameterListConverter.maintest
[       OK ] dds_DCPS_RTPS_ParameterListConverter.maintest (3 ms)
[----------] 1 test from dds_DCPS_RTPS_ParameterListConverter (3 ms total)

[----------] 5 tests from dds_DCPS_RTPS_AssociationRecord
[ RUN      ] dds_DCPS_RTPS_AssociationRecord.BuiltinAssociationRecord_ctor
[       OK ] dds_DCPS_RTPS_AssociationRecord.BuiltinAssociationRecord_ctor (2 ms)
[ RUN      ] dds_DCPS_RTPS_AssociationRecord.BuiltinAssociationRecord_ctor_with_flags
[       OK ] dds_DCPS_RTPS_AssociationRecord.BuiltinAssociationRecord_ctor_with_flags (1 ms)
[ RUN      ] dds_DCPS_RTPS_AssociationRecord.BuiltinAssociationRecord_local_tokens_sent
[       OK ] dds_DCPS_RTPS_AssociationRecord.BuiltinAssociationRecord_local_tokens_sent (1 ms)
[ RUN      ] dds_DCPS_RTPS_AssociationRecord.WriterAssociationRecord_ctor
[       OK ] dds_DCPS_RTPS_AssociationRecord.WriterAssociationRecord_ctor (0 ms)
[ RUN      ] dds_DCPS_RTPS_AssociationRecord.ReaderAssociationRecord_ctor
[       OK ] dds_DCPS_RTPS_AssociationRecord.ReaderAssociationRecord_ctor (0 ms)
[----------] 5 tests from dds_DCPS_RTPS_AssociationRecord (4 ms total)

[----------] 8 tests from RtpsCoreTypeSupportImpl
[ RUN      ] RtpsCoreTypeSupportImpl.PropertyQosPolicy
[       OK ] RtpsCoreTypeSupportImpl.PropertyQosPolicy (0 ms)
[ RUN      ] RtpsCoreTypeSupportImpl.InsertParameter
[       OK ] RtpsCoreTypeSupportImpl.InsertParameter (0 ms)
[ RUN      ] RtpsCoreTypeSupportImpl.ExtractParameter
[       OK ] RtpsCoreTypeSupportImpl.ExtractParameter (0 ms)
[ RUN      ] RtpsCoreTypeSupportImpl.InsertFilterResult_t
[       OK ] RtpsCoreTypeSupportImpl.InsertFilterResult_t (0 ms)
[ RUN      ] RtpsCoreTypeSupportImpl.ExtractFilterResult_t
[       OK ] RtpsCoreTypeSupportImpl.ExtractFilterResult_t (0 ms)
[ RUN      ] RtpsCoreTypeSupportImpl.ExtractSequenceWithUnverifiedLength
[       OK ] RtpsCoreTypeSupportImpl.ExtractSequenceWithUnverifiedLength (0 ms)
[ RUN      ] RtpsCoreTypeSupportImpl.Serializer_test_issue4105
[       OK ] RtpsCoreTypeSupportImpl.Serializer_test_issue4105 (0 ms)
[ RUN      ] RtpsCoreTypeSupportImpl.Serializer_test_parameterlist
[       OK ] RtpsCoreTypeSupportImpl.Serializer_test_parameterlist (0 ms)
[----------] 8 tests from RtpsCoreTypeSupportImpl (0 ms total)

[----------] 1 test from dds_DCPS_RTPS_MessageUtils
[ RUN      ] dds_DCPS_RTPS_MessageUtils.maintest
[       OK ] dds_DCPS_RTPS_MessageUtils.maintest (1 ms)
[----------] 1 test from dds_DCPS_RTPS_MessageUtils (1 ms total)

[----------] 4 tests from dds_DCPS_RTPS_GuidGenerator
[ RUN      ] dds_DCPS_RTPS_GuidGenerator.not_NULL
[       OK ] dds_DCPS_RTPS_GuidGenerator.not_NULL (0 ms)
[ RUN      ] dds_DCPS_RTPS_GuidGenerator.populate
[       OK ] dds_DCPS_RTPS_GuidGenerator.populate (0 ms)
[ RUN      ] dds_DCPS_RTPS_GuidGenerator.getCount
[       OK ] dds_DCPS_RTPS_GuidGenerator.getCount (0 ms)
[ RUN      ] dds_DCPS_RTPS_GuidGenerator.interfaceName
[       OK ] dds_DCPS_RTPS_GuidGenerator.interfaceName (0 ms)
[----------] 4 tests from dds_DCPS_RTPS_GuidGenerator (0 ms total)

[----------] 18 tests from dds_DCPS_DispatchService
[ RUN      ] dds_DCPS_DispatchService.DefaultConstructor
[       OK ] dds_DCPS_DispatchService.DefaultConstructor (0 ms)
[ RUN      ] dds_DCPS_DispatchService.ArgConstructorFour
[       OK ] dds_DCPS_DispatchService.ArgConstructorFour (1 ms)
[ RUN      ] dds_DCPS_DispatchService.ArgConstructorOrderAlpha
[       OK ] dds_DCPS_DispatchService.ArgConstructorOrderAlpha (0 ms)
[ RUN      ] dds_DCPS_DispatchService.ArgConstructorOrderBeta
[       OK ] dds_DCPS_DispatchService.ArgConstructorOrderBeta (1 ms)
[ RUN      ] dds_DCPS_DispatchService.SimpleDispatchAlpha
[       OK ] dds_DCPS_DispatchService.SimpleDispatchAlpha (0 ms)
[ RUN      ] dds_DCPS_DispatchService.SimpleDispatchBeta
[       OK ] dds_DCPS_DispatchService.SimpleDispatchBeta (0 ms)
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchAlpha
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchAlpha (0 ms)
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchAlpha_ImmediateShutdown
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchAlpha_ImmediateShutdown (0 ms)
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchBeta
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchBeta (0 ms)
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchBeta_ImmediateShutdown
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchBeta_ImmediateShutdown (1 ms)
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchGamma
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchGamma (1 ms)
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchGamma_ImmediateShutdown
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchGamma_ImmediateShutdown (2 ms)
[ RUN      ] dds_DCPS_DispatchService.InternalShutdown
[       OK ] dds_DCPS_DispatchService.InternalShutdown (0 ms)
[ RUN      ] dds_DCPS_DispatchService.ShutdownReturnsPending
[       OK ] dds_DCPS_DispatchService.ShutdownReturnsPending (0 ms)
[ RUN      ] dds_DCPS_DispatchService.TimedDispatch
[       OK ] dds_DCPS_DispatchService.TimedDispatch (91 ms)
[ RUN      ] dds_DCPS_DispatchService.TimedDispatchSingleThreaded
[       OK ] dds_DCPS_DispatchService.TimedDispatchSingleThreaded (90 ms)
[ RUN      ] dds_DCPS_DispatchService.CancelDispatch
[       OK ] dds_DCPS_DispatchService.CancelDispatch (70 ms)
[ RUN      ] dds_DCPS_DispatchService.CancelDispatchSingleThreaded
[       OK ] dds_DCPS_DispatchService.CancelDispatchSingleThreaded (71 ms)
[----------] 18 tests from dds_DCPS_DispatchService (330 ms total)

[----------] 9 tests from dds_DCPS_WaitSet
[ RUN      ] dds_DCPS_WaitSet.DefaultConstructor
[       OK ] dds_DCPS_WaitSet.DefaultConstructor (0 ms)
[ RUN      ] dds_DCPS_WaitSet.VarDuplicate
[       OK ] dds_DCPS_WaitSet.VarDuplicate (0 ms)
[ RUN      ] dds_DCPS_WaitSet.AttachDetachFailures
[       OK ] dds_DCPS_WaitSet.AttachDetachFailures (0 ms)
[ RUN      ] dds_DCPS_WaitSet.AttachDetach
[       OK ] dds_DCPS_WaitSet.AttachDetach (0 ms)
[ RUN      ] dds_DCPS_WaitSet.AttachDetachAll
[       OK ] dds_DCPS_WaitSet.AttachDetachAll (0 ms)
[ RUN      ] dds_DCPS_WaitSet.AttachNoDetach
[       OK ] dds_DCPS_WaitSet.AttachNoDetach (0 ms)
[ RUN      ] dds_DCPS_WaitSet.WaitBogusDeadline
[       OK ] dds_DCPS_WaitSet.WaitBogusDeadline (0 ms)
[ RUN      ] dds_DCPS_WaitSet.WaitDeadlineTimeout
[       OK ] dds_DCPS_WaitSet.WaitDeadlineTimeout (1 ms)
[ RUN      ] dds_DCPS_WaitSet.WaitDeadlineTriggered
[       OK ] dds_DCPS_WaitSet.WaitDeadlineTriggered (0 ms)
[----------] 9 tests from dds_DCPS_WaitSet (1 ms total)

[----------] 6 tests from dds_DCPS_RcObject
[ RUN      ] dds_DCPS_RcObject.ctors_weak
[       OK ] dds_DCPS_RcObject.ctors_weak (0 ms)
[ RUN      ] dds_DCPS_RcObject.assign_weak
[       OK ] dds_DCPS_RcObject.assign_weak (0 ms)
[ RUN      ] dds_DCPS_RcObject.add_remove_ref_count
[       OK ] dds_DCPS_RcObject.add_remove_ref_count (0 ms)
[ RUN      ] dds_DCPS_RcObject.lock_reset_weak
[       OK ] dds_DCPS_RcObject.lock_reset_weak (0 ms)
[ RUN      ] dds_DCPS_RcObject.lock_failed
[       OK ] dds_DCPS_RcObject.lock_failed (0 ms)
[ RUN      ] dds_DCPS_RcObject.compare_weak
[       OK ] dds_DCPS_RcObject.compare_weak (0 ms)
[----------] 6 tests from dds_DCPS_RcObject (0 ms total)

[----------] 2 tests from dds_DCPS_TimeDuration
[ RUN      ] dds_DCPS_TimeDuration.str
[       OK ] dds_DCPS_TimeDuration.str (0 ms)
[ RUN      ] dds_DCPS_TimeDuration.double_ctor
[       OK ] dds_DCPS_TimeDuration.double_ctor (0 ms)
[----------] 2 tests from dds_DCPS_TimeDuration (0 ms total)

[----------] 17 tests from dds_DCPS_ServiceEventDispatcher
[ RUN      ] dds_DCPS_ServiceEventDispatcher.DefaultConstructor
[       OK ] dds_DCPS_ServiceEventDispatcher.DefaultConstructor (0 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.ArgConstructorFour
[       OK ] dds_DCPS_ServiceEventDispatcher.ArgConstructorFour (0 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.ArgConstructorOrderAlpha
[       OK ] dds_DCPS_ServiceEventDispatcher.ArgConstructorOrderAlpha (1 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.ArgConstructorOrderBeta
[       OK ] dds_DCPS_ServiceEventDispatcher.ArgConstructorOrderBeta (1 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.SimpleDispatchAlpha
[       OK ] dds_DCPS_ServiceEventDispatcher.SimpleDispatchAlpha (0 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.SimpleDispatchBeta
[       OK ] dds_DCPS_ServiceEventDispatcher.SimpleDispatchBeta (1 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchAlpha
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchAlpha (0 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchAlpha_ImmediateShutdown
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchAlpha_ImmediateShutdown (0 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchBeta
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchBeta (1 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchBeta_ImmediateShutdown
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchBeta_ImmediateShutdown (0 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchGamma
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchGamma (3 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchGamma_ImmediateShutdown
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchGamma_ImmediateShutdown (2 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.TestShutdown
[       OK ] dds_DCPS_ServiceEventDispatcher.TestShutdown (0 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.TimedDispatch
[       OK ] dds_DCPS_ServiceEventDispatcher.TimedDispatch (90 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.TimedDispatchSingleThreaded
[       OK ] dds_DCPS_ServiceEventDispatcher.TimedDispatchSingleThreaded (90 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.CancelDispatch
[       OK ] dds_DCPS_ServiceEventDispatcher.CancelDispatch (71 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.CancelDispatchSingleThreaded
[       OK ] dds_DCPS_ServiceEventDispatcher.CancelDispatchSingleThreaded (70 ms)
[----------] 17 tests from dds_DCPS_ServiceEventDispatcher (331 ms total)

[----------] 4 tests from dds_DCPS_DisjointSequence
[ RUN      ] dds_DCPS_DisjointSequence.maintest
[       OK ] dds_DCPS_DisjointSequence.maintest (1 ms)
[ RUN      ] dds_DCPS_DisjointSequence.OrderedRanges_main_test
[       OK ] dds_DCPS_DisjointSequence.OrderedRanges_main_test (0 ms)
[ RUN      ] dds_DCPS_DisjointSequence.OrderedRanges_insert_out_of_order
[       OK ] dds_DCPS_DisjointSequence.OrderedRanges_insert_out_of_order (0 ms)
[ RUN      ] dds_DCPS_DisjointSequence.OrderedRanges_insert_ranges
[       OK ] dds_DCPS_DisjointSequence.OrderedRanges_insert_ranges (0 ms)
[----------] 4 tests from dds_DCPS_DisjointSequence (1 ms total)

[----------] 14 tests from dds_DCPS_InternalDataReader
[ RUN      ] dds_DCPS_InternalDataReader.durable
[       OK ] dds_DCPS_InternalDataReader.durable (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.write
[       OK ] dds_DCPS_InternalDataReader.write (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.write_keep_all
[       OK ] dds_DCPS_InternalDataReader.write_keep_all (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.unregister_instance
[       OK ] dds_DCPS_InternalDataReader.unregister_instance (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.dispose
[       OK ] dds_DCPS_InternalDataReader.dispose (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.remove_publication_autodispose
[       OK ] dds_DCPS_InternalDataReader.remove_publication_autodispose (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.remove_publication
[       OK ] dds_DCPS_InternalDataReader.remove_publication (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.listener
[       OK ] dds_DCPS_InternalDataReader.listener (1002 ms)
[ RUN      ] dds_DCPS_InternalDataReader.read
[       OK ] dds_DCPS_InternalDataReader.read (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.read_instance_state
[       OK ] dds_DCPS_InternalDataReader.read_instance_state (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.take
[       OK ] dds_DCPS_InternalDataReader.take (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.take_instance_state
[       OK ] dds_DCPS_InternalDataReader.take_instance_state (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.read_instance
[       OK ] dds_DCPS_InternalDataReader.read_instance (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.take_instance
[       OK ] dds_DCPS_InternalDataReader.take_instance (0 ms)
[----------] 14 tests from dds_DCPS_InternalDataReader (1002 ms total)

[----------] 5 tests from dds_DCPS_InternalTopic
[ RUN      ] dds_DCPS_InternalTopic.connect_writer
[       OK ] dds_DCPS_InternalTopic.connect_writer (0 ms)
[ RUN      ] dds_DCPS_InternalTopic.connect_reader
[       OK ] dds_DCPS_InternalTopic.connect_reader (0 ms)
[ RUN      ] dds_DCPS_InternalTopic.disconnect_writer
[       OK ] dds_DCPS_InternalTopic.disconnect_writer (0 ms)
[ RUN      ] dds_DCPS_InternalTopic.disconnect_reader
[       OK ] dds_DCPS_InternalTopic.disconnect_reader (0 ms)
[ RUN      ] dds_DCPS_InternalTopic.connect_multiple
[       OK ] dds_DCPS_InternalTopic.connect_multiple (0 ms)
[----------] 5 tests from dds_DCPS_InternalTopic (0 ms total)

[----------] 26 tests from dds_DCPS_NetworkAddress
[ RUN      ] dds_DCPS_NetworkAddress.DefaultConstructor
[       OK ] dds_DCPS_NetworkAddress.DefaultConstructor (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.AddrConstructorDefault
[       OK ] dds_DCPS_NetworkAddress.AddrConstructorDefault (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.AddrConstructorPortStrIpFour
[       OK ] dds_DCPS_NetworkAddress.AddrConstructorPortStrIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.AddrConstructorStrIpFour
[       OK ] dds_DCPS_NetworkAddress.AddrConstructorStrIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.AddrConstructorPortStrIpSix
[       OK ] dds_DCPS_NetworkAddress.AddrConstructorPortStrIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.AddrConstructortStrIpSix
[       OK ] dds_DCPS_NetworkAddress.AddrConstructortStrIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.PortStrConstructorIpFour
[       OK ] dds_DCPS_NetworkAddress.PortStrConstructorIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.StrConstructorIpFour
[       OK ] dds_DCPS_NetworkAddress.StrConstructorIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.PortStrConstructorIpSix
[       OK ] dds_DCPS_NetworkAddress.PortStrConstructorIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.StrConstructorIpSix
[       OK ] dds_DCPS_NetworkAddress.StrConstructorIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.SetPortIpFour
[       OK ] dds_DCPS_NetworkAddress.SetPortIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.SetPortIpSix
[       OK ] dds_DCPS_NetworkAddress.SetPortIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.OperatorsIpFour
[       OK ] dds_DCPS_NetworkAddress.OperatorsIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.OperatorsIpSix
[       OK ] dds_DCPS_NetworkAddress.OperatorsIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsAnyIpFour
[       OK ] dds_DCPS_NetworkAddress.IsAnyIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsAnyIpSix
[       OK ] dds_DCPS_NetworkAddress.IsAnyIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsLoopbackIpFour
[       OK ] dds_DCPS_NetworkAddress.IsLoopbackIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsLoopbackIpSix
[       OK ] dds_DCPS_NetworkAddress.IsLoopbackIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsMulticastIpFour
[       OK ] dds_DCPS_NetworkAddress.IsMulticastIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsMulticastIpSix
[       OK ] dds_DCPS_NetworkAddress.IsMulticastIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsPrivateIpFour
[       OK ] dds_DCPS_NetworkAddress.IsPrivateIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsUniqueLocalIpSix
[       OK ] dds_DCPS_NetworkAddress.IsUniqueLocalIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsLinkLocalIpSix
[       OK ] dds_DCPS_NetworkAddress.IsLinkLocalIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsSiteLocalIpSix
[       OK ] dds_DCPS_NetworkAddress.IsSiteLocalIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsMoreLocalIpFour
[       OK ] dds_DCPS_NetworkAddress.IsMoreLocalIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsMoreLocalIpSix
[       OK ] dds_DCPS_NetworkAddress.IsMoreLocalIpSix (0 ms)
[----------] 26 tests from dds_DCPS_NetworkAddress (1 ms total)

[----------] 42 tests from dds_DCPS_PrinterValueWriter
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_struct
[       OK ] dds_DCPS_PrinterValueWriter.begin_struct (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_struct
[       OK ] dds_DCPS_PrinterValueWriter.end_struct (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_struct_member
[       OK ] dds_DCPS_PrinterValueWriter.begin_struct_member (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_struct_member
[       OK ] dds_DCPS_PrinterValueWriter.end_struct_member (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_union
[       OK ] dds_DCPS_PrinterValueWriter.begin_union (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_union
[       OK ] dds_DCPS_PrinterValueWriter.end_union (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_discriminator
[       OK ] dds_DCPS_PrinterValueWriter.begin_discriminator (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_discriminator
[       OK ] dds_DCPS_PrinterValueWriter.end_discriminator (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_union_member
[       OK ] dds_DCPS_PrinterValueWriter.begin_union_member (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_union_member
[       OK ] dds_DCPS_PrinterValueWriter.end_union_member (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.complete_struct
[       OK ] dds_DCPS_PrinterValueWriter.complete_struct (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_array
[       OK ] dds_DCPS_PrinterValueWriter.begin_array (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_array
[       OK ] dds_DCPS_PrinterValueWriter.end_array (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_sequence
[       OK ] dds_DCPS_PrinterValueWriter.begin_sequence (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_sequence
[       OK ] dds_DCPS_PrinterValueWriter.end_sequence (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_element
[       OK ] dds_DCPS_PrinterValueWriter.begin_element (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_element
[       OK ] dds_DCPS_PrinterValueWriter.end_element (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.complete_sequence
[       OK ] dds_DCPS_PrinterValueWriter.complete_sequence (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.complete_sequence_write_array
[       OK ] dds_DCPS_PrinterValueWriter.complete_sequence_write_array (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.complete_array
[       OK ] dds_DCPS_PrinterValueWriter.complete_array (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.complete_array_write_array
[       OK ] dds_DCPS_PrinterValueWriter.complete_array_write_array (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.complete_struct_with_complete_array
[       OK ] dds_DCPS_PrinterValueWriter.complete_struct_with_complete_array (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_boolean
[       OK ] dds_DCPS_PrinterValueWriter.write_boolean (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_byte
[       OK ] dds_DCPS_PrinterValueWriter.write_byte (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_int8
[       OK ] dds_DCPS_PrinterValueWriter.write_int8 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_uint8
[       OK ] dds_DCPS_PrinterValueWriter.write_uint8 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_int16
[       OK ] dds_DCPS_PrinterValueWriter.write_int16 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_uint16
[       OK ] dds_DCPS_PrinterValueWriter.write_uint16 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_int32
[       OK ] dds_DCPS_PrinterValueWriter.write_int32 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_uint32
[       OK ] dds_DCPS_PrinterValueWriter.write_uint32 (1 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_int64
[       OK ] dds_DCPS_PrinterValueWriter.write_int64 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_uint64
[       OK ] dds_DCPS_PrinterValueWriter.write_uint64 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_float32
[       OK ] dds_DCPS_PrinterValueWriter.write_float32 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_float64
[       OK ] dds_DCPS_PrinterValueWriter.write_float64 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_float128
[       OK ] dds_DCPS_PrinterValueWriter.write_float128 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_fixed
[       OK ] dds_DCPS_PrinterValueWriter.write_fixed (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_char8
[       OK ] dds_DCPS_PrinterValueWriter.write_char8 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_char8_null
[       OK ] dds_DCPS_PrinterValueWriter.write_char8_null (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_char16
[       OK ] dds_DCPS_PrinterValueWriter.write_char16 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_char16_null
[       OK ] dds_DCPS_PrinterValueWriter.write_char16_null (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_string
[       OK ] dds_DCPS_PrinterValueWriter.write_string (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_enum
[       OK ] dds_DCPS_PrinterValueWriter.write_enum (0 ms)
[----------] 42 tests from dds_DCPS_PrinterValueWriter (1 ms total)

[----------] 5 tests from dds_DCPS_SporadicEvent
[ RUN      ] dds_DCPS_SporadicEvent.ConstructDestruct
[       OK ] dds_DCPS_SporadicEvent.ConstructDestruct (0 ms)
[ RUN      ] dds_DCPS_SporadicEvent.Nominal
[       OK ] dds_DCPS_SporadicEvent.Nominal (1 ms)
[ RUN      ] dds_DCPS_SporadicEvent.MoveUp
[       OK ] dds_DCPS_SporadicEvent.MoveUp (3 ms)
[ RUN      ] dds_DCPS_SporadicEvent.NoDoubleExec
[       OK ] dds_DCPS_SporadicEvent.NoDoubleExec (201 ms)
[ RUN      ] dds_DCPS_SporadicEvent.Cancel
[       OK ] dds_DCPS_SporadicEvent.Cancel (100 ms)
[----------] 5 tests from dds_DCPS_SporadicEvent (305 ms total)

[----------] 4 tests from dds_DCPS_EventDispatcher
[ RUN      ] dds_DCPS_EventDispatcher.EventBaseConstructDestruct
[       OK ] dds_DCPS_EventDispatcher.EventBaseConstructDestruct (0 ms)
[ RUN      ] dds_DCPS_EventDispatcher.EventBasePassThrough
[       OK ] dds_DCPS_EventDispatcher.EventBasePassThrough (0 ms)
[ RUN      ] dds_DCPS_EventDispatcher.EventBaseHandleException
[       OK ] dds_DCPS_EventDispatcher.EventBaseHandleException (14 ms)
[ RUN      ] dds_DCPS_EventDispatcher.TestEventDispatcher
[       OK ] dds_DCPS_EventDispatcher.TestEventDispatcher (0 ms)
[----------] 4 tests from dds_DCPS_EventDispatcher (14 ms total)

[----------] 206 tests from dds_DCPS_Qos_Helper
[ RUN      ] dds_DCPS_Qos_Helper.maintest
[       OK ] dds_DCPS_Qos_Helper.maintest (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TransportPriorityQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.TransportPriorityQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TransportPriorityQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.TransportPriorityQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TransportPriorityQosPolicyBuilder_value
[       OK ] dds_DCPS_Qos_Helper.TransportPriorityQosPolicyBuilder_value (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LifespanQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.LifespanQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LifespanQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.LifespanQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LifespanQosPolicyBuilder_duration
[       OK ] dds_DCPS_Qos_Helper.LifespanQosPolicyBuilder_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_kind
[       OK ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_volatile
[       OK ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_volatile (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_transient_local
[       OK ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_transient_local (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_transient
[       OK ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_transient (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_persistent
[       OK ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_persistent (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_service_cleanup_delay
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_service_cleanup_delay (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_history_kind
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_history_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_keep_last
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_keep_last (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_keep_all
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_keep_all (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_history_depth
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_history_depth (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_max_samples
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_max_samples (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_max_instances
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_max_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_max_samples_per_instance
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_max_samples_per_instance (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DeadlineQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.DeadlineQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DeadlineQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.DeadlineQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DeadlineQosPolicyBuilder_period
[       OK ] dds_DCPS_Qos_Helper.DeadlineQosPolicyBuilder_period (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LatencyBudgetQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.LatencyBudgetQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LatencyBudgetQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.LatencyBudgetQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LatencyBudgetQosPolicyBuilder_duration
[       OK ] dds_DCPS_Qos_Helper.LatencyBudgetQosPolicyBuilder_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_kind
[       OK ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_shared
[       OK ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_shared (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_exclusive
[       OK ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_exclusive (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipStrengthQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.OwnershipStrengthQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipStrengthQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.OwnershipStrengthQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipStrengthQosPolicyBuilder_value
[       OK ] dds_DCPS_Qos_Helper.OwnershipStrengthQosPolicyBuilder_value (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_kind
[       OK ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_automatic
[       OK ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_automatic (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_manual_by_participant
[       OK ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_manual_by_participant (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_manual_by_topic
[       OK ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_manual_by_topic (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_lease_duration
[       OK ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_lease_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TimeBasedFilterQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.TimeBasedFilterQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TimeBasedFilterQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.TimeBasedFilterQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TimeBasedFilterQosPolicyBuilder_minimum_separation
[       OK ] dds_DCPS_Qos_Helper.TimeBasedFilterQosPolicyBuilder_minimum_separation (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_max_samples
[       OK ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_max_samples (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_max_instances
[       OK ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_max_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_max_samples_per_instance
[       OK ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_max_samples_per_instance (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.WriterDataLifecycleQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.WriterDataLifecycleQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.WriterDataLifecycleQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.WriterDataLifecycleQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.WriterDataLifecycleQosPolicyBuilder_autodispose_unregistered_instances
[       OK ] dds_DCPS_Qos_Helper.WriterDataLifecycleQosPolicyBuilder_autodispose_unregistered_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.ReaderDataLifecycleQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.ReaderDataLifecycleQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.ReaderDataLifecycleQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.ReaderDataLifecycleQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.ReaderDataLifecycleQosPolicyBuilder_autopurge_nowriter_samples_delay
[       OK ] dds_DCPS_Qos_Helper.ReaderDataLifecycleQosPolicyBuilder_autopurge_nowriter_samples_delay (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_kind
[       OK ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_disallow_type_coercion
[       OK ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_disallow_type_coercion (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_allow_type_coercion
[       OK ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_allow_type_coercion (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_ignore_sequence_bounds
[       OK ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_ignore_sequence_bounds (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_ignore_string_bounds
[       OK ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_ignore_string_bounds (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_ignore_member_names
[       OK ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_ignore_member_names (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_prevent_type_widening
[       OK ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_prevent_type_widening (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_force_type_validation
[       OK ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_force_type_validation (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_topic_data_value
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_topic_data_value (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_kind
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_volatile
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_volatile (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_transient_local
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_transient_local (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_transient
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_transient (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_persistent
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_persistent (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_service_cleanup_delay
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_service_cleanup_delay (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_history_kind
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_history_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_keep_last
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_keep_last (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_keep_all
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_keep_all (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_history_depth
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_history_depth (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_max_samples
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_max_samples (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_max_instances
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_max_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_max_samples_per_instance
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_max_samples_per_instance (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_deadline_period
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_deadline_period (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_latency_budget_duration
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_latency_budget_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_kind
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_automatic
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_automatic (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_manual_by_participant
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_manual_by_participant (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_manual_by_topic
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_manual_by_topic (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_lease_duration
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_lease_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_kind
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_best_effort
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_best_effort (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_reliable
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_reliable (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_max_blocking_time
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_max_blocking_time (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_destination_order_kind
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_destination_order_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_destination_order_by_source_timestamp
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_destination_order_by_source_timestamp (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_destination_order_by_reception_timestamp
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_destination_order_by_reception_timestamp (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_kind
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_keep_last
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_keep_last (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_keep_all
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_keep_all (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_depth
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_depth (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_resource_limits_max_samples
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_resource_limits_max_samples (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_resource_limits_max_instances
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_resource_limits_max_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_resource_limits_max_samples_per_instance
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_resource_limits_max_samples_per_instance (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_transport_priority_value
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_transport_priority_value (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_lifespan_duration
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_lifespan_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_ownership_kind
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_ownership_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_ownership_shared
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_ownership_shared (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_ownership_exclusive
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_ownership_exclusive (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_kind
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_volatile
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_volatile (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_transient_local
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_transient_local (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_transient
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_transient (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_persistent
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_persistent (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_service_cleanup_delay
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_service_cleanup_delay (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_history_kind
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_history_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_keep_last
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_keep_last (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_keep_all
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_keep_all (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_history_depth
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_history_depth (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_max_samples
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_max_samples (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_max_instances
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_max_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_max_samples_per_instance
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_max_samples_per_instance (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_deadline_period
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_deadline_period (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_latency_budget_duration
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_latency_budget_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_kind
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_automatic
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_automatic (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_manual_by_participant
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_manual_by_participant (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_manual_by_topic
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_manual_by_topic (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_lease_duration
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_lease_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_kind
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_best_effort
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_best_effort (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_reliable
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_reliable (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_max_blocking_time
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_max_blocking_time (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_destination_order_kind
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_destination_order_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_destination_order_by_source_timestamp
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_destination_order_by_source_timestamp (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_destination_order_by_reception_timestamp
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_destination_order_by_reception_timestamp (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_kind
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_keep_last
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_keep_last (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_keep_all
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_keep_all (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_depth
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_depth (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_resource_limits_max_samples
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_resource_limits_max_samples (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_resource_limits_max_instances
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_resource_limits_max_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_resource_limits_max_samples_per_instance
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_resource_limits_max_samples_per_instance (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_transport_priority_value
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_transport_priority_value (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_lifespan_duration
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_lifespan_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_user_data_value
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_user_data_value (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_kind
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_shared
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_shared (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_exclusive
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_exclusive (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_strength_value
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_strength_value (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_writer_data_lifecycle_autodispose_unregistered_instances
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_writer_data_lifecycle_autodispose_unregistered_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Publisher_ctor
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Publisher_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Publisher_ctor_error
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Publisher_ctor_error (1 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Topic_ctor
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Topic_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Topic_ctor_error
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Topic_ctor_error (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_durability_kind
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_durability_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_durability_volatile
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_durability_volatile (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_durability_transient_local
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_durability_transient_local (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_durability_transient
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_durability_transient (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_durability_persistent
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_durability_persistent (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_deadline_period
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_deadline_period (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_latency_budget_duration
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_latency_budget_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_liveliness_kind
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_liveliness_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_liveliness_automatic
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_liveliness_automatic (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_liveliness_manual_by_participant
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_liveliness_manual_by_participant (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_liveliness_manual_by_topic
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_liveliness_manual_by_topic (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_liveliness_lease_duration
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_liveliness_lease_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_reliability_kind
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_reliability_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_reliability_best_effort
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_reliability_best_effort (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_reliability_reliable
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_reliability_reliable (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_reliability_max_blocking_time
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_reliability_max_blocking_time (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_destination_order_kind
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_destination_order_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_destination_order_by_source_timestamp
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_destination_order_by_source_timestamp (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_destination_order_by_reception_timestamp
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_destination_order_by_reception_timestamp (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_history_kind
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_history_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_history_keep_last
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_history_keep_last (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_history_keep_all
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_history_keep_all (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_history_depth
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_history_depth (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_resource_limits_max_samples
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_resource_limits_max_samples (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_resource_limits_max_instances
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_resource_limits_max_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_resource_limits_max_samples_per_instance
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_resource_limits_max_samples_per_instance (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_user_data_value
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_user_data_value (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_ownership_kind
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_ownership_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_ownership_shared
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_ownership_shared (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_ownership_exclusive
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_ownership_exclusive (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_time_based_filter_minimum_separation
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_time_based_filter_minimum_separation (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_reader_data_lifecycle_autopurge_nowriter_samples_delay
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_reader_data_lifecycle_autopurge_nowriter_samples_delay (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_reader_data_lifecycle_autopurge_disposed_samples_delay
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_reader_data_lifecycle_autopurge_disposed_samples_delay (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_kind
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_disallow_type_coercion
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_disallow_type_coercion (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_allow_type_coercion
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_allow_type_coercion (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_ignore_sequence_bounds
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_ignore_sequence_bounds (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_ignore_string_bounds
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_ignore_string_bounds (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_ignore_member_names
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_ignore_member_names (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_prevent_type_widening
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_prevent_type_widening (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_force_type_validation
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_force_type_validation (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_Subscriber_ctor
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_Subscriber_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_Subscriber_ctor_error
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_Subscriber_ctor_error (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_Topic_ctor
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_Topic_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_Topic_ctor_error
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_Topic_ctor_error (0 ms)
[----------] 206 tests from dds_DCPS_Qos_Helper (4 ms total)

[----------] 3 tests from dds_DCPS_PeriodicEvent
[ RUN      ] dds_DCPS_PeriodicEvent.ConstructDestruct
[       OK ] dds_DCPS_PeriodicEvent.ConstructDestruct (0 ms)
[ RUN      ] dds_DCPS_PeriodicEvent.Nominal
[       OK ] dds_DCPS_PeriodicEvent.Nominal (601 ms)
[ RUN      ] dds_DCPS_PeriodicEvent.NoDoubleExec
[       OK ] dds_DCPS_PeriodicEvent.NoDoubleExec (1001 ms)
[----------] 3 tests from dds_DCPS_PeriodicEvent (1602 ms total)

[----------] 1 test from dds_DCPS_DataSampleHeader
[ RUN      ] dds_DCPS_DataSampleHeader.valid_data
[       OK ] dds_DCPS_DataSampleHeader.valid_data (0 ms)
[----------] 1 test from dds_DCPS_DataSampleHeader (0 ms total)

[----------] 1 test from dds_DCPS_SequenceNumber
[ RUN      ] dds_DCPS_SequenceNumber.maintest
[       OK ] dds_DCPS_SequenceNumber.maintest (0 ms)
[----------] 1 test from dds_DCPS_SequenceNumber (0 ms total)

[----------] 1 test from dds_DCPS_MemoryPool
[ RUN      ] dds_DCPS_MemoryPool.maintest
[       OK ] dds_DCPS_MemoryPool.maintest (5 ms)
[----------] 1 test from dds_DCPS_MemoryPool (5 ms total)

[----------] 9 tests from dds_DCPS_SporadicTask
[ RUN      ] dds_DCPS_SporadicTask.schedule
[       OK ] dds_DCPS_SporadicTask.schedule (0 ms)
[ RUN      ] dds_DCPS_SporadicTask.schedule_pmf
[       OK ] dds_DCPS_SporadicTask.schedule_pmf (1 ms)
[ RUN      ] dds_DCPS_SporadicTask.schedule_error
[       OK ] dds_DCPS_SporadicTask.schedule_error (0 ms)
[ RUN      ] dds_DCPS_SporadicTask.schedule_earlier
[       OK ] dds_DCPS_SporadicTask.schedule_earlier (0 ms)
[ RUN      ] dds_DCPS_SporadicTask.schedule_later
[       OK ] dds_DCPS_SporadicTask.schedule_later (0 ms)
[ RUN      ] dds_DCPS_SporadicTask.schedule_no_interceptor
[       OK ] dds_DCPS_SporadicTask.schedule_no_interceptor (1 ms)
[ RUN      ] dds_DCPS_SporadicTask.cancel_not_scheduled
[       OK ] dds_DCPS_SporadicTask.cancel_not_scheduled (0 ms)
[ RUN      ] dds_DCPS_SporadicTask.cancel_scheduled
[       OK ] dds_DCPS_SporadicTask.cancel_scheduled (0 ms)
[ RUN      ] dds_DCPS_SporadicTask.cancel_no_interceptor
[       OK ] dds_DCPS_SporadicTask.cancel_no_interceptor (0 ms)
[----------] 9 tests from dds_DCPS_SporadicTask (2 ms total)

[----------] 2 tests from dds_DCPS_GuidUtils
[ RUN      ] dds_DCPS_GuidUtils.guid_t_vs_octet_array16_size_test
[       OK ] dds_DCPS_GuidUtils.guid_t_vs_octet_array16_size_test (0 ms)
[ RUN      ] dds_DCPS_GuidUtils.guid_pair_cmp
[       OK ] dds_DCPS_GuidUtils.guid_pair_cmp (0 ms)
[----------] 2 tests from dds_DCPS_GuidUtils (0 ms total)

[----------] 12 tests from dds_DCPS_NetworkResource
[ RUN      ] dds_DCPS_NetworkResource.hostname_info
[       OK ] dds_DCPS_NetworkResource.hostname_info (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.fully_qualified_domain_hostname_basic
(22708|22708) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
[       OK ] dds_DCPS_NetworkResource.fully_qualified_domain_hostname_basic (6 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv4
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv4 (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6 (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_localhost
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_localhost (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_double_self
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_double_self (3 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals_port0
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals_port0 (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals_localhost
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals_localhost (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals_localhost_port0
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals_localhost_port0 (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv4_literals
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv4_literals (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv4_literals_port0
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv4_literals_port0 (0 ms)
[----------] 12 tests from dds_DCPS_NetworkResource (9 ms total)

[----------] 1 test from dds_DCPS_AtomicBool
[ RUN      ] dds_DCPS_AtomicBool.tsan_test
[       OK ] dds_DCPS_AtomicBool.tsan_test (1 ms)
[----------] 1 test from dds_DCPS_AtomicBool (1 ms total)

[----------] 3 tests from dds_DCPS_GuidConverter
[ RUN      ] dds_DCPS_GuidConverter.prefixes_to_ParticipantID
[       OK ] dds_DCPS_GuidConverter.prefixes_to_ParticipantID (0 ms)
[ RUN      ] dds_DCPS_GuidConverter.validate_Checksum
[       OK ] dds_DCPS_GuidConverter.validate_Checksum (0 ms)
[ RUN      ] dds_DCPS_GuidConverter.validate_IDs_and_Key
[       OK ] dds_DCPS_GuidConverter.validate_IDs_and_Key (0 ms)
[----------] 3 tests from dds_DCPS_GuidConverter (0 ms total)

[----------] 8 tests from dds_DCPS_InternalDataWriter
[ RUN      ] dds_DCPS_InternalDataWriter.add_reader
[       OK ] dds_DCPS_InternalDataWriter.add_reader (0 ms)
[ RUN      ] dds_DCPS_InternalDataWriter.add_reader_durable
[       OK ] dds_DCPS_InternalDataWriter.add_reader_durable (0 ms)
[ RUN      ] dds_DCPS_InternalDataWriter.add_reader_durable_history1
[       OK ] dds_DCPS_InternalDataWriter.add_reader_durable_history1 (0 ms)
[ RUN      ] dds_DCPS_InternalDataWriter.remove_reader
[       OK ] dds_DCPS_InternalDataWriter.remove_reader (0 ms)
[ RUN      ] dds_DCPS_InternalDataWriter.write
[       OK ] dds_DCPS_InternalDataWriter.write (0 ms)
[ RUN      ] dds_DCPS_InternalDataWriter.unregister_instance
[       OK ] dds_DCPS_InternalDataWriter.unregister_instance (0 ms)
[ RUN      ] dds_DCPS_InternalDataWriter.unregister_instance_no_dispose
[       OK ] dds_DCPS_InternalDataWriter.unregister_instance_no_dispose (0 ms)
[ RUN      ] dds_DCPS_InternalDataWriter.dispose
[       OK ] dds_DCPS_InternalDataWriter.dispose (0 ms)
[----------] 8 tests from dds_DCPS_InternalDataWriter (0 ms total)

[----------] 6 tests from dds_DCPS_ThreadPool
[ RUN      ] dds_DCPS_ThreadPool.NoArgConstructor
[       OK ] dds_DCPS_ThreadPool.NoArgConstructor (0 ms)
[ RUN      ] dds_DCPS_ThreadPool.ArgConstructorZero
[       OK ] dds_DCPS_ThreadPool.ArgConstructorZero (0 ms)
[ RUN      ] dds_DCPS_ThreadPool.ArgConstructorOne
[       OK ] dds_DCPS_ThreadPool.ArgConstructorOne (0 ms)
[ RUN      ] dds_DCPS_ThreadPool.ArgConstructorFour
[       OK ] dds_DCPS_ThreadPool.ArgConstructorFour (1 ms)
[ RUN      ] dds_DCPS_ThreadPool.ArgConstructorSixteen
[       OK ] dds_DCPS_ThreadPool.ArgConstructorSixteen (1 ms)
[ RUN      ] dds_DCPS_ThreadPool.CheckMembership
[       OK ] dds_DCPS_ThreadPool.CheckMembership (0 ms)
[----------] 6 tests from dds_DCPS_ThreadPool (2 ms total)

[----------] 1 test from dds_DCPS_DomainParticipantImpl
[ RUN      ] dds_DCPS_DomainParticipantImpl.maintest
[       OK ] dds_DCPS_DomainParticipantImpl.maintest (0 ms)
[----------] 1 test from dds_DCPS_DomainParticipantImpl (0 ms total)

[----------] 5 tests from dds_DCPS_FibonacciSequence
[ RUN      ] dds_DCPS_FibonacciSequence.size_t_test
[       OK ] dds_DCPS_FibonacciSequence.size_t_test (0 ms)
[ RUN      ] dds_DCPS_FibonacciSequence.TimeDuration_test
[       OK ] dds_DCPS_FibonacciSequence.TimeDuration_test (0 ms)
[ RUN      ] dds_DCPS_FibonacciSequence.advance_with_max
[       OK ] dds_DCPS_FibonacciSequence.advance_with_max (0 ms)
[ RUN      ] dds_DCPS_FibonacciSequence.set_with_value
[       OK ] dds_DCPS_FibonacciSequence.set_with_value (0 ms)
[ RUN      ] dds_DCPS_FibonacciSequence.set_with_two_values
[       OK ] dds_DCPS_FibonacciSequence.set_with_two_values (0 ms)
[----------] 5 tests from dds_DCPS_FibonacciSequence (0 ms total)

[----------] 8 tests from dds_DCPS_AddressCache
[ RUN      ] dds_DCPS_AddressCache.load_fail
[       OK ] dds_DCPS_AddressCache.load_fail (0 ms)
[ RUN      ] dds_DCPS_AddressCache.store_load_success
[       OK ] dds_DCPS_AddressCache.store_load_success (0 ms)
[ RUN      ] dds_DCPS_AddressCache.store_remove_load_fail
[       OK ] dds_DCPS_AddressCache.store_remove_load_fail (0 ms)
[ RUN      ] dds_DCPS_AddressCache.store_remove_id_load_fail
[       OK ] dds_DCPS_AddressCache.store_remove_id_load_fail (0 ms)
[ RUN      ] dds_DCPS_AddressCache.scoped_access_load_success
[       OK ] dds_DCPS_AddressCache.scoped_access_load_success (0 ms)
[ RUN      ] dds_DCPS_AddressCache.scoped_access_cache_hit
[       OK ] dds_DCPS_AddressCache.scoped_access_cache_hit (0 ms)
[ RUN      ] dds_DCPS_AddressCache.store_twice
[       OK ] dds_DCPS_AddressCache.store_twice (0 ms)
[ RUN      ] dds_DCPS_AddressCache.scoped_access_expired
[       OK ] dds_DCPS_AddressCache.scoped_access_expired (0 ms)
[----------] 8 tests from dds_DCPS_AddressCache (0 ms total)

[----------] 60 tests from dds_DCPS_Serializer
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding
[       OK ] dds_DCPS_Serializer.Encoding_Encoding (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR1_ENDIAN_BIG
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR1_ENDIAN_BIG (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_UNALIGNED_CDR_ENDIAN_BIG
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_UNALIGNED_CDR_ENDIAN_BIG (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_ENDIAN_BIG
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_ENDIAN_BIG (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR1_ENDIAN_LITTLE
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR1_ENDIAN_LITTLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_UNALIGNED_CDR_ENDIAN_LITTLE
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_UNALIGNED_CDR_ENDIAN_LITTLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_ENDIAN_LITTLE
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_ENDIAN_LITTLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_Swap
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_Swap (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_No_Swap
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_No_Swap (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR1_max_align
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR1_max_align (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_UNALIGNED_CDR_max_align
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_UNALIGNED_CDR_max_align (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_max_align
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_max_align (0 ms)
[ RUN      ] dds_DCPS_Serializer.align_value_no_offset
[       OK ] dds_DCPS_Serializer.align_value_no_offset (0 ms)
[ RUN      ] dds_DCPS_Serializer.align_value_add_offset
[       OK ] dds_DCPS_Serializer.align_value_add_offset (0 ms)
[ RUN      ] dds_DCPS_Serializer.align_value_smaller_than_by
[       OK ] dds_DCPS_Serializer.align_value_smaller_than_by (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_is_encapsulated_this_XCDR1
[       OK ] dds_DCPS_Serializer.Encoding_is_encapsulated_this_XCDR1 (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_is_encapsulated_this_UNALIGNED_CDR
[       OK ] dds_DCPS_Serializer.Encoding_is_encapsulated_this_UNALIGNED_CDR (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_static_is_encacapsulatd_XCDR2
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_static_is_encacapsulatd_XCDR2 (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_EncapsulationHeader
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_EncapsulationHeader (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_EncapsulationHeader_Encoding_Valid
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_EncapsulationHeader_Encoding_Valid (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_EncapsulationHeader_Encoding_Invalid
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_EncapsulationHeader_Encoding_Invalid (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR1_BIG_FINAL
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR1_BIG_FINAL (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR1_LITTLE_FINAL
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR1_LITTLE_FINAL (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR1_LITTLE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR1_LITTLE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR2_LITTLE_FINAL
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR2_LITTLE_FINAL (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR2_LITTLE_APPENDABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR2_LITTLE_APPENDABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR2_LITTLE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR2_LITTLE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_UNALIGNED_CDR_LITTLE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_UNALIGNED_CDR_LITTLE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_NOT_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_NOT_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR_LE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR_LE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR_LE_NOT_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR_LE_NOT_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR_BE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR_BE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR_LE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR_LE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR2_BE_FINAL
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR2_BE_FINAL (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR2_LE_FINAL
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR2_LE_FINAL (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_D_CDR2_BE_APPENDABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_D_CDR2_BE_APPENDABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_D_CDR2_LE_APPENDABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_D_CDR2_LE_APPENDABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR2_BE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR2_BE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR2_LE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR2_LE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_XML
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_XML (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_INVALID
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_INVALID (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_Serializer_ACE_Message_Block_Encoding
[       OK ] dds_DCPS_Serializer.Serializer_Serializer_ACE_Message_Block_Encoding (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_Serializer_ACE_Message_Block_Kind
[       OK ] dds_DCPS_Serializer.Serializer_Serializer_ACE_Message_Block_Kind (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_Serializer_ACE_Message_Block_Kind_bool
[       OK ] dds_DCPS_Serializer.Serializer_Serializer_ACE_Message_Block_Kind_bool (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_set_endianness
[       OK ] dds_DCPS_Serializer.Serializer_set_endianness (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_swap_bytes_endianness
[       OK ] dds_DCPS_Serializer.Serializer_swap_bytes_endianness (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_align_context_basic_reference
[       OK ] dds_DCPS_Serializer.Serializer_align_context_basic_reference (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_align_context_basic
[       OK ] dds_DCPS_Serializer.Serializer_align_context_basic (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_align_context_2_buff
[       OK ] dds_DCPS_Serializer.Serializer_align_context_2_buff (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_align_context_2_buff_diff_walign
[       OK ] dds_DCPS_Serializer.Serializer_align_context_2_buff_diff_walign (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_align_context_2_buff_diff_walign_read
[       OK ] dds_DCPS_Serializer.Serializer_align_context_2_buff_diff_walign_read (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_align_context_2_buff_diff_walign_read_with_min
[       OK ] dds_DCPS_Serializer.Serializer_align_context_2_buff_diff_walign_read_with_min (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_test_peek_align
[       OK ] dds_DCPS_Serializer.Serializer_test_peek_align (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_test_peek_depth
[       OK ] dds_DCPS_Serializer.Serializer_test_peek_depth (5 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_test_trim
[       OK ] dds_DCPS_Serializer.Serializer_test_trim (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_test_bad_string
[       OK ] dds_DCPS_Serializer.Serializer_test_bad_string (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_test_bad_wstring
[       OK ] dds_DCPS_Serializer.Serializer_test_bad_wstring (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_test_bad_string2
[       OK ] dds_DCPS_Serializer.Serializer_test_bad_string2 (0 ms)
[ RUN      ] dds_DCPS_Serializer.read_parameter_id_xcdr2
[       OK ] dds_DCPS_Serializer.read_parameter_id_xcdr2 (0 ms)
[----------] 60 tests from dds_DCPS_Serializer (6 ms total)

[----------] 7 tests from dds_DCPS_Time_Helper
[ RUN      ] dds_DCPS_Time_Helper.infinite_duration_to_time_value
[       OK ] dds_DCPS_Time_Helper.infinite_duration_to_time_value (0 ms)
[ RUN      ] dds_DCPS_Time_Helper.infinite_duration_to_absolute_time_value
[       OK ] dds_DCPS_Time_Helper.infinite_duration_to_absolute_time_value (0 ms)
[ RUN      ] dds_DCPS_Time_Helper.finite_duration_to_time_value
[       OK ] dds_DCPS_Time_Helper.finite_duration_to_time_value (0 ms)
[ RUN      ] dds_DCPS_Time_Helper.Duration_t_difference
[       OK ] dds_DCPS_Time_Helper.Duration_t_difference (0 ms)
[ RUN      ] dds_DCPS_Time_Helper.MonotonicTime_t_equal
[       OK ] dds_DCPS_Time_Helper.MonotonicTime_t_equal (0 ms)
[ RUN      ] dds_DCPS_Time_Helper.make_duration
[       OK ] dds_DCPS_Time_Helper.make_duration (0 ms)
[ RUN      ] dds_DCPS_Time_Helper.add_time_duration
[       OK ] dds_DCPS_Time_Helper.add_time_duration (0 ms)
[----------] 7 tests from dds_DCPS_Time_Helper (0 ms total)

[----------] Global test environment tear-down
[==========] 760 tests from 55 test cases ran. (3828 ms total)
[  PASSED  ] 760 tests.
test PASSED.

auto_run_tests_finished: tests/unit-tests/run_test.pl Time:4s Result:0

==============================================================================

tests/stress-tests/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/stress-tests/StressTests  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile StressTests.log -DCPSPendingTimeout 3 
StressTests PID: 22876 started at 2023-04-28 22:34:18
[==========] Running 7 tests from 5 test cases.
[----------] Global test environment set-up.
[----------] 2 tests from dds_DCPS_DispatchService
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchDelta
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchDelta (108 ms)
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchDelta_ImmediateShutdown
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchDelta_ImmediateShutdown (91 ms)
[----------] 2 tests from dds_DCPS_DispatchService (199 ms total)

[----------] 1 test from dds_DCPS_RcObject
[ RUN      ] dds_DCPS_RcObject.WRCH_lock_vs_RCH_reset
[       OK ] dds_DCPS_RcObject.WRCH_lock_vs_RCH_reset (3493 ms)
[----------] 1 test from dds_DCPS_RcObject (3493 ms total)

[----------] 2 tests from dds_DCPS_ServiceEventDispatcher
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchDelta
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchDelta (238 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchDelta_ImmediateShutdown
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchDelta_ImmediateShutdown (214 ms)
[----------] 2 tests from dds_DCPS_ServiceEventDispatcher (453 ms total)

[----------] 1 test from dds_DCPS_MultiTask
[ RUN      ] dds_DCPS_MultiTask.TimingChecker
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
total_count = 0
TestObj::execute() called at  22:34:25.512439
TestObj::execute() called at  22:34:27.512323
total_count = 2
TestObj::execute() called at  22:34:28.610745
TestObj::execute() called at  22:34:28.711008
TestObj::execute() called at  22:34:28.811332
TestObj::execute() called at  22:34:28.911755
TestObj::execute() called at  22:34:29.012083
TestObj::execute() called at  22:34:29.112438
TestObj::execute() called at  22:34:29.212771
TestObj::execute() called at  22:34:29.313144
TestObj::execute() called at  22:34:29.413492
TestObj::execute() called at  22:34:29.513855
TestObj::execute() called at  22:34:29.614135
TestObj::execute() called at  22:34:29.714430
TestObj::execute() called at  22:34:29.814763
TestObj::execute() called at  22:34:29.915048
TestObj::execute() called at  22:34:30.015311
TestObj::execute() called at  22:34:30.115585
TestObj::execute() called at  22:34:30.215840
TestObj::execute() called at  22:34:30.316000
TestObj::execute() called at  22:34:30.416263
TestObj::execute() called at  22:34:30.516557
enable_calls = 1785
total_count = 22
TestObj::execute() called at  22:34:32.518539
TestObj::execute() called at  22:34:34.518487
total_count = 24
[       OK ] dds_DCPS_MultiTask.TimingChecker (12648 ms)
[----------] 1 test from dds_DCPS_MultiTask (12648 ms total)

[----------] 1 test from dds_DCPS_SporadicTask
[ RUN      ] dds_DCPS_SporadicTask.TimingChecker
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
total_count = 0
TestObj::execute() called at  22:34:38.127096
total_count = 1
TestObj::execute() called at  22:34:41.225427
TestObj::execute() called at  22:34:41.325716
TestObj::execute() called at  22:34:41.426048
TestObj::execute() called at  22:34:41.526341
TestObj::execute() called at  22:34:41.626671
TestObj::execute() called at  22:34:41.726978
TestObj::execute() called at  22:34:41.827287
TestObj::execute() called at  22:34:41.927559
TestObj::execute() called at  22:34:42.027714
TestObj::execute() called at  22:34:42.128046
TestObj::execute() called at  22:34:42.228357
TestObj::execute() called at  22:34:42.328682
TestObj::execute() called at  22:34:42.428935
TestObj::execute() called at  22:34:42.529156
TestObj::execute() called at  22:34:42.629346
TestObj::execute() called at  22:34:42.729675
TestObj::execute() called at  22:34:42.829909
TestObj::execute() called at  22:34:42.930175
TestObj::execute() called at  22:34:43.030525
TestObj::execute() called at  22:34:43.130810
schedule_calls = 1784
total_count = 21
total_count = 21
[       OK ] dds_DCPS_SporadicTask.TimingChecker (9615 ms)
[----------] 1 test from dds_DCPS_SporadicTask (9615 ms total)

[----------] Global test environment tear-down
[==========] 7 tests from 5 test cases ran. (26408 ms total)
[  PASSED  ] 7 tests.
test PASSED.

auto_run_tests_finished: tests/stress-tests/run_test.pl Time:27s Result:0

==============================================================================

tests/DCPS/KeyTest/run_test.pl keymarshalling #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/KeyTest/KeyMarshalling  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile keymarshalling.log -DCPSPendingTimeout 3 
keymarshalling PID: 22926 started at 2023-04-28 22:34:45
Messenger1::Message
  bound = 0
0xd41d8cd98f00b204e9800998ecf8427e
Messenger2::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger3::Message
  bound = 8
0x0ee0646c1c77d8131cc8f4ee65c7673b
Messenger4::Message
  bound = <unbounded>
0x1bffea669d3e0e0a6142126d11a25f69
Messenger5::Message
  bound = <unbounded>
0xf1d3ff8443297732862df21dc4e57262
Messenger6::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger7::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger8::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger9::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger10::Message
  bound = <unbounded>
0x4716e03130f78bb16871f3b75b93bd26
Messenger11::Message
  bound = 16
0x190c4c105786a2121d85018939108a6c
Messenger12::Message
  bound = 20
0x4d5555e067dd97d08fef90959b1510cb
Messenger1::Message
  bound = 0
0xd41d8cd98f00b204e9800998ecf8427e
Messenger2::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger3::Message
  bound = 8
0x0ee0646c1c77d8131cc8f4ee65c7673b
Messenger4::Message
  bound = <unbounded>
0x1bffea669d3e0e0a6142126d11a25f69
Messenger5::Message
  bound = <unbounded>
0xf1d3ff8443297732862df21dc4e57262
Messenger6::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger7::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger8::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger9::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger10::Message
  bound = <unbounded>
0x4716e03130f78bb16871f3b75b93bd26
Messenger11::Message
  bound = 16
0x190c4c105786a2121d85018939108a6c
Messenger12::Message
  bound = 20
0x4d5555e067dd97d08fef90959b1510cb
Messenger1::Message
  bound = 0
0xd41d8cd98f00b204e9800998ecf8427e
Messenger2::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger3::Message
  bound = 8
0x0ee0646c1c77d8131cc8f4ee65c7673b
Messenger4::Message
  bound = <unbounded>
0x1bffea669d3e0e0a6142126d11a25f69
Messenger5::Message
  bound = <unbounded>
0xf1d3ff8443297732862df21dc4e57262
Messenger6::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger7::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger8::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger9::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger10::Message
  bound = <unbounded>
0x4716e03130f78bb16871f3b75b93bd26
Messenger11::Message
  bound = 16
0x190c4c105786a2121d85018939108a6c
Messenger12::Message
  bound = 20
0x4d5555e067dd97d08fef90959b1510cb
test PASSED.

auto_run_tests_finished: tests/DCPS/KeyTest/run_test.pl keymarshalling Time:0s Result:0

==============================================================================

tests/DCPS/KeyTest/run_test.pl isbounded #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/KeyTest/IsBounded  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile isbounded.log -DCPSPendingTimeout 3 
isbounded PID: 22928 started at 2023-04-28 22:34:45
test PASSED.

auto_run_tests_finished: tests/DCPS/KeyTest/run_test.pl isbounded Time:0s Result:0

==============================================================================

tests/DCPS/KeyTest/run_test.pl md5 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/KeyTest/KeyTest_MD5  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile md5.log -DCPSPendingTimeout 3 
md5 PID: 22930 started at 2023-04-28 22:34:45
0xe4d909c290d0fb1ca068ffaddf22cbd0
0xe4d909c290d0fb1ca068ffaddf22cbd0
0xd41d8cd98f00b204e9800998ecf8427e
0xd41d8cd98f00b204e9800998ecf8427e
0x9e107d9d372bb6826bd81d3542a419d6
0x9e107d9d372bb6826bd81d3542a419d6
test PASSED.

auto_run_tests_finished: tests/DCPS/KeyTest/run_test.pl md5 Time:0s Result:0

==============================================================================

tests/DCPS/KeyTest/run_test.pl compiler #

compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_array_noindex.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_bad_nesting.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_missing_right_bracket.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_multi_dim_array.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_nofield.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_nonarrayindex2.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_nonarrayindex.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_struct_no_nest.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_sequence.idl

auto_run_tests_finished: tests/DCPS/KeyTest/run_test.pl compiler Time:1s Result:0

==============================================================================

tests/DCPS/CompatibilityTest/run_test.pl #

Test #1


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 22960
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c true -d transient_local -k automatic -r reliable -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 22967 started at 2023-04-28 22:34:46
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 22968 started at 2023-04-28 22:34:46
(22960|22960) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #2


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 22983
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 7 -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 22990 started at 2023-04-28 22:34:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 22991 started at 2023-04-28 22:34:56
(22983|22983) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #3


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 23006
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l infinite -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 23013 started at 2023-04-28 22:35:07
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 23014 started at 2023-04-28 22:35:07
(23006|23006) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #4


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 23029
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l infinite -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 23036 started at 2023-04-28 22:35:17
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l infinite -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 23037 started at 2023-04-28 22:35:17
(23029|23029) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #5


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 23060
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 23067 started at 2023-04-28 22:35:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 23068 started at 2023-04-28 22:35:27
(23060|23060) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #6


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 23085
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 23092 started at 2023-04-28 22:35:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l infinite -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 23093 started at 2023-04-28 22:35:41
(23085|23085) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #7


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 23109
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 23116 started at 2023-04-28 22:35:51
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 23117 started at 2023-04-28 22:35:51
(23109|23109) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #8


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 23132
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 23139 started at 2023-04-28 22:36:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r best_effort -l 5 -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 23140 started at 2023-04-28 22:36:05
(23132|23132) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #9


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 23155
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 23162 started at 2023-04-28 22:36:18
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d volatile -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 23163 started at 2023-04-28 22:36:18
(23155|23155) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/CompatibilityTest/run_test.pl Time:106s Result:0

==============================================================================

tests/DCPS/CompatibilityTest/run_test.pl rtps_disc #

Test #1


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c true -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 23187 started at 2023-04-28 22:36:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 23188 started at 2023-04-28 22:36:32
test PASSED.


Test #2


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 7 -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 23199 started at 2023-04-28 22:36:42
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 23200 started at 2023-04-28 22:36:42
test PASSED.


Test #3


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l infinite -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 23212 started at 2023-04-28 22:36:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 23213 started at 2023-04-28 22:36:52
test PASSED.


Test #4


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l infinite -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 23225 started at 2023-04-28 22:37:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l infinite -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 23226 started at 2023-04-28 22:37:02
test PASSED.


Test #5


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 23238 started at 2023-04-28 22:37:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 23239 started at 2023-04-28 22:37:12
test PASSED.


Test #6


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 23250 started at 2023-04-28 22:37:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l infinite -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 23251 started at 2023-04-28 22:37:22
test PASSED.


Test #7


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 23270 started at 2023-04-28 22:37:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 23271 started at 2023-04-28 22:37:32
test PASSED.


Test #8


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 23284 started at 2023-04-28 22:37:43
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r best_effort -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 23285 started at 2023-04-28 22:37:43
test PASSED.


Test #9


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 23296 started at 2023-04-28 22:37:53
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d volatile -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 23297 started at 2023-04-28 22:37:53
test PASSED.

auto_run_tests_finished: tests/DCPS/CompatibilityTest/run_test.pl rtps_disc Time:91s Result:0

==============================================================================

tests/DCPS/CompatibilityTest/run_test.pl rtps_disc_tcp #

Test #1


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c true -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 23310 started at 2023-04-28 22:38:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 23311 started at 2023-04-28 22:38:03
test PASSED.


Test #2


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 7 -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 23323 started at 2023-04-28 22:38:13
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 23324 started at 2023-04-28 22:38:13
test PASSED.


Test #3


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l infinite -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 23344 started at 2023-04-28 22:38:23
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 23345 started at 2023-04-28 22:38:23
test PASSED.


Test #4


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l infinite -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 23356 started at 2023-04-28 22:38:33
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l infinite -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 23357 started at 2023-04-28 22:38:33
test PASSED.


Test #5


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 23368 started at 2023-04-28 22:38:43
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 23369 started at 2023-04-28 22:38:43
test PASSED.


Test #6


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 23380 started at 2023-04-28 22:38:54
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l infinite -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 23381 started at 2023-04-28 22:38:54
test PASSED.


Test #7


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 23392 started at 2023-04-28 22:39:04
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 23393 started at 2023-04-28 22:39:04
test PASSED.


Test #8


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 23404 started at 2023-04-28 22:39:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r best_effort -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 23405 started at 2023-04-28 22:39:14
test PASSED.


Test #9


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 23429 started at 2023-04-28 22:39:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d volatile -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 23430 started at 2023-04-28 22:39:24
test PASSED.

auto_run_tests_finished: tests/DCPS/CompatibilityTest/run_test.pl rtps_disc_tcp Time:91s Result:0

==============================================================================

tests/DCPS/Partition/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 23443
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Partition/publisher -ORBLogFile test_run.data -DCPSPendingTimeout 3 
pub PID: 23450 started at 2023-04-28 22:39:34
(23443|23443) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Partition/subscriber  -DCPSPendingTimeout 3 
sub PID: 23459 started at 2023-04-28 22:39:37
(23459|23459) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(23459|23461) DataReaderListener::on_subscription_matched
(23459|23461) Requested Partition
Partition	Name
=========	====
** Zero length partition name sequence (default) **
(23459|23459) DataReaderListener::on_subscription_matched
(23459|23459) Requested Partition
Partition	Name
=========	====
** Zero length partition name sequence (default) **
(23459|23461) DataReaderListener::on_subscription_matched
(23459|23461) Requested Partition
Partition	Name
=========	====
 0		Amadeus
 1		Wun1Two23Tree
(23459|23459) DataReaderListener::on_subscription_matched
(23459|23459) Requested Partition
Partition	Name
=========	====
 0		Amadeus
 1		Wun1Two23Tree
(23459|23461) DataReaderListener::on_subscription_matched
(23459|23461) Requested Partition
Partition	Name
=========	====
 0		ZiggieStardust
(23459|23461) DataReaderListener::on_subscription_matched
(23459|23461) Requested Partition
Partition	Name
=========	====
 0		""
(23459|23459) DataReaderListener::on_subscription_matched
(23459|23459) Requested Partition
Partition	Name
=========	====
 0		""
(23459|23461) DataReaderListener::on_subscription_matched
(23459|23461) Requested Partition
Partition	Name
=========	====
 0		*
(23459|23459) DataReaderListener::on_subscription_matched
(23459|23459) Requested Partition
Partition	Name
=========	====
 0		*
(23459|23461) DataReaderListener::on_subscription_matched
(23459|23461) Requested Partition
Partition	Name
=========	====
 0		*
(23459|23459) DataReaderListener::on_subscription_matched
(23459|23459) Requested Partition
Partition	Name
=========	====
 0		*
(23459|23460) DataReaderListener::on_subscription_matched
(23459|23460) Requested Partition
Partition	Name
=========	====
** Zero length partition name sequence (default) **
(23459|23460) DataReaderListener::on_subscription_matched
(23459|23460) Requested Partition
Partition	Name
=========	====
 0		Amadeus
 1		Wun1Two23Tree
(23459|23460) DataReaderListener::on_subscription_matched
(23459|23460) Requested Partition
Partition	Name
=========	====
 0		ZiggieStardust
(23459|23460) DataReaderListener::on_subscription_matched
(23459|23460) Requested Partition
Partition	Name
=========	====
 0		""
(23459|23460) DataReaderListener::on_subscription_matched
(23459|23460) Requested Partition
Partition	Name
=========	====
 0		*
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Partition/run_test.pl Time:21s Result:0

==============================================================================

tests/DCPS/Deadline/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 23467
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Deadline/publisher -DCPSConfigFile pub.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 23474 started at 2023-04-28 22:39:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Deadline/subscriber -DCPSConfigFile sub.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 23475 started at 2023-04-28 22:39:55
(23467|23467) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Deadline/run_test.pl Time:28s Result:0

==============================================================================

tests/DCPS/Deadline/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Deadline/publisher -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 23506 started at 2023-04-28 22:40:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Deadline/subscriber -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 23507 started at 2023-04-28 22:40:24
test PASSED.

auto_run_tests_finished: tests/DCPS/Deadline/run_test.pl rtps_disc Time:28s Result:0

==============================================================================

tests/DCPS/SetQosDeadline/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 23525
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosDeadline/publisher -DCPSConfigFile pub.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 23532 started at 2023-04-28 22:40:51
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosDeadline/subscriber -DCPSConfigFile sub.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 23533 started at 2023-04-28 22:40:51
(23525|23525) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
DataReaderListenerImpl[0x131dde0]::on_subscription_matched tc=1 tcc=1 cc=1 ccc=1
DataReaderListenerImpl::on_requested_incompatible_qos
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
instance is unregistered
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl[0x131dde0]::on_subscription_matched tc=1 tcc=0 cc=0 ccc=-1
DataReaderListenerImpl::on_subscription_lost
DataReaderListenerImpl[0x131dde0]::on_subscription_matched tc=2 tcc=1 cc=1 ccc=1
DataReaderListenerImpl[0x135f320]::on_subscription_matched tc=1 tcc=1 cc=1 ccc=1
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 1
  total_count_change = 1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 2
  total_count_change = 1
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 3
  total_count_change = 1
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 1
  total_count_change = 1
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 4
  total_count_change = 1
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl[0x131dde0]::on_subscription_matched tc=2 tcc=0 cc=0 ccc=-1
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl[0x135f320]::on_subscription_matched tc=1 tcc=0 cc=0 ccc=-1
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/SetQosDeadline/run_test.pl Time:21s Result:0

==============================================================================

tests/DCPS/SetQosDeadline/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosDeadline/publisher -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 23551 started at 2023-04-28 22:41:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosDeadline/subscriber -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 23552 started at 2023-04-28 22:41:12
DataReaderListenerImpl::on_requested_incompatible_qos
DataReaderListenerImpl[0x2477010]::on_subscription_matched tc=1 tcc=1 cc=1 ccc=1
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
instance is unregistered
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl[0x2477010]::on_subscription_matched tc=1 tcc=0 cc=0 ccc=-1
DataReaderListenerImpl[0x2477010]::on_subscription_matched tc=2 tcc=1 cc=1 ccc=1
DataReaderListenerImpl[0x248df90]::on_subscription_matched tc=1 tcc=1 cc=1 ccc=1
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 1
  total_count_change = 1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 2
  total_count_change = 1
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 3
  total_count_change = 1
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 1
  total_count_change = 1
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 4
  total_count_change = 1
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl[0x2477010]::on_subscription_matched tc=2 tcc=0 cc=0 ccc=-1
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl[0x248df90]::on_subscription_matched tc=1 tcc=0 cc=0 ccc=-1
test PASSED.

auto_run_tests_finished: tests/DCPS/SetQosDeadline/run_test.pl rtps_disc Time:23s Result:0

==============================================================================

tests/DCPS/SetQosPartition/run_test.pl ini=inforepo_tcp.ini #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 23574
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosPartition/pubsub -DCPSPendingTimeout 0 -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pubsub.log -DCPSConfigFile inforepo_tcp.ini 
pubsub PID: 23581 started at 2023-04-28 22:41:35
(23574|23574) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Pub waiting for match on partition A
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
instance is unregistered
Pub waiting for match on partition B
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 10
         text       = Worst. Movie. Ever.
Pub waiting for additional match on partition B
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
instance is disposed
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
instance is disposed
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/SetQosPartition/run_test.pl ini=inforepo_tcp.ini Time:0s Result:0

==============================================================================

tests/DCPS/SetQosPartition/run_test.pl ini=rtps_rtps.ini #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosPartition/pubsub -DCPSPendingTimeout 0 -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pubsub.log -DCPSConfigFile rtps_rtps.ini 
pubsub PID: 23596 started at 2023-04-28 22:41:35
Pub waiting for match on partition A
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
instance is unregistered
Pub waiting for match on partition B
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 10
         text       = Worst. Movie. Ever.
Pub waiting for additional match on partition B
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
instance is disposed
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
instance is disposed
test PASSED.

auto_run_tests_finished: tests/DCPS/SetQosPartition/run_test.pl ini=rtps_rtps.ini Time:5s Result:0

==============================================================================

tests/DCPS/SetQosPartition/run_test.pl ini=rtps_tcp.ini #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosPartition/pubsub -DCPSPendingTimeout 0 -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pubsub.log -DCPSConfigFile rtps_tcp.ini 
pubsub PID: 23610 started at 2023-04-28 22:41:40
Pub waiting for match on partition A
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
instance is unregistered
Pub waiting for match on partition B
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 10
         text       = Worst. Movie. Ever.
Pub waiting for additional match on partition B
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
instance is disposed
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
instance is disposed
test PASSED.

auto_run_tests_finished: tests/DCPS/SetQosPartition/run_test.pl ini=rtps_tcp.ini Time:2s Result:0

==============================================================================

tests/DCPS/StringKey/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 23624
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StringKey/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub.log -DCPSPendingTimeout 3 
pub PID: 23631 started at 2023-04-28 22:41:42
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StringKey/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub.log -DCPSPendingTimeout 3 
sub PID: 23632 started at 2023-04-28 22:41:42
(23624|23624) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
HANDLE CHANGED: previous handle = 0
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/StringKey/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/GuardCondition/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/GuardCondition/GuardConditionTest  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile GuardConditionTest.log -DCPSPendingTimeout 3 
GuardConditionTest PID: 23649 started at 2023-04-28 22:41:44
test PASSED.

auto_run_tests_finished: tests/DCPS/GuardCondition/run_test.pl Time:10s Result:0

==============================================================================

tests/DCPS/ReadCondition/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -NOBITS -DCPSPendingTimeout 3 
InfoRepo PID: 23656
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReadCondition/ReadConditionTest -DCPSConfigFile dcps.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile rc.log -DCPSBit 0  -DCPSPendingTimeout 3 
rc PID: 23663 started at 2023-04-28 22:41:53
wait returned
took sample 1
took sample 2
took sample 3
testing take_next_instance_w_condition
took sample 4
took sample 5
took sample 6
took sample 7
took sample 8
took sample 9
took sample 10
took sample 11
wait returned
an instance has been disposed, exiting
wait returned
took sample 1
took sample 2
took sample 3
testing take_instance_w_condition
wait returned
took sample 4
took sample 5
took sample 6
testing take_instance_w_condition
wait returned
took sample 7
took sample 8
took sample 9
testing take_instance_w_condition
wait returned
took sample 10
took sample 11
testing take_instance_w_condition
an instance has been disposed, exiting
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ReadCondition/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/RegisterInstance/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RegisterInstance/register_instance_test -DCPSConfigFile rtps_disc.ini -ORBLogFile output.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
register_instance_test PID: 23669 started at 2023-04-28 22:41:53
test PASSED.

auto_run_tests_finished: tests/DCPS/RegisterInstance/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/FileSystemStorage/run_test.pl #

encoded {} =>	{}
encoded {f} =>	{CO======}
encoded {fo} =>	{CPNG====}
encoded {foo} =>	{CPNMU===}
encoded {foob} =>	{CPNMUOG=}
encoded {fooba} =>	{CPNMUOJ1}
encoded {foobar} =>	{CPNMUOJ1E8======}
encoded {The[quIck]brOwn-fox?jumPes\oVer The/lazy dog!} =>	{AHK6AMRHEL4M6QQTC9P4UTRE5LJ6UU1VD9QMQK35EDE6ULJ5E8G58Q355TM62UJP41I6UPP1}
Testing file and directory operations...
...done

auto_run_tests_finished: tests/DCPS/FileSystemStorage/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/LatencyBudget/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 23679
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LatencyBudget/publisher  -ORBLogFile test_run.data -DCPSPendingTimeout 3 
pub PID: 23686 started at 2023-04-28 22:41:54
(23679|23679) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LatencyBudget/subscriber  -DCPSPendingTimeout 3 
sub PID: 23696 started at 2023-04-28 22:41:56
(23696|23696) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/LatencyBudget/run_test.pl Time:30s Result:0

==============================================================================

tests/DCPS/LatencyBudget/run_test.pl late #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 23715
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LatencyBudget/publisher -o 10 -ORBLogFile test_run.data -DCPSPendingTimeout 3 
pub PID: 23722 started at 2023-04-28 22:42:24
(23715|23715) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LatencyBudget/subscriber -l 10 -DCPSPendingTimeout 3 
sub PID: 23732 started at 2023-04-28 22:42:26
(23732|23732) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 1
       max = 10.001
       min = 10.001
      mean = 10.001
  variance = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 2
       max = 10.0014
       min = 10.001
      mean = 10.0012
  variance = 4.8841e-08
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 3
       max = 10.0016
       min = 10.001
      mean = 10.0013
  variance = 6.99162e-08
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 4
       max = 10.0018
       min = 10.001
      mean = 10.0014
  variance = 9.37385e-08
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 5
       max = 10.002
       min = 10.001
      mean = 10.0015
  variance = 1.23391e-07
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 6
       max = 10.0022
       min = 10.001
      mean = 10.0017
  variance = 1.59006e-07
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 7
       max = 10.0023
       min = 10.001
      mean = 10.0017
  variance = 1.93412e-07
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 8
       max = 10.0025
       min = 10.001
      mean = 10.0018
  variance = 2.32815e-07
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 9
       max = 10.0027
       min = 10.001
      mean = 10.0019
  variance = 2.81209e-07
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 10
       max = 10.0029
       min = 10.001
      mean = 10.002
  variance = 3.35132e-07
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/LatencyBudget/run_test.pl late Time:31s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl single #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 23743
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1 -n 1 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 23750 started at 2023-04-28 22:42:55
(23750|23750) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(23750|23750) -> Thrasher started
(23750|23750) -> Subscriber::Subscriber
(23743|23743) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(23750|23750) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(23750|23750)    Subscriber reader id: 01030000.1f2c9a41.00000002.00000507(2717e2c2)
(23750|23750) -> PublisherService::PublisherService
(23750|23750) -> PublisherService::start (1 threads)
(23750|23750) sub wait_received 0:1
(23750|23759) pub0  writer id: 01030000.1f2c9a41.00000003.00000102(0e71faf9)
(23750|23759) pub0->started
(23750|23759) pub0->wait_match() before write for 01030000.1f2c9a41.00000003.00000102(0e71faf9)
(23750|23759) pub0<-match found! before write for 01030000.1f2c9a41.00000003.00000102(0e71faf9)
(23750|23758)  sub 100% (1 samples received)
(23750|23758) sub condition_.notify_all
(23750|23750) sub condition_.wait returned
(23750|23750) sub check_received
(23750|23750) sub check_received returns 0
(23750|23750) <- PublisherService::end
(23750|23759) pub0  100% (1 samples sent)
(23750|23759) pub0  waiting for acks
(23750|23759) pub0  waiting for acks returned
(23750|23759) pub0<-delete_contained_entities
(23750|23759) pub0<-delete_participant
(23750|23750) <- PublisherService::~PublisherService
(23750|23750) <- Subscriber delete_contained_entities
(23750|23750) <- Subscriber delete_participant
(23750|23750) <- Subscriber::~Subscriber
(23750|23750) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl single Time:0s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl double #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 23761
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 2 -s 1 -n 2 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 23768 started at 2023-04-28 22:42:55
(23768|23768) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(23768|23768) -> Thrasher started
(23768|23768) -> Subscriber::Subscriber
(23761|23761) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(23768|23768) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(23768|23768)    Subscriber reader id: 01030000.564e1524.00000002.00000507(839fd63d)
(23768|23768) -> PublisherService::PublisherService
(23768|23768) -> PublisherService::start (2 threads)
(23768|23768) sub wait_received 0:2
(23768|23778) pub1  writer id: 01030000.564e1524.00000004.00000102(18d91216)
(23768|23778) pub1->started
(23768|23778) pub1->wait_match() before write for 01030000.564e1524.00000004.00000102(18d91216)
(23768|23778) pub1<-match found! before write for 01030000.564e1524.00000004.00000102(18d91216)
(23768|23776)  sub 50% (1 samples received)
(23768|23778) pub1  100% (1 samples sent)
(23768|23778) pub1  waiting for acks
(23768|23778) pub1  waiting for acks returned
(23768|23778) pub1<-delete_contained_entities
(23768|23777) pub0  writer id: 01030000.564e1524.00000003.00000102(aaf9ce06)
(23768|23777) pub0->started
(23768|23777) pub0->wait_match() before write for 01030000.564e1524.00000003.00000102(aaf9ce06)
(23768|23777) pub0<-match found! before write for 01030000.564e1524.00000003.00000102(aaf9ce06)
(23768|23776)  sub 100% (2 samples received)
(23768|23776) sub condition_.notify_all
(23768|23768) sub condition_.wait returned
(23768|23768) sub check_received
(23768|23768) sub check_received returns 0
(23768|23768) <- PublisherService::end
(23768|23777) pub0  100% (1 samples sent)
(23768|23777) pub0  waiting for acks
(23768|23777) pub0  waiting for acks returned
(23768|23777) pub0<-delete_contained_entities
(23768|23778) pub1<-delete_participant
(23768|23777) pub0<-delete_participant
(23768|23768) <- PublisherService::~PublisherService
(23768|23768) <- Subscriber delete_contained_entities
(23768|23768) <- Subscriber delete_participant
(23768|23768) <- Subscriber::~Subscriber
(23768|23768) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl double Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl triangle #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 23780
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 3 -s 3 -n 9 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 23787 started at 2023-04-28 22:42:56
(23787|23787) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(23787|23787) -> Thrasher started
(23787|23787) -> Subscriber::Subscriber
(23780|23780) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(23787|23787) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(23787|23787)    Subscriber reader id: 01030000.422f0dba.00000002.00000507(2bf511f6)
(23787|23787) -> PublisherService::PublisherService
(23787|23787) -> PublisherService::start (3 threads)
(23787|23787) sub wait_received 0:3
(23787|23796) pub0  writer id: 01030000.422f0dba.00000003.00000102(029309cd)
(23787|23796) pub0->started
(23787|23796) pub0->wait_match() before write for 01030000.422f0dba.00000003.00000102(029309cd)
(23787|23796) pub0<-match found! before write for 01030000.422f0dba.00000003.00000102(029309cd)
(23787|23796) pub0  33% (1 samples sent)
(23787|23796) pub0  66% (2 samples sent)
(23787|23796) pub0  100% (3 samples sent)
(23787|23796) pub0  waiting for acks
(23787|23795)  sub 11% (1 samples received)
(23787|23795)  sub 22% (2 samples received)
(23787|23795)  sub 33% (3 samples received)
(23787|23796) pub0  waiting for acks returned
(23787|23796) pub0<-delete_contained_entities
(23787|23797) pub1  writer id: 01030000.422f0dba.00000004.00000102(b0b3d5dd)
(23787|23797) pub1->started
(23787|23797) pub1->wait_match() before write for 01030000.422f0dba.00000004.00000102(b0b3d5dd)
(23787|23797) pub1<-match found! before write for 01030000.422f0dba.00000004.00000102(b0b3d5dd)
(23787|23797) pub1  33% (1 samples sent)
(23787|23797) pub1  66% (2 samples sent)
(23787|23797) pub1  100% (3 samples sent)
(23787|23797) pub1  waiting for acks
(23787|23795)  sub 44% (4 samples received)
(23787|23795)  sub 55% (5 samples received)
(23787|23795)  sub 66% (6 samples received)
(23787|23797) pub1  waiting for acks returned
(23787|23797) pub1<-delete_contained_entities
(23787|23798) pub2  writer id: 01030000.422f0dba.00000005.00000102(8dd3fc6d)
(23787|23798) pub2->started
(23787|23798) pub2->wait_match() before write for 01030000.422f0dba.00000005.00000102(8dd3fc6d)
(23787|23798) pub2<-match found! before write for 01030000.422f0dba.00000005.00000102(8dd3fc6d)
(23787|23798) pub2  33% (1 samples sent)
(23787|23798) pub2  66% (2 samples sent)
(23787|23798) pub2  100% (3 samples sent)
(23787|23798) pub2  waiting for acks
(23787|23795)  sub 77% (7 samples received)
(23787|23795) sub condition_.notify_all
(23787|23787) sub condition_.wait returned
(23787|23787) sub check_received
(23787|23787) sub check_received returns 0
(23787|23787) <- PublisherService::end
(23787|23795)  sub 88% (8 samples received)
(23787|23795) sub condition_.notify_all
(23787|23795)  sub 100% (9 samples received)
(23787|23795) sub condition_.notify_all
(23787|23798) pub2  waiting for acks returned
(23787|23798) pub2<-delete_contained_entities
(23787|23796) pub0<-delete_participant
(23787|23797) pub1<-delete_participant
(23787|23798) pub2<-delete_participant
(23787|23787) <- PublisherService::~PublisherService
(23787|23787) <- Subscriber delete_contained_entities
(23787|23787) <- Subscriber delete_participant
(23787|23787) <- Subscriber::~Subscriber
(23787|23787) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl triangle Time:0s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl default #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 23800
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1024 -n 1024 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 23807 started at 2023-04-28 22:42:56
(23807|23807) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(23807|23807) -> Thrasher started
(23807|23807) -> Subscriber::Subscriber
(23800|23800) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(23807|23807) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(23807|23807)    Subscriber reader id: 01030000.2e112c17.00000002.00000507(c9c55d30)
(23807|23807) -> PublisherService::PublisherService
(23807|23807) -> PublisherService::start (1 threads)
(23807|23807) sub wait_received 0:1
(23807|23816) pub0  writer id: 01030000.2e112c17.00000003.00000102(e0a3450b)
(23807|23816) pub0->started
(23807|23816) pub0->wait_match() before write for 01030000.2e112c17.00000003.00000102(e0a3450b)
(23807|23816) pub0<-match found! before write for 01030000.2e112c17.00000003.00000102(e0a3450b)
(23807|23815) sub condition_.notify_all
(23807|23807) sub condition_.wait returned
(23807|23807) sub check_received
(23807|23807) sub check_received returns 0
(23807|23807) <- PublisherService::end
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23816) pub0  10% (103 samples sent)
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815)  sub 10% (103 samples received)
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23816) pub0  20% (205 samples sent)
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23816) pub0  30% (308 samples sent)
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23816) pub0  40% (410 samples sent)
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815)  sub 20% (205 samples received)
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23816) pub0  50% (512 samples sent)
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23816) pub0  60% (615 samples sent)
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815)  sub 30% (308 samples received)
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23816) pub0  70% (717 samples sent)
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23816) pub0  80% (820 samples sent)
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815)  sub 40% (410 samples received)
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23816) pub0  90% (922 samples sent)
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23816) pub0  100% (1024 samples sent)
(23807|23816) pub0  waiting for acks
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815)  sub 50% (512 samples received)
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815)  sub 60% (615 samples received)
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815)  sub 70% (717 samples received)
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815)  sub 80% (820 samples received)
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815)  sub 90% (922 samples received)
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815) sub condition_.notify_all
(23807|23815)  sub 100% (1024 samples received)
(23807|23815) sub condition_.notify_all
(23807|23816) pub0  waiting for acks returned
(23807|23816) pub0<-delete_contained_entities
(23807|23816) pub0<-delete_participant
(23807|23807) <- PublisherService::~PublisherService
(23807|23807) <- Subscriber delete_contained_entities
(23807|23807) <- Subscriber delete_participant
(23807|23807) <- Subscriber::~Subscriber
(23807|23807) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl default Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl low #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 23818
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 8 -s 128 -n 1024 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 23825 started at 2023-04-28 22:42:57
(23825|23825) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(23825|23825) -> Thrasher started
(23825|23825) -> Subscriber::Subscriber
(23818|23818) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(23825|23825) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(23825|23825)    Subscriber reader id: 01030000.6924874b.00000002.00000507(11dda755)
(23825|23825) -> PublisherService::PublisherService
(23825|23825) -> PublisherService::start (8 threads)
(23825|23825) sub wait_received 0:8
(23825|23835) pub0  writer id: 01030000.6924874b.00000003.00000102(38bbbf6e)
(23825|23835) pub0->started
(23825|23835) pub0->wait_match() before write for 01030000.6924874b.00000003.00000102(38bbbf6e)
(23825|23835) pub0<-match found! before write for 01030000.6924874b.00000003.00000102(38bbbf6e)
(23825|23835) pub0  10% (13 samples sent)
(23825|23835) pub0  20% (26 samples sent)
(23825|23835) pub0  30% (39 samples sent)
(23825|23835) pub0  40% (52 samples sent)
(23825|23835) pub0  50% (64 samples sent)
(23825|23835) pub0  60% (77 samples sent)
(23825|23835) pub0  70% (90 samples sent)
(23825|23837) pub5  writer id: 01030000.6924874b.00000008.00000102(4f6b8e7f)
(23825|23837) pub5->started
(23825|23837) pub5->wait_match() before write for 01030000.6924874b.00000008.00000102(4f6b8e7f)
(23825|23838) pub1  writer id: 01030000.6924874b.00000005.00000102(b7fb4ace)
(23825|23838) pub1->started
(23825|23838) pub1->wait_match() before write for 01030000.6924874b.00000005.00000102(b7fb4ace)
(23825|23835) pub0  80% (103 samples sent)
(23825|23839) pub6  writer id: 01030000.6924874b.00000009.00000102(720ba7cf)
(23825|23839) pub6->started
(23825|23839) pub6->wait_match() before write for 01030000.6924874b.00000009.00000102(720ba7cf)
(23825|23835) pub0  90% (116 samples sent)
(23825|23835) pub0  100% (128 samples sent)
(23825|23835) pub0  waiting for acks
(23825|23840) pub3  writer id: 01030000.6924874b.00000007.00000102(cd3b19ae)
(23825|23840) pub3->started
(23825|23840) pub3->wait_match() before write for 01030000.6924874b.00000007.00000102(cd3b19ae)
(23825|23837) pub5<-match found! before write for 01030000.6924874b.00000008.00000102(4f6b8e7f)
(23825|23837) pub5  10% (13 samples sent)
(23825|23837) pub5  20% (26 samples sent)
(23825|23837) pub5  30% (39 samples sent)
(23825|23837) pub5  40% (52 samples sent)
(23825|23837) pub5  50% (64 samples sent)
(23825|23837) pub5  60% (77 samples sent)
(23825|23838) pub1<-match found! before write for 01030000.6924874b.00000005.00000102(b7fb4ace)
(23825|23839) pub6<-match found! before write for 01030000.6924874b.00000009.00000102(720ba7cf)
(23825|23840) pub3<-match found! before write for 01030000.6924874b.00000007.00000102(cd3b19ae)
(23825|23839) pub6  10% (13 samples sent)
(23825|23840) pub3  10% (13 samples sent)
(23825|23839) pub6  20% (26 samples sent)
(23825|23839) pub6  30% (39 samples sent)
(23825|23841) pub7  writer id: 01030000.6924874b.0000000a.00000102(35abdd1f)
(23825|23841) pub7->started
(23825|23841) pub7->wait_match() before write for 01030000.6924874b.0000000a.00000102(35abdd1f)
(23825|23838) pub1  10% (13 samples sent)
(23825|23838) pub1  20% (26 samples sent)
(23825|23839) pub6  40% (52 samples sent)
(23825|23838) pub1  30% (39 samples sent)
(23825|23840) pub3  20% (26 samples sent)
(23825|23840) pub3  30% (39 samples sent)
(23825|23838) pub1  40% (52 samples sent)
(23825|23840) pub3  40% (52 samples sent)
(23825|23839) pub6  50% (64 samples sent)
(23825|23838) pub1  50% (64 samples sent)
(23825|23839) pub6  60% (77 samples sent)
(23825|23840) pub3  50% (64 samples sent)
(23825|23840) pub3  60% (77 samples sent)
(23825|23836) pub2  writer id: 01030000.6924874b.00000004.00000102(8a9b637e)
(23825|23836) pub2->started
(23825|23836) pub2->wait_match() before write for 01030000.6924874b.00000004.00000102(8a9b637e)
(23825|23838) pub1  60% (77 samples sent)
(23825|23837) pub5  70% (90 samples sent)
(23825|23839) pub6  70% (90 samples sent)
(23825|23838) pub1  70% (90 samples sent)
(23825|23834) pub4  writer id: 01030000.6924874b.00000006.00000102(f05b301e)
(23825|23834) pub4->started
(23825|23834) pub4->wait_match() before write for 01030000.6924874b.00000006.00000102(f05b301e)
(23825|23840) pub3  70% (90 samples sent)
(23825|23838) pub1  80% (103 samples sent)
(23825|23838) pub1  90% (116 samples sent)
(23825|23838) pub1  100% (128 samples sent)
(23825|23838) pub1  waiting for acks
(23825|23837) pub5  80% (103 samples sent)
(23825|23840) pub3  80% (103 samples sent)
(23825|23837) pub5  90% (116 samples sent)
(23825|23839) pub6  80% (103 samples sent)
(23825|23840) pub3  90% (116 samples sent)
(23825|23839) pub6  90% (116 samples sent)
(23825|23840) pub3  100% (128 samples sent)
(23825|23840) pub3  waiting for acks
(23825|23839) pub6  100% (128 samples sent)
(23825|23839) pub6  waiting for acks
(23825|23833)  sub 10% (103 samples received)
(23825|23837) pub5  100% (128 samples sent)
(23825|23837) pub5  waiting for acks
(23825|23833)  sub 20% (205 samples received)
(23825|23841) pub7<-match found! before write for 01030000.6924874b.0000000a.00000102(35abdd1f)
(23825|23836) pub2<-match found! before write for 01030000.6924874b.00000004.00000102(8a9b637e)
(23825|23841) pub7  10% (13 samples sent)
(23825|23836) pub2  10% (13 samples sent)
(23825|23834) pub4<-match found! before write for 01030000.6924874b.00000006.00000102(f05b301e)
(23825|23834) pub4  10% (13 samples sent)
(23825|23841) pub7  20% (26 samples sent)
(23825|23835) pub0  waiting for acks returned
(23825|23835) pub0<-delete_contained_entities
(23825|23834) pub4  20% (26 samples sent)
(23825|23834) pub4  30% (39 samples sent)
(23825|23834) pub4  40% (52 samples sent)
(23825|23834) pub4  50% (64 samples sent)
(23825|23834) pub4  60% (77 samples sent)
(23825|23834) pub4  70% (90 samples sent)
(23825|23834) pub4  80% (103 samples sent)
(23825|23833)  sub 30% (308 samples received)
(23825|23836) pub2  20% (26 samples sent)
(23825|23836) pub2  30% (39 samples sent)
(23825|23834) pub4  90% (116 samples sent)
(23825|23834) pub4  100% (128 samples sent)
(23825|23834) pub4  waiting for acks
(23825|23841) pub7  30% (39 samples sent)
(23825|23841) pub7  40% (52 samples sent)
(23825|23841) pub7  50% (64 samples sent)
(23825|23836) pub2  40% (52 samples sent)
(23825|23836) pub2  50% (64 samples sent)
(23825|23833)  sub 40% (410 samples received)
(23825|23835) pub0<-delete_participant
(23825|23836) pub2  60% (77 samples sent)
(23825|23841) pub7  60% (77 samples sent)
(23825|23841) pub7  70% (90 samples sent)
(23825|23841) pub7  80% (103 samples sent)
(23825|23841) pub7  90% (116 samples sent)
(23825|23836) pub2  70% (90 samples sent)
(23825|23836) pub2  80% (103 samples sent)
(23825|23836) pub2  90% (116 samples sent)
(23825|23836) pub2  100% (128 samples sent)
(23825|23836) pub2  waiting for acks
(23825|23841) pub7  100% (128 samples sent)
(23825|23841) pub7  waiting for acks
(23825|23833)  sub 50% (512 samples received)
(23825|23833)  sub 60% (615 samples received)
(23825|23838) pub1  waiting for acks returned
(23825|23838) pub1<-delete_contained_entities
(23825|23840) pub3  waiting for acks returned
(23825|23840) pub3<-delete_contained_entities
(23825|23839) pub6  waiting for acks returned
(23825|23839) pub6<-delete_contained_entities
(23825|23837) pub5  waiting for acks returned
(23825|23837) pub5<-delete_contained_entities
(23825|23833) sub condition_.notify_all
(23825|23825) sub condition_.wait returned
(23825|23825) sub check_received
(23825|23825) sub check_received returns 0
(23825|23825) <- PublisherService::end
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23838) pub1<-delete_participant
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833)  sub 70% (717 samples received)
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23840) pub3<-delete_participant
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23839) pub6<-delete_participant
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23837) pub5<-delete_participant
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833)  sub 80% (820 samples received)
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833)  sub 90% (922 samples received)
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833) sub condition_.notify_all
(23825|23833)  sub 100% (1024 samples received)
(23825|23833) sub condition_.notify_all
(23825|23834) pub4  waiting for acks returned
(23825|23834) pub4<-delete_contained_entities
(23825|23841) pub7  waiting for acks returned
(23825|23841) pub7<-delete_contained_entities
(23825|23836) pub2  waiting for acks returned
(23825|23836) pub2<-delete_contained_entities
(23825|23834) pub4<-delete_participant
(23825|23841) pub7<-delete_participant
(23825|23836) pub2<-delete_participant
(23825|23825) <- PublisherService::~PublisherService
(23825|23825) <- Subscriber delete_contained_entities
(23825|23825) <- Subscriber delete_participant
(23825|23825) <- Subscriber::~Subscriber
(23825|23825) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl low Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl medium #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 23843
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 16 -s 64 -n 1024 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 23850 started at 2023-04-28 22:42:58
(23850|23850) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(23850|23850) -> Thrasher started
(23850|23850) -> Subscriber::Subscriber
(23843|23843) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(23850|23850) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(23850|23850)    Subscriber reader id: 01030000.613ad469.00000002.00000507(1575a908)
(23850|23850) -> PublisherService::PublisherService
(23850|23850) -> PublisherService::start (16 threads)
(23850|23850) sub wait_received 0:16
(23850|23859) pub0  writer id: 01030000.613ad469.00000003.00000102(3c13b133)
(23850|23859) pub0->started
(23850|23859) pub0->wait_match() before write for 01030000.613ad469.00000003.00000102(3c13b133)
(23850|23859) pub0<-match found! before write for 01030000.613ad469.00000003.00000102(3c13b133)
(23850|23859) pub0  10% (7 samples sent)
(23850|23859) pub0  20% (13 samples sent)
(23850|23859) pub0  31% (20 samples sent)
(23850|23859) pub0  40% (26 samples sent)
(23850|23859) pub0  50% (32 samples sent)
(23850|23859) pub0  60% (39 samples sent)
(23850|23859) pub0  70% (45 samples sent)
(23850|23859) pub0  81% (52 samples sent)
(23850|23867) pub8  writer id: 01030000.613ad469.0000000a.00000102(3103d342)
(23850|23867) pub8->started
(23850|23867) pub8->wait_match() before write for 01030000.613ad469.0000000a.00000102(3103d342)
(23850|23867) pub8<-match found! before write for 01030000.613ad469.0000000a.00000102(3103d342)
(23850|23867) pub8  10% (7 samples sent)
(23850|23867) pub8  20% (13 samples sent)
(23850|23867) pub8  31% (20 samples sent)
(23850|23867) pub8  40% (26 samples sent)
(23850|23867) pub8  50% (32 samples sent)
(23850|23859) pub0  90% (58 samples sent)
(23850|23859) pub0  100% (64 samples sent)
(23850|23859) pub0  waiting for acks
(23850|23867) pub8  60% (39 samples sent)
(23850|23867) pub8  70% (45 samples sent)
(23850|23867) pub8  81% (52 samples sent)
(23850|23867) pub8  90% (58 samples sent)
(23850|23874) pub14  writer id: 01030000.613ad469.00000007.00000102(c99317f3)
(23850|23874) pub14->started
(23850|23874) pub14->wait_match() before write for 01030000.613ad469.00000007.00000102(c99317f3)
(23850|23865) pub2  writer id: 01030000.613ad469.00000004.00000102(8e336d23)
(23850|23865) pub2->started
(23850|23865) pub2->wait_match() before write for 01030000.613ad469.00000004.00000102(8e336d23)
(23850|23867) pub8  100% (64 samples sent)
(23850|23867) pub8  waiting for acks
(23850|23858)  sub 10% (103 samples received)
(23850|23863) pub10  writer id: 01030000.613ad469.00000008.00000102(4bc38022)
(23850|23863) pub10->started
(23850|23863) pub10->wait_match() before write for 01030000.613ad469.00000008.00000102(4bc38022)
(23850|23860) pub1  writer id: 01030000.613ad469.00000005.00000102(b3534493)
(23850|23860) pub1->started
(23850|23860) pub1->wait_match() before write for 01030000.613ad469.00000005.00000102(b3534493)
(23850|23872) pub13  writer id: 01030000.613ad469.00000009.00000102(76a3a992)
(23850|23872) pub13->started
(23850|23872) pub13->wait_match() before write for 01030000.613ad469.00000009.00000102(76a3a992)
(23850|23874) pub14<-match found! before write for 01030000.613ad469.00000007.00000102(c99317f3)
(23850|23865) pub2<-match found! before write for 01030000.613ad469.00000004.00000102(8e336d23)
(23850|23859) pub0  waiting for acks returned
(23850|23859) pub0<-delete_contained_entities
(23850|23865) pub2  10% (7 samples sent)
(23850|23874) pub14  10% (7 samples sent)
(23850|23874) pub14  20% (13 samples sent)
(23850|23874) pub14  31% (20 samples sent)
(23850|23874) pub14  40% (26 samples sent)
(23850|23863) pub10<-match found! before write for 01030000.613ad469.00000008.00000102(4bc38022)
(23850|23863) pub10  10% (7 samples sent)
(23850|23863) pub10  20% (13 samples sent)
(23850|23863) pub10  31% (20 samples sent)
(23850|23860) pub1<-match found! before write for 01030000.613ad469.00000005.00000102(b3534493)
(23850|23860) pub1  10% (7 samples sent)
(23850|23860) pub1  20% (13 samples sent)
(23850|23865) pub2  20% (13 samples sent)
(23850|23863) pub10  40% (26 samples sent)
(23850|23863) pub10  50% (32 samples sent)
(23850|23865) pub2  31% (20 samples sent)
(23850|23865) pub2  40% (26 samples sent)
(23850|23863) pub10  60% (39 samples sent)
(23850|23860) pub1  31% (20 samples sent)
(23850|23860) pub1  40% (26 samples sent)
(23850|23860) pub1  50% (32 samples sent)
(23850|23860) pub1  60% (39 samples sent)
(23850|23860) pub1  70% (45 samples sent)
(23850|23865) pub2  50% (32 samples sent)
(23850|23863) pub10  70% (45 samples sent)
(23850|23863) pub10  81% (52 samples sent)
(23850|23863) pub10  90% (58 samples sent)
(23850|23863) pub10  100% (64 samples sent)
(23850|23863) pub10  waiting for acks
(23850|23874) pub14  50% (32 samples sent)
(23850|23865) pub2  60% (39 samples sent)
(23850|23860) pub1  81% (52 samples sent)
(23850|23865) pub2  70% (45 samples sent)
(23850|23860) pub1  90% (58 samples sent)
(23850|23865) pub2  81% (52 samples sent)
(23850|23865) pub2  90% (58 samples sent)
(23850|23865) pub2  100% (64 samples sent)
(23850|23865) pub2  waiting for acks
(23850|23871) pub7  writer id: 01030000.613ad469.0000000b.00000102(0c63faf2)
(23850|23871) pub7->started
(23850|23871) pub7->wait_match() before write for 01030000.613ad469.0000000b.00000102(0c63faf2)
(23850|23874) pub14  60% (39 samples sent)
(23850|23874) pub14  70% (45 samples sent)
(23850|23874) pub14  81% (52 samples sent)
(23850|23874) pub14  90% (58 samples sent)
(23850|23874) pub14  100% (64 samples sent)
(23850|23874) pub14  waiting for acks
(23850|23860) pub1  100% (64 samples sent)
(23850|23860) pub1  waiting for acks
(23850|23872) pub13<-match found! before write for 01030000.613ad469.00000009.00000102(76a3a992)
(23850|23873) pub5  writer id: 01030000.613ad469.00000006.00000102(f4f33e43)
(23850|23873) pub5->started
(23850|23873) pub5->wait_match() before write for 01030000.613ad469.00000006.00000102(f4f33e43)
(23850|23872) pub13  10% (7 samples sent)
(23850|23872) pub13  20% (13 samples sent)
(23850|23872) pub13  31% (20 samples sent)
(23850|23872) pub13  40% (26 samples sent)
(23850|23872) pub13  50% (32 samples sent)
(23850|23869) pub6  writer id: 01030000.613ad469.0000000d.00000102(83230f52)
(23850|23869) pub6->started
(23850|23869) pub6->wait_match() before write for 01030000.613ad469.0000000d.00000102(83230f52)
(23850|23872) pub13  60% (39 samples sent)
(23850|23872) pub13  70% (45 samples sent)
(23850|23872) pub13  81% (52 samples sent)
(23850|23872) pub13  90% (58 samples sent)
(23850|23872) pub13  100% (64 samples sent)
(23850|23872) pub13  waiting for acks
(23850|23867) pub8  waiting for acks returned
(23850|23871) pub7<-match found! before write for 01030000.613ad469.0000000b.00000102(0c63faf2)
(23850|23867) pub8<-delete_contained_entities
(23850|23861) pub11  writer id: 01030000.613ad469.0000000c.00000102(be4326e2)
(23850|23861) pub11->started
(23850|23861) pub11->wait_match() before write for 01030000.613ad469.0000000c.00000102(be4326e2)
(23850|23871) pub7  10% (7 samples sent)
(23850|23871) pub7  20% (13 samples sent)
(23850|23871) pub7  31% (20 samples sent)
(23850|23871) pub7  40% (26 samples sent)
(23850|23871) pub7  50% (32 samples sent)
(23850|23864) pub15  writer id: 01030000.613ad469.0000000e.00000102(c4837582)
(23850|23864) pub15->started
(23850|23871) pub7  60% (39 samples sent)
(23850|23864) pub15->wait_match() before write for 01030000.613ad469.0000000e.00000102(c4837582)
(23850|23870) pub4  writer id: 01030000.613ad469.0000000f.00000102(f9e35c32)
(23850|23870) pub4->started
(23850|23870) pub4->wait_match() before write for 01030000.613ad469.0000000f.00000102(f9e35c32)
(23850|23871) pub7  70% (45 samples sent)
(23850|23871) pub7  81% (52 samples sent)
(23850|23871) pub7  90% (58 samples sent)
(23850|23871) pub7  100% (64 samples sent)
(23850|23871) pub7  waiting for acks
(23850|23868) pub3  writer id: 01030000.613ad469.00000010.00000102(1b535c61)
(23850|23868) pub3->started
(23850|23868) pub3->wait_match() before write for 01030000.613ad469.00000010.00000102(1b535c61)
(23850|23866) pub12  writer id: 01030000.613ad469.00000011.00000102(263375d1)
(23850|23866) pub12->started
(23850|23866) pub12->wait_match() before write for 01030000.613ad469.00000011.00000102(263375d1)
(23850|23858)  sub 20% (205 samples received)
(23850|23862) pub9  writer id: 01030000.613ad469.00000012.00000102(61930f01)
(23850|23862) pub9->started
(23850|23862) pub9->wait_match() before write for 01030000.613ad469.00000012.00000102(61930f01)
(23850|23859) pub0<-delete_participant
(23850|23867) pub8<-delete_participant
(23850|23858)  sub 30% (308 samples received)
(23850|23858)  sub 40% (410 samples received)
(23850|23873) pub5<-match found! before write for 01030000.613ad469.00000006.00000102(f4f33e43)
(23850|23873) pub5  10% (7 samples sent)
(23850|23873) pub5  20% (13 samples sent)
(23850|23873) pub5  31% (20 samples sent)
(23850|23873) pub5  40% (26 samples sent)
(23850|23873) pub5  50% (32 samples sent)
(23850|23873) pub5  60% (39 samples sent)
(23850|23873) pub5  70% (45 samples sent)
(23850|23869) pub6<-match found! before write for 01030000.613ad469.0000000d.00000102(83230f52)
(23850|23869) pub6  10% (7 samples sent)
(23850|23869) pub6  20% (13 samples sent)
(23850|23869) pub6  31% (20 samples sent)
(23850|23869) pub6  40% (26 samples sent)
(23850|23869) pub6  50% (32 samples sent)
(23850|23869) pub6  60% (39 samples sent)
(23850|23869) pub6  70% (45 samples sent)
(23850|23869) pub6  81% (52 samples sent)
(23850|23869) pub6  90% (58 samples sent)
(23850|23869) pub6  100% (64 samples sent)
(23850|23869) pub6  waiting for acks
(23850|23873) pub5  81% (52 samples sent)
(23850|23861) pub11<-match found! before write for 01030000.613ad469.0000000c.00000102(be4326e2)
(23850|23873) pub5  90% (58 samples sent)
(23850|23873) pub5  100% (64 samples sent)
(23850|23873) pub5  waiting for acks
(23850|23861) pub11  10% (7 samples sent)
(23850|23861) pub11  20% (13 samples sent)
(23850|23861) pub11  31% (20 samples sent)
(23850|23861) pub11  40% (26 samples sent)
(23850|23864) pub15<-match found! before write for 01030000.613ad469.0000000e.00000102(c4837582)
(23850|23870) pub4<-match found! before write for 01030000.613ad469.0000000f.00000102(f9e35c32)
(23850|23868) pub3<-match found! before write for 01030000.613ad469.00000010.00000102(1b535c61)
(23850|23870) pub4  10% (7 samples sent)
(23850|23870) pub4  20% (13 samples sent)
(23850|23870) pub4  31% (20 samples sent)
(23850|23870) pub4  40% (26 samples sent)
(23850|23861) pub11  50% (32 samples sent)
(23850|23864) pub15  10% (7 samples sent)
(23850|23870) pub4  50% (32 samples sent)
(23850|23866) pub12<-match found! before write for 01030000.613ad469.00000011.00000102(263375d1)
(23850|23864) pub15  20% (13 samples sent)
(23850|23868) pub3  10% (7 samples sent)
(23850|23868) pub3  20% (13 samples sent)
(23850|23866) pub12  10% (7 samples sent)
(23850|23866) pub12  20% (13 samples sent)
(23850|23866) pub12  31% (20 samples sent)
(23850|23866) pub12  40% (26 samples sent)
(23850|23866) pub12  50% (32 samples sent)
(23850|23864) pub15  31% (20 samples sent)
(23850|23866) pub12  60% (39 samples sent)
(23850|23870) pub4  60% (39 samples sent)
(23850|23870) pub4  70% (45 samples sent)
(23850|23870) pub4  81% (52 samples sent)
(23850|23870) pub4  90% (58 samples sent)
(23850|23870) pub4  100% (64 samples sent)
(23850|23870) pub4  waiting for acks
(23850|23864) pub15  40% (26 samples sent)
(23850|23864) pub15  50% (32 samples sent)
(23850|23864) pub15  60% (39 samples sent)
(23850|23864) pub15  70% (45 samples sent)
(23850|23864) pub15  81% (52 samples sent)
(23850|23864) pub15  90% (58 samples sent)
(23850|23864) pub15  100% (64 samples sent)
(23850|23864) pub15  waiting for acks
(23850|23861) pub11  60% (39 samples sent)
(23850|23868) pub3  31% (20 samples sent)
(23850|23861) pub11  70% (45 samples sent)
(23850|23868) pub3  40% (26 samples sent)
(23850|23868) pub3  50% (32 samples sent)
(23850|23866) pub12  70% (45 samples sent)
(23850|23866) pub12  81% (52 samples sent)
(23850|23866) pub12  90% (58 samples sent)
(23850|23866) pub12  100% (64 samples sent)
(23850|23866) pub12  waiting for acks
(23850|23861) pub11  81% (52 samples sent)
(23850|23862) pub9<-match found! before write for 01030000.613ad469.00000012.00000102(61930f01)
(23850|23861) pub11  90% (58 samples sent)
(23850|23868) pub3  60% (39 samples sent)
(23850|23862) pub9  10% (7 samples sent)
(23850|23861) pub11  100% (64 samples sent)
(23850|23861) pub11  waiting for acks
(23850|23862) pub9  20% (13 samples sent)
(23850|23868) pub3  70% (45 samples sent)
(23850|23863) pub10  waiting for acks returned
(23850|23863) pub10<-delete_contained_entities
(23850|23862) pub9  31% (20 samples sent)
(23850|23868) pub3  81% (52 samples sent)
(23850|23868) pub3  90% (58 samples sent)
(23850|23868) pub3  100% (64 samples sent)
(23850|23868) pub3  waiting for acks
(23850|23862) pub9  40% (26 samples sent)
(23850|23862) pub9  50% (32 samples sent)
(23850|23862) pub9  60% (39 samples sent)
(23850|23865) pub2  waiting for acks returned
(23850|23874) pub14  waiting for acks returned
(23850|23865) pub2<-delete_contained_entities
(23850|23860) pub1  waiting for acks returned
(23850|23860) pub1<-delete_contained_entities
(23850|23874) pub14<-delete_contained_entities
(23850|23872) pub13  waiting for acks returned
(23850|23872) pub13<-delete_contained_entities
(23850|23862) pub9  70% (45 samples sent)
(23850|23862) pub9  81% (52 samples sent)
(23850|23862) pub9  90% (58 samples sent)
(23850|23862) pub9  100% (64 samples sent)
(23850|23862) pub9  waiting for acks
(23850|23863) pub10<-delete_participant
(23850|23858)  sub 50% (512 samples received)
(23850|23865) pub2<-delete_participant
(23850|23860) pub1<-delete_participant
(23850|23874) pub14<-delete_participant
(23850|23858)  sub 60% (615 samples received)
(23850|23872) pub13<-delete_participant
(23850|23858)  sub 70% (717 samples received)
(23850|23858)  sub 80% (820 samples received)
(23850|23858)  sub 90% (922 samples received)
(23850|23858) sub condition_.notify_all
(23850|23850) sub condition_.wait returned
(23850|23850) sub check_received
(23850|23850) sub check_received returns 0
(23850|23850) <- PublisherService::end
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23871) pub7  waiting for acks returned
(23850|23871) pub7<-delete_contained_entities
(23850|23873) pub5  waiting for acks returned
(23850|23873) pub5<-delete_contained_entities
(23850|23870) pub4  waiting for acks returned
(23850|23870) pub4<-delete_contained_entities
(23850|23869) pub6  waiting for acks returned
(23850|23869) pub6<-delete_contained_entities
(23850|23864) pub15  waiting for acks returned
(23850|23864) pub15<-delete_contained_entities
(23850|23866) pub12  waiting for acks returned
(23850|23866) pub12<-delete_contained_entities
(23850|23861) pub11  waiting for acks returned
(23850|23861) pub11<-delete_contained_entities
(23850|23868) pub3  waiting for acks returned
(23850|23868) pub3<-delete_contained_entities
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23871) pub7<-delete_participant
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858) sub condition_.notify_all
(23850|23858)  sub 100% (1024 samples received)
(23850|23858) sub condition_.notify_all
(23850|23862) pub9  waiting for acks returned
(23850|23862) pub9<-delete_contained_entities
(23850|23873) pub5<-delete_participant
(23850|23870) pub4<-delete_participant
(23850|23869) pub6<-delete_participant
(23850|23864) pub15<-delete_participant
(23850|23866) pub12<-delete_participant
(23850|23861) pub11<-delete_participant
(23850|23868) pub3<-delete_participant
(23850|23862) pub9<-delete_participant
(23850|23850) <- PublisherService::~PublisherService
(23850|23850) <- Subscriber delete_contained_entities
(23850|23850) <- Subscriber delete_participant
(23850|23850) <- Subscriber::~Subscriber
(23850|23850) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl medium Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl high #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 23877
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 32 -s 32 -n 1024 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 23884 started at 2023-04-28 22:43:00
(23884|23884) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(23884|23884) -> Thrasher started
(23884|23884) -> Subscriber::Subscriber
(23877|23877) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(23884|23884) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(23884|23884)    Subscriber reader id: 01030000.5c21701a.00000002.00000507(7bb988d6)
(23884|23884) -> PublisherService::PublisherService
(23884|23884) -> PublisherService::start (32 threads)
(23884|23884) sub wait_received 0:32
(23884|23893) pub0  writer id: 01030000.5c21701a.00000003.00000102(52df90ed)
(23884|23893) pub0->started
(23884|23893) pub0->wait_match() before write for 01030000.5c21701a.00000003.00000102(52df90ed)
(23884|23893) pub0<-match found! before write for 01030000.5c21701a.00000003.00000102(52df90ed)
(23884|23893) pub0  12% (4 samples sent)
(23884|23893) pub0  21% (7 samples sent)
(23884|23893) pub0  31% (10 samples sent)
(23884|23893) pub0  40% (13 samples sent)
(23884|23893) pub0  50% (16 samples sent)
(23884|23893) pub0  62% (20 samples sent)
(23884|23893) pub0  71% (23 samples sent)
(23884|23893) pub0  81% (26 samples sent)
(23884|23893) pub0  90% (29 samples sent)
(23884|23893) pub0  100% (32 samples sent)
(23884|23893) pub0  waiting for acks
(23884|23893) pub0  waiting for acks returned
(23884|23893) pub0<-delete_contained_entities
(23884|23894) pub1  writer id: 01030000.5c21701a.00000007.00000102(a75f362d)
(23884|23894) pub1->started
(23884|23894) pub1->wait_match() before write for 01030000.5c21701a.00000007.00000102(a75f362d)
(23884|23894) pub1<-match found! before write for 01030000.5c21701a.00000007.00000102(a75f362d)
(23884|23894) pub1  12% (4 samples sent)
(23884|23894) pub1  21% (7 samples sent)
(23884|23894) pub1  31% (10 samples sent)
(23884|23894) pub1  40% (13 samples sent)
(23884|23894) pub1  50% (16 samples sent)
(23884|23894) pub1  62% (20 samples sent)
(23884|23894) pub1  71% (23 samples sent)
(23884|23894) pub1  81% (26 samples sent)
(23884|23894) pub1  90% (29 samples sent)
(23884|23894) pub1  100% (32 samples sent)
(23884|23894) pub1  waiting for acks
(23884|23894) pub1  waiting for acks returned
(23884|23894) pub1<-delete_contained_entities
(23884|23916) pub13  writer id: 01030000.5c21701a.00000008.00000102(250fa1fc)
(23884|23916) pub13->started
(23884|23916) pub13->wait_match() before write for 01030000.5c21701a.00000008.00000102(250fa1fc)
(23884|23916) pub13<-match found! before write for 01030000.5c21701a.00000008.00000102(250fa1fc)
(23884|23916) pub13  12% (4 samples sent)
(23884|23916) pub13  21% (7 samples sent)
(23884|23916) pub13  31% (10 samples sent)
(23884|23916) pub13  40% (13 samples sent)
(23884|23916) pub13  50% (16 samples sent)
(23884|23916) pub13  62% (20 samples sent)
(23884|23916) pub13  71% (23 samples sent)
(23884|23916) pub13  81% (26 samples sent)
(23884|23895) pub3  writer id: 01030000.5c21701a.00000009.00000102(186f884c)
(23884|23895) pub3->started
(23884|23895) pub3->wait_match() before write for 01030000.5c21701a.00000009.00000102(186f884c)
(23884|23895) pub3<-match found! before write for 01030000.5c21701a.00000009.00000102(186f884c)
(23884|23895) pub3  12% (4 samples sent)
(23884|23895) pub3  21% (7 samples sent)
(23884|23895) pub3  31% (10 samples sent)
(23884|23895) pub3  40% (13 samples sent)
(23884|23895) pub3  50% (16 samples sent)
(23884|23895) pub3  62% (20 samples sent)
(23884|23895) pub3  71% (23 samples sent)
(23884|23895) pub3  81% (26 samples sent)
(23884|23895) pub3  90% (29 samples sent)
(23884|23895) pub3  100% (32 samples sent)
(23884|23895) pub3  waiting for acks
(23884|23916) pub13  90% (29 samples sent)
(23884|23916) pub13  100% (32 samples sent)
(23884|23916) pub13  waiting for acks
(23884|23906) pub20  writer id: 01030000.5c21701a.0000000a.00000102(5fcff29c)
(23884|23906) pub20->started
(23884|23906) pub20->wait_match() before write for 01030000.5c21701a.0000000a.00000102(5fcff29c)
(23884|23892)  sub 10% (103 samples received)
(23884|23900) pub16  writer id: 01030000.5c21701a.0000000b.00000102(62afdb2c)
(23884|23900) pub16->started
(23884|23900) pub16->wait_match() before write for 01030000.5c21701a.0000000b.00000102(62afdb2c)
(23884|23922) pub17  writer id: 01030000.5c21701a.0000000f.00000102(972f7dec)
(23884|23922) pub17->started
(23884|23922) pub17->wait_match() before write for 01030000.5c21701a.0000000f.00000102(972f7dec)
(23884|23896) pub4  writer id: 01030000.5c21701a.00000004.00000102(e0ff4cfd)
(23884|23896) pub4->started
(23884|23896) pub4->wait_match() before write for 01030000.5c21701a.00000004.00000102(e0ff4cfd)
(23884|23906) pub20<-match found! before write for 01030000.5c21701a.0000000a.00000102(5fcff29c)
(23884|23900) pub16<-match found! before write for 01030000.5c21701a.0000000b.00000102(62afdb2c)
(23884|23900) pub16  12% (4 samples sent)
(23884|23900) pub16  21% (7 samples sent)
(23884|23906) pub20  12% (4 samples sent)
(23884|23922) pub17<-match found! before write for 01030000.5c21701a.0000000f.00000102(972f7dec)
(23884|23895) pub3  waiting for acks returned
(23884|23895) pub3<-delete_contained_entities
(23884|23896) pub4<-match found! before write for 01030000.5c21701a.00000004.00000102(e0ff4cfd)
(23884|23922) pub17  12% (4 samples sent)
(23884|23922) pub17  21% (7 samples sent)
(23884|23922) pub17  31% (10 samples sent)
(23884|23922) pub17  40% (13 samples sent)
(23884|23922) pub17  50% (16 samples sent)
(23884|23922) pub17  62% (20 samples sent)
(23884|23922) pub17  71% (23 samples sent)
(23884|23922) pub17  81% (26 samples sent)
(23884|23922) pub17  90% (29 samples sent)
(23884|23922) pub17  100% (32 samples sent)
(23884|23922) pub17  waiting for acks
(23884|23916) pub13  waiting for acks returned
(23884|23916) pub13<-delete_contained_entities
(23884|23900) pub16  31% (10 samples sent)
(23884|23906) pub20  21% (7 samples sent)
(23884|23906) pub20  31% (10 samples sent)
(23884|23906) pub20  40% (13 samples sent)
(23884|23906) pub20  50% (16 samples sent)
(23884|23906) pub20  62% (20 samples sent)
(23884|23906) pub20  71% (23 samples sent)
(23884|23906) pub20  81% (26 samples sent)
(23884|23906) pub20  90% (29 samples sent)
(23884|23906) pub20  100% (32 samples sent)
(23884|23906) pub20  waiting for acks
(23884|23900) pub16  40% (13 samples sent)
(23884|23896) pub4  12% (4 samples sent)
(23884|23900) pub16  50% (16 samples sent)
(23884|23896) pub4  21% (7 samples sent)
(23884|23900) pub16  62% (20 samples sent)
(23884|23896) pub4  31% (10 samples sent)
(23884|23900) pub16  71% (23 samples sent)
(23884|23896) pub4  40% (13 samples sent)
(23884|23900) pub16  81% (26 samples sent)
(23884|23896) pub4  50% (16 samples sent)
(23884|23900) pub16  90% (29 samples sent)
(23884|23896) pub4  62% (20 samples sent)
(23884|23900) pub16  100% (32 samples sent)
(23884|23900) pub16  waiting for acks
(23884|23896) pub4  71% (23 samples sent)
(23884|23896) pub4  81% (26 samples sent)
(23884|23896) pub4  90% (29 samples sent)
(23884|23896) pub4  100% (32 samples sent)
(23884|23896) pub4  waiting for acks
(23884|23914) pub8  writer id: 01030000.5c21701a.00000005.00000102(dd9f654d)
(23884|23914) pub8->started
(23884|23914) pub8->wait_match() before write for 01030000.5c21701a.00000005.00000102(dd9f654d)
(23884|23914) pub8<-match found! before write for 01030000.5c21701a.00000005.00000102(dd9f654d)
(23884|23914) pub8  12% (4 samples sent)
(23884|23914) pub8  21% (7 samples sent)
(23884|23914) pub8  31% (10 samples sent)
(23884|23914) pub8  40% (13 samples sent)
(23884|23914) pub8  50% (16 samples sent)
(23884|23914) pub8  62% (20 samples sent)
(23884|23914) pub8  71% (23 samples sent)
(23884|23914) pub8  81% (26 samples sent)
(23884|23914) pub8  90% (29 samples sent)
(23884|23914) pub8  100% (32 samples sent)
(23884|23914) pub8  waiting for acks
(23884|23922) pub17  waiting for acks returned
(23884|23922) pub17<-delete_contained_entities
(23884|23898) pub2  writer id: 01030000.5c21701a.00000006.00000102(9a3f1f9d)
(23884|23898) pub2->started
(23884|23898) pub2->wait_match() before write for 01030000.5c21701a.00000006.00000102(9a3f1f9d)
(23884|23892)  sub 20% (205 samples received)
(23884|23924) pub22  writer id: 01030000.5c21701a.0000000c.00000102(d08f073c)
(23884|23924) pub22->started
(23884|23924) pub22->wait_match() before write for 01030000.5c21701a.0000000c.00000102(d08f073c)
(23884|23905) pub14  writer id: 01030000.5c21701a.0000000d.00000102(edef2e8c)
(23884|23905) pub14->started
(23884|23905) pub14->wait_match() before write for 01030000.5c21701a.0000000d.00000102(edef2e8c)
(23884|23920) pub24  writer id: 01030000.5c21701a.0000000e.00000102(aa4f545c)
(23884|23920) pub24->started
(23884|23920) pub24->wait_match() before write for 01030000.5c21701a.0000000e.00000102(aa4f545c)
(23884|23898) pub2<-match found! before write for 01030000.5c21701a.00000006.00000102(9a3f1f9d)
(23884|23898) pub2  12% (4 samples sent)
(23884|23898) pub2  21% (7 samples sent)
(23884|23898) pub2  31% (10 samples sent)
(23884|23898) pub2  40% (13 samples sent)
(23884|23898) pub2  50% (16 samples sent)
(23884|23898) pub2  62% (20 samples sent)
(23884|23898) pub2  71% (23 samples sent)
(23884|23898) pub2  81% (26 samples sent)
(23884|23898) pub2  90% (29 samples sent)
(23884|23906) pub20  waiting for acks returned
(23884|23906) pub20<-delete_contained_entities
(23884|23924) pub22<-match found! before write for 01030000.5c21701a.0000000c.00000102(d08f073c)
(23884|23924) pub22  12% (4 samples sent)
(23884|23924) pub22  21% (7 samples sent)
(23884|23924) pub22  31% (10 samples sent)
(23884|23924) pub22  40% (13 samples sent)
(23884|23924) pub22  50% (16 samples sent)
(23884|23924) pub22  62% (20 samples sent)
(23884|23924) pub22  71% (23 samples sent)
(23884|23924) pub22  81% (26 samples sent)
(23884|23905) pub14<-match found! before write for 01030000.5c21701a.0000000d.00000102(edef2e8c)
(23884|23904) pub10  writer id: 01030000.5c21701a.00000010.00000102(759f7dbf)
(23884|23904) pub10->started
(23884|23904) pub10->wait_match() before write for 01030000.5c21701a.00000010.00000102(759f7dbf)
(23884|23905) pub14  12% (4 samples sent)
(23884|23905) pub14  21% (7 samples sent)
(23884|23905) pub14  31% (10 samples sent)
(23884|23905) pub14  40% (13 samples sent)
(23884|23905) pub14  50% (16 samples sent)
(23884|23905) pub14  62% (20 samples sent)
(23884|23905) pub14  71% (23 samples sent)
(23884|23905) pub14  81% (26 samples sent)
(23884|23905) pub14  90% (29 samples sent)
(23884|23910) pub19  writer id: 01030000.5c21701a.00000011.00000102(48ff540f)
(23884|23910) pub19->started
(23884|23910) pub19->wait_match() before write for 01030000.5c21701a.00000011.00000102(48ff540f)
(23884|23905) pub14  100% (32 samples sent)
(23884|23905) pub14  waiting for acks
(23884|23920) pub24<-match found! before write for 01030000.5c21701a.0000000e.00000102(aa4f545c)
(23884|23924) pub22  90% (29 samples sent)
(23884|23900) pub16  waiting for acks returned
(23884|23900) pub16<-delete_contained_entities
(23884|23896) pub4  waiting for acks returned
(23884|23896) pub4<-delete_contained_entities
(23884|23920) pub24  12% (4 samples sent)
(23884|23920) pub24  21% (7 samples sent)
(23884|23920) pub24  31% (10 samples sent)
(23884|23920) pub24  40% (13 samples sent)
(23884|23920) pub24  50% (16 samples sent)
(23884|23901) pub5  writer id: 01030000.5c21701a.00000012.00000102(0f5f2edf)
(23884|23901) pub5->started
(23884|23901) pub5->wait_match() before write for 01030000.5c21701a.00000012.00000102(0f5f2edf)
(23884|23920) pub24  62% (20 samples sent)
(23884|23920) pub24  71% (23 samples sent)
(23884|23920) pub24  81% (26 samples sent)
(23884|23920) pub24  90% (29 samples sent)
(23884|23920) pub24  100% (32 samples sent)
(23884|23920) pub24  waiting for acks
(23884|23924) pub22  100% (32 samples sent)
(23884|23924) pub22  waiting for acks
(23884|23898) pub2  100% (32 samples sent)
(23884|23902) pub21  writer id: 01030000.5c21701a.00000015.00000102(bd7ff2cf)
(23884|23902) pub21->started
(23884|23902) pub21->wait_match() before write for 01030000.5c21701a.00000015.00000102(bd7ff2cf)
(23884|23898) pub2  waiting for acks
(23884|23912) pub23  writer id: 01030000.5c21701a.00000017.00000102(c7bfa1af)
(23884|23912) pub23->started
(23884|23912) pub23->wait_match() before write for 01030000.5c21701a.00000017.00000102(c7bfa1af)
(23884|23909) pub7  writer id: 01030000.5c21701a.00000020.00000102(d4bec539)
(23884|23909) pub7->started
(23884|23909) pub7->wait_match() before write for 01030000.5c21701a.00000020.00000102(d4bec539)
(23884|23892)  sub 30% (308 samples received)
(23884|23923) pub31  writer id: 01030000.5c21701a.00000022.00000102(ae7e9659)
(23884|23923) pub31->started
(23884|23923) pub31->wait_match() before write for 01030000.5c21701a.00000022.00000102(ae7e9659)
(23884|23904) pub10<-match found! before write for 01030000.5c21701a.00000010.00000102(759f7dbf)
(23884|23904) pub10  12% (4 samples sent)
(23884|23904) pub10  21% (7 samples sent)
(23884|23904) pub10  31% (10 samples sent)
(23884|23904) pub10  40% (13 samples sent)
(23884|23904) pub10  50% (16 samples sent)
(23884|23904) pub10  62% (20 samples sent)
(23884|23904) pub10  71% (23 samples sent)
(23884|23904) pub10  81% (26 samples sent)
(23884|23904) pub10  90% (29 samples sent)
(23884|23904) pub10  100% (32 samples sent)
(23884|23904) pub10  waiting for acks
(23884|23910) pub19<-match found! before write for 01030000.5c21701a.00000011.00000102(48ff540f)
(23884|23910) pub19  12% (4 samples sent)
(23884|23910) pub19  21% (7 samples sent)
(23884|23910) pub19  31% (10 samples sent)
(23884|23910) pub19  40% (13 samples sent)
(23884|23910) pub19  50% (16 samples sent)
(23884|23910) pub19  62% (20 samples sent)
(23884|23910) pub19  71% (23 samples sent)
(23884|23910) pub19  81% (26 samples sent)
(23884|23910) pub19  90% (29 samples sent)
(23884|23910) pub19  100% (32 samples sent)
(23884|23910) pub19  waiting for acks
(23884|23901) pub5<-match found! before write for 01030000.5c21701a.00000012.00000102(0f5f2edf)
(23884|23901) pub5  12% (4 samples sent)
(23884|23908) pub15  writer id: 01030000.5c21701a.00000016.00000102(fadf881f)
(23884|23901) pub5  21% (7 samples sent)
(23884|23908) pub15->started
(23884|23908) pub15->wait_match() before write for 01030000.5c21701a.00000016.00000102(fadf881f)
(23884|23901) pub5  31% (10 samples sent)
(23884|23901) pub5  40% (13 samples sent)
(23884|23901) pub5  50% (16 samples sent)
(23884|23901) pub5  62% (20 samples sent)
(23884|23901) pub5  71% (23 samples sent)
(23884|23901) pub5  81% (26 samples sent)
(23884|23901) pub5  90% (29 samples sent)
(23884|23901) pub5  100% (32 samples sent)
(23884|23901) pub5  waiting for acks
(23884|23902) pub21<-match found! before write for 01030000.5c21701a.00000015.00000102(bd7ff2cf)
(23884|23902) pub21  12% (4 samples sent)
(23884|23902) pub21  21% (7 samples sent)
(23884|23902) pub21  31% (10 samples sent)
(23884|23902) pub21  40% (13 samples sent)
(23884|23902) pub21  50% (16 samples sent)
(23884|23902) pub21  62% (20 samples sent)
(23884|23902) pub21  71% (23 samples sent)
(23884|23902) pub21  81% (26 samples sent)
(23884|23902) pub21  90% (29 samples sent)
(23884|23918) pub30  writer id: 01030000.5c21701a.00000021.00000102(e9deec89)
(23884|23918) pub30->started
(23884|23918) pub30->wait_match() before write for 01030000.5c21701a.00000021.00000102(e9deec89)
(23884|23902) pub21  100% (32 samples sent)
(23884|23902) pub21  waiting for acks
(23884|23914) pub8  waiting for acks returned
(23884|23914) pub8<-delete_contained_entities
(23884|23912) pub23<-match found! before write for 01030000.5c21701a.00000017.00000102(c7bfa1af)
(23884|23912) pub23  12% (4 samples sent)
(23884|23912) pub23  21% (7 samples sent)
(23884|23915) pub28  writer id: 01030000.5c21701a.0000001e.00000102(caafc3de)
(23884|23915) pub28->started
(23884|23915) pub28->wait_match() before write for 01030000.5c21701a.0000001e.00000102(caafc3de)
(23884|23912) pub23  31% (10 samples sent)
(23884|23912) pub23  40% (13 samples sent)
(23884|23912) pub23  50% (16 samples sent)
(23884|23912) pub23  62% (20 samples sent)
(23884|23912) pub23  71% (23 samples sent)
(23884|23912) pub23  81% (26 samples sent)
(23884|23921) pub26  writer id: 01030000.5c21701a.00000019.00000102(788f1fce)
(23884|23921) pub26->started
(23884|23921) pub26->wait_match() before write for 01030000.5c21701a.00000019.00000102(788f1fce)
(23884|23912) pub23  90% (29 samples sent)
(23884|23912) pub23  100% (32 samples sent)
(23884|23912) pub23  waiting for acks
(23884|23909) pub7<-match found! before write for 01030000.5c21701a.00000020.00000102(d4bec539)
(23884|23909) pub7  12% (4 samples sent)
(23884|23909) pub7  21% (7 samples sent)
(23884|23909) pub7  31% (10 samples sent)
(23884|23909) pub7  40% (13 samples sent)
(23884|23909) pub7  50% (16 samples sent)
(23884|23909) pub7  62% (20 samples sent)
(23884|23909) pub7  71% (23 samples sent)
(23884|23909) pub7  81% (26 samples sent)
(23884|23909) pub7  90% (29 samples sent)
(23884|23909) pub7  100% (32 samples sent)
(23884|23909) pub7  waiting for acks
(23884|23923) pub31<-match found! before write for 01030000.5c21701a.00000022.00000102(ae7e9659)
(23884|23923) pub31  12% (4 samples sent)
(23884|23923) pub31  21% (7 samples sent)
(23884|23923) pub31  31% (10 samples sent)
(23884|23913) pub25  writer id: 01030000.5c21701a.00000018.00000102(45ef367e)
(23884|23913) pub25->started
(23884|23913) pub25->wait_match() before write for 01030000.5c21701a.00000018.00000102(45ef367e)
(23884|23923) pub31  40% (13 samples sent)
(23884|23923) pub31  50% (16 samples sent)
(23884|23923) pub31  62% (20 samples sent)
(23884|23923) pub31  71% (23 samples sent)
(23884|23923) pub31  81% (26 samples sent)
(23884|23923) pub31  90% (29 samples sent)
(23884|23923) pub31  100% (32 samples sent)
(23884|23923) pub31  waiting for acks
(23884|23899) pub27  writer id: 01030000.5c21701a.0000001a.00000102(3f2f651e)
(23884|23899) pub27->started
(23884|23899) pub27->wait_match() before write for 01030000.5c21701a.0000001a.00000102(3f2f651e)
(23884|23905) pub14  waiting for acks returned
(23884|23905) pub14<-delete_contained_entities
(23884|23892)  sub 40% (410 samples received)
(23884|23907) pub6  writer id: 01030000.5c21701a.0000001b.00000102(024f4cae)
(23884|23907) pub6->started
(23884|23907) pub6->wait_match() before write for 01030000.5c21701a.0000001b.00000102(024f4cae)
(23884|23919) pub11  writer id: 01030000.5c21701a.0000001f.00000102(f7cfea6e)
(23884|23919) pub11->started
(23884|23919) pub11->wait_match() before write for 01030000.5c21701a.0000001f.00000102(f7cfea6e)
(23884|23903) pub9  writer id: 01030000.5c21701a.0000001c.00000102(b06f90be)
(23884|23903) pub9->started
(23884|23903) pub9->wait_match() before write for 01030000.5c21701a.0000001c.00000102(b06f90be)
(23884|23897) pub29  writer id: 01030000.5c21701a.00000014.00000102(801fdb7f)
(23884|23897) pub29->started
(23884|23897) pub29->wait_match() before write for 01030000.5c21701a.00000014.00000102(801fdb7f)
(23884|23892)  sub 50% (512 samples received)
(23884|23917) pub12  writer id: 01030000.5c21701a.0000001d.00000102(8d0fb90e)
(23884|23917) pub12->started
(23884|23917) pub12->wait_match() before write for 01030000.5c21701a.0000001d.00000102(8d0fb90e)
(23884|23911) pub18  writer id: 01030000.5c21701a.00000013.00000102(323f076f)
(23884|23911) pub18->started
(23884|23911) pub18->wait_match() before write for 01030000.5c21701a.00000013.00000102(323f076f)
(23884|23893) pub0<-delete_participant
(23884|23892)  sub 60% (615 samples received)
(23884|23894) pub1<-delete_participant
(23884|23908) pub15<-match found! before write for 01030000.5c21701a.00000016.00000102(fadf881f)
(23884|23908) pub15  12% (4 samples sent)
(23884|23908) pub15  21% (7 samples sent)
(23884|23908) pub15  31% (10 samples sent)
(23884|23908) pub15  40% (13 samples sent)
(23884|23908) pub15  50% (16 samples sent)
(23884|23908) pub15  62% (20 samples sent)
(23884|23908) pub15  71% (23 samples sent)
(23884|23908) pub15  81% (26 samples sent)
(23884|23908) pub15  90% (29 samples sent)
(23884|23908) pub15  100% (32 samples sent)
(23884|23918) pub30<-match found! before write for 01030000.5c21701a.00000021.00000102(e9deec89)
(23884|23918) pub30  12% (4 samples sent)
(23884|23918) pub30  21% (7 samples sent)
(23884|23918) pub30  31% (10 samples sent)
(23884|23918) pub30  40% (13 samples sent)
(23884|23918) pub30  50% (16 samples sent)
(23884|23918) pub30  62% (20 samples sent)
(23884|23915) pub28<-match found! before write for 01030000.5c21701a.0000001e.00000102(caafc3de)
(23884|23915) pub28  12% (4 samples sent)
(23884|23915) pub28  21% (7 samples sent)
(23884|23915) pub28  31% (10 samples sent)
(23884|23915) pub28  40% (13 samples sent)
(23884|23895) pub3<-delete_participant
(23884|23915) pub28  50% (16 samples sent)
(23884|23921) pub26<-match found! before write for 01030000.5c21701a.00000019.00000102(788f1fce)
(23884|23908) pub15  waiting for acks
(23884|23918) pub30  71% (23 samples sent)
(23884|23915) pub28  62% (20 samples sent)
(23884|23913) pub25<-match found! before write for 01030000.5c21701a.00000018.00000102(45ef367e)
(23884|23921) pub26  12% (4 samples sent)
(23884|23913) pub25  12% (4 samples sent)
(23884|23921) pub26  21% (7 samples sent)
(23884|23899) pub27<-match found! before write for 01030000.5c21701a.0000001a.00000102(3f2f651e)
(23884|23921) pub26  31% (10 samples sent)
(23884|23899) pub27  12% (4 samples sent)
(23884|23899) pub27  21% (7 samples sent)
(23884|23899) pub27  31% (10 samples sent)
(23884|23899) pub27  40% (13 samples sent)
(23884|23899) pub27  50% (16 samples sent)
(23884|23899) pub27  62% (20 samples sent)
(23884|23915) pub28  71% (23 samples sent)
(23884|23899) pub27  71% (23 samples sent)
(23884|23913) pub25  21% (7 samples sent)
(23884|23899) pub27  81% (26 samples sent)
(23884|23921) pub26  40% (13 samples sent)
(23884|23899) pub27  90% (29 samples sent)
(23884|23921) pub26  50% (16 samples sent)
(23884|23918) pub30  81% (26 samples sent)
(23884|23920) pub24  waiting for acks returned
(23884|23920) pub24<-delete_contained_entities
(23884|23899) pub27  100% (32 samples sent)
(23884|23899) pub27  waiting for acks
(23884|23918) pub30  90% (29 samples sent)
(23884|23924) pub22  waiting for acks returned
(23884|23924) pub22<-delete_contained_entities
(23884|23913) pub25  31% (10 samples sent)
(23884|23915) pub28  81% (26 samples sent)
(23884|23898) pub2  waiting for acks returned
(23884|23898) pub2<-delete_contained_entities
(23884|23915) pub28  90% (29 samples sent)
(23884|23921) pub26  62% (20 samples sent)
(23884|23907) pub6<-match found! before write for 01030000.5c21701a.0000001b.00000102(024f4cae)
(23884|23915) pub28  100% (32 samples sent)
(23884|23915) pub28  waiting for acks
(23884|23907) pub6  12% (4 samples sent)
(23884|23921) pub26  71% (23 samples sent)
(23884|23921) pub26  81% (26 samples sent)
(23884|23921) pub26  90% (29 samples sent)
(23884|23921) pub26  100% (32 samples sent)
(23884|23921) pub26  waiting for acks
(23884|23913) pub25  40% (13 samples sent)
(23884|23907) pub6  21% (7 samples sent)
(23884|23907) pub6  31% (10 samples sent)
(23884|23913) pub25  50% (16 samples sent)
(23884|23907) pub6  40% (13 samples sent)
(23884|23913) pub25  62% (20 samples sent)
(23884|23907) pub6  50% (16 samples sent)
(23884|23913) pub25  71% (23 samples sent)
(23884|23918) pub30  100% (32 samples sent)
(23884|23918) pub30  waiting for acks
(23884|23907) pub6  62% (20 samples sent)
(23884|23913) pub25  81% (26 samples sent)
(23884|23919) pub11<-match found! before write for 01030000.5c21701a.0000001f.00000102(f7cfea6e)
(23884|23913) pub25  90% (29 samples sent)
(23884|23919) pub11  12% (4 samples sent)
(23884|23913) pub25  100% (32 samples sent)
(23884|23913) pub25  waiting for acks
(23884|23907) pub6  71% (23 samples sent)
(23884|23907) pub6  81% (26 samples sent)
(23884|23907) pub6  90% (29 samples sent)
(23884|23907) pub6  100% (32 samples sent)
(23884|23907) pub6  waiting for acks
(23884|23919) pub11  21% (7 samples sent)
(23884|23919) pub11  31% (10 samples sent)
(23884|23919) pub11  40% (13 samples sent)
(23884|23919) pub11  50% (16 samples sent)
(23884|23919) pub11  62% (20 samples sent)
(23884|23919) pub11  71% (23 samples sent)
(23884|23919) pub11  81% (26 samples sent)
(23884|23919) pub11  90% (29 samples sent)
(23884|23919) pub11  100% (32 samples sent)
(23884|23919) pub11  waiting for acks
(23884|23904) pub10  waiting for acks returned
(23884|23904) pub10<-delete_contained_entities
(23884|23910) pub19  waiting for acks returned
(23884|23910) pub19<-delete_contained_entities
(23884|23901) pub5  waiting for acks returned
(23884|23901) pub5<-delete_contained_entities
(23884|23903) pub9<-match found! before write for 01030000.5c21701a.0000001c.00000102(b06f90be)
(23884|23897) pub29<-match found! before write for 01030000.5c21701a.00000014.00000102(801fdb7f)
(23884|23903) pub9  12% (4 samples sent)
(23884|23897) pub29  12% (4 samples sent)
(23884|23903) pub9  21% (7 samples sent)
(23884|23897) pub29  21% (7 samples sent)
(23884|23903) pub9  31% (10 samples sent)
(23884|23897) pub29  31% (10 samples sent)
(23884|23903) pub9  40% (13 samples sent)
(23884|23897) pub29  40% (13 samples sent)
(23884|23903) pub9  50% (16 samples sent)
(23884|23897) pub29  50% (16 samples sent)
(23884|23903) pub9  62% (20 samples sent)
(23884|23917) pub12<-match found! before write for 01030000.5c21701a.0000001d.00000102(8d0fb90e)
(23884|23911) pub18<-match found! before write for 01030000.5c21701a.00000013.00000102(323f076f)
(23884|23902) pub21  waiting for acks returned
(23884|23902) pub21<-delete_contained_entities
(23884|23897) pub29  62% (20 samples sent)
(23884|23917) pub12  12% (4 samples sent)
(23884|23897) pub29  71% (23 samples sent)
(23884|23912) pub23  waiting for acks returned
(23884|23912) pub23<-delete_contained_entities
(23884|23903) pub9  71% (23 samples sent)
(23884|23897) pub29  81% (26 samples sent)
(23884|23909) pub7  waiting for acks returned
(23884|23909) pub7<-delete_contained_entities
(23884|23923) pub31  waiting for acks returned
(23884|23923) pub31<-delete_contained_entities
(23884|23911) pub18  12% (4 samples sent)
(23884|23897) pub29  90% (29 samples sent)
(23884|23911) pub18  21% (7 samples sent)
(23884|23897) pub29  100% (32 samples sent)
(23884|23897) pub29  waiting for acks
(23884|23917) pub12  21% (7 samples sent)
(23884|23917) pub12  31% (10 samples sent)
(23884|23917) pub12  40% (13 samples sent)
(23884|23917) pub12  50% (16 samples sent)
(23884|23917) pub12  62% (20 samples sent)
(23884|23917) pub12  71% (23 samples sent)
(23884|23917) pub12  81% (26 samples sent)
(23884|23917) pub12  90% (29 samples sent)
(23884|23917) pub12  100% (32 samples sent)
(23884|23917) pub12  waiting for acks
(23884|23911) pub18  31% (10 samples sent)
(23884|23911) pub18  40% (13 samples sent)
(23884|23911) pub18  50% (16 samples sent)
(23884|23911) pub18  62% (20 samples sent)
(23884|23911) pub18  71% (23 samples sent)
(23884|23911) pub18  81% (26 samples sent)
(23884|23911) pub18  90% (29 samples sent)
(23884|23911) pub18  100% (32 samples sent)
(23884|23911) pub18  waiting for acks
(23884|23903) pub9  81% (26 samples sent)
(23884|23903) pub9  90% (29 samples sent)
(23884|23903) pub9  100% (32 samples sent)
(23884|23903) pub9  waiting for acks
(23884|23916) pub13<-delete_participant
(23884|23922) pub17<-delete_participant
(23884|23892)  sub 70% (717 samples received)
(23884|23906) pub20<-delete_participant
(23884|23900) pub16<-delete_participant
(23884|23896) pub4<-delete_participant
(23884|23914) pub8<-delete_participant
(23884|23892)  sub 80% (820 samples received)
(23884|23905) pub14<-delete_participant
(23884|23920) pub24<-delete_participant
(23884|23924) pub22<-delete_participant
(23884|23898) pub2<-delete_participant
(23884|23892)  sub 90% (922 samples received)
(23884|23892) sub condition_.notify_all
(23884|23884) sub condition_.wait returned
(23884|23884) sub check_received
(23884|23884) sub check_received returns 0
(23884|23884) <- PublisherService::end
(23884|23904) pub10<-delete_participant
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23910) pub19<-delete_participant
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23901) pub5<-delete_participant
(23884|23908) pub15  waiting for acks returned
(23884|23899) pub27  waiting for acks returned
(23884|23908) pub15<-delete_contained_entities
(23884|23899) pub27<-delete_contained_entities
(23884|23921) pub26  waiting for acks returned
(23884|23915) pub28  waiting for acks returned
(23884|23921) pub26<-delete_contained_entities
(23884|23915) pub28<-delete_contained_entities
(23884|23918) pub30  waiting for acks returned
(23884|23918) pub30<-delete_contained_entities
(23884|23907) pub6  waiting for acks returned
(23884|23907) pub6<-delete_contained_entities
(23884|23913) pub25  waiting for acks returned
(23884|23913) pub25<-delete_contained_entities
(23884|23919) pub11  waiting for acks returned
(23884|23919) pub11<-delete_contained_entities
(23884|23897) pub29  waiting for acks returned
(23884|23897) pub29<-delete_contained_entities
(23884|23917) pub12  waiting for acks returned
(23884|23917) pub12<-delete_contained_entities
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23902) pub21<-delete_participant
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892) sub condition_.notify_all
(23884|23892)  sub 100% (1024 samples received)
(23884|23892) sub condition_.notify_all
(23884|23903) pub9  waiting for acks returned
(23884|23903) pub9<-delete_contained_entities
(23884|23911) pub18  waiting for acks returned
(23884|23911) pub18<-delete_contained_entities
(23884|23909) pub7<-delete_participant
(23884|23912) pub23<-delete_participant
(23884|23923) pub31<-delete_participant
(23884|23899) pub27<-delete_participant
(23884|23908) pub15<-delete_participant
(23884|23921) pub26<-delete_participant
(23884|23915) pub28<-delete_participant
(23884|23918) pub30<-delete_participant
(23884|23907) pub6<-delete_participant
(23884|23913) pub25<-delete_participant
(23884|23919) pub11<-delete_participant
(23884|23897) pub29<-delete_participant
(23884|23917) pub12<-delete_participant
(23884|23903) pub9<-delete_participant
(23884|23911) pub18<-delete_participant
(23884|23884) <- PublisherService::~PublisherService
(23884|23884) <- Subscriber delete_contained_entities
(23884|23884) <- Subscriber delete_participant
(23884|23884) <- Subscriber::~Subscriber
(23884|23884) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl high Time:2s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl aggressive #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 23926
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 64 -s 16 -n 1024 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 23933 started at 2023-04-28 22:43:02
(23933|23933) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(23933|23933) -> Thrasher started
(23933|23933) -> Subscriber::Subscriber
(23926|23926) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(23933|23933) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(23933|23933)    Subscriber reader id: 01030000.6d8acf17.00000002.00000507(a8dbf852)
(23933|23933) -> PublisherService::PublisherService
(23933|23933) -> PublisherService::start (64 threads)
(23933|23933) sub wait_received 0:64
(23933|23957) pub2  writer id: 01030000.6d8acf17.00000004.00000102(339d3c79)
(23933|23957) pub2->started
(23933|23957) pub2->wait_match() before write for 01030000.6d8acf17.00000004.00000102(339d3c79)
(23933|23957) pub2<-match found! before write for 01030000.6d8acf17.00000004.00000102(339d3c79)
(23933|23957) pub2  12% (2 samples sent)
(23933|23957) pub2  25% (4 samples sent)
(23933|23957) pub2  31% (5 samples sent)
(23933|23957) pub2  43% (7 samples sent)
(23933|23957) pub2  50% (8 samples sent)
(23933|23957) pub2  62% (10 samples sent)
(23933|23957) pub2  75% (12 samples sent)
(23933|23957) pub2  81% (13 samples sent)
(23933|23957) pub2  93% (15 samples sent)
(23933|23957) pub2  100% (16 samples sent)
(23933|23957) pub2  waiting for acks
(23933|23957) pub2  waiting for acks returned
(23933|23957) pub2<-delete_contained_entities
(23933|23942) pub0  writer id: 01030000.6d8acf17.00000003.00000102(81bde069)
(23933|23942) pub0->started
(23933|23942) pub0->wait_match() before write for 01030000.6d8acf17.00000003.00000102(81bde069)
(23933|23942) pub0<-match found! before write for 01030000.6d8acf17.00000003.00000102(81bde069)
(23933|23942) pub0  12% (2 samples sent)
(23933|23942) pub0  25% (4 samples sent)
(23933|23942) pub0  31% (5 samples sent)
(23933|23942) pub0  43% (7 samples sent)
(23933|23942) pub0  50% (8 samples sent)
(23933|23942) pub0  62% (10 samples sent)
(23933|23942) pub0  75% (12 samples sent)
(23933|23942) pub0  81% (13 samples sent)
(23933|23942) pub0  93% (15 samples sent)
(23933|23942) pub0  100% (16 samples sent)
(23933|23942) pub0  waiting for acks
(23933|23942) pub0  waiting for acks returned
(23933|23942) pub0<-delete_contained_entities
(23933|23951) pub13  writer id: 01030000.6d8acf17.00000006.00000102(495d6f19)
(23933|23951) pub13->started
(23933|23951) pub13->wait_match() before write for 01030000.6d8acf17.00000006.00000102(495d6f19)
(23933|23951) pub13<-match found! before write for 01030000.6d8acf17.00000006.00000102(495d6f19)
(23933|23951) pub13  12% (2 samples sent)
(23933|23951) pub13  25% (4 samples sent)
(23933|23951) pub13  31% (5 samples sent)
(23933|23951) pub13  43% (7 samples sent)
(23933|23951) pub13  50% (8 samples sent)
(23933|23951) pub13  62% (10 samples sent)
(23933|23951) pub13  75% (12 samples sent)
(23933|23951) pub13  81% (13 samples sent)
(23933|23951) pub13  93% (15 samples sent)
(23933|23951) pub13  100% (16 samples sent)
(23933|23951) pub13  waiting for acks
(23933|23951) pub13  waiting for acks returned
(23933|23951) pub13<-delete_contained_entities
(23933|23982) pub44  writer id: 01030000.6d8acf17.0000001b.00000102(d12d3c2a)
(23933|23982) pub44->started
(23933|23982) pub44->wait_match() before write for 01030000.6d8acf17.0000001b.00000102(d12d3c2a)
(23933|23982) pub44<-match found! before write for 01030000.6d8acf17.0000001b.00000102(d12d3c2a)
(23933|23982) pub44  12% (2 samples sent)
(23933|23982) pub44  25% (4 samples sent)
(23933|23982) pub44  31% (5 samples sent)
(23933|23982) pub44  43% (7 samples sent)
(23933|23982) pub44  50% (8 samples sent)
(23933|23982) pub44  62% (10 samples sent)
(23933|23982) pub44  75% (12 samples sent)
(23933|23982) pub44  81% (13 samples sent)
(23933|23982) pub44  93% (15 samples sent)
(23933|23982) pub44  100% (16 samples sent)
(23933|23982) pub44  waiting for acks
(23933|23982) pub44  waiting for acks returned
(23933|23982) pub44<-delete_contained_entities
(23933|23945) pub37  writer id: 01030000.6d8acf17.00000018.00000102(968d46fa)
(23933|23945) pub37->started
(23933|23945) pub37->wait_match() before write for 01030000.6d8acf17.00000018.00000102(968d46fa)
(23933|23945) pub37<-match found! before write for 01030000.6d8acf17.00000018.00000102(968d46fa)
(23933|23945) pub37  12% (2 samples sent)
(23933|23945) pub37  25% (4 samples sent)
(23933|23945) pub37  31% (5 samples sent)
(23933|23945) pub37  43% (7 samples sent)
(23933|23945) pub37  50% (8 samples sent)
(23933|23945) pub37  62% (10 samples sent)
(23933|23945) pub37  75% (12 samples sent)
(23933|23945) pub37  81% (13 samples sent)
(23933|23945) pub37  93% (15 samples sent)
(23933|23945) pub37  100% (16 samples sent)
(23933|23945) pub37  waiting for acks
(23933|23945) pub37  waiting for acks returned
(23933|23945) pub37<-delete_contained_entities
(23933|23961) pub14  writer id: 01030000.6d8acf17.00000021.00000102(3abc9c0d)
(23933|23961) pub14->started
(23933|23961) pub14->wait_match() before write for 01030000.6d8acf17.00000021.00000102(3abc9c0d)
(23933|23961) pub14<-match found! before write for 01030000.6d8acf17.00000021.00000102(3abc9c0d)
(23933|23961) pub14  12% (2 samples sent)
(23933|23961) pub14  25% (4 samples sent)
(23933|23961) pub14  31% (5 samples sent)
(23933|23961) pub14  43% (7 samples sent)
(23933|23961) pub14  50% (8 samples sent)
(23933|23961) pub14  62% (10 samples sent)
(23933|23961) pub14  75% (12 samples sent)
(23933|23961) pub14  81% (13 samples sent)
(23933|23961) pub14  93% (15 samples sent)
(23933|23961) pub14  100% (16 samples sent)
(23933|23961) pub14  waiting for acks
(23933|23961) pub14  waiting for acks returned
(23933|23961) pub14<-delete_contained_entities
(23933|23980) pub16  writer id: 01030000.6d8acf17.00000024.00000102(f25c137d)
(23933|23980) pub16->started
(23933|23980) pub16->wait_match() before write for 01030000.6d8acf17.00000024.00000102(f25c137d)
(23933|23980) pub16<-match found! before write for 01030000.6d8acf17.00000024.00000102(f25c137d)
(23933|23980) pub16  12% (2 samples sent)
(23933|23980) pub16  25% (4 samples sent)
(23933|23980) pub16  31% (5 samples sent)
(23933|23980) pub16  43% (7 samples sent)
(23933|23980) pub16  50% (8 samples sent)
(23933|23980) pub16  62% (10 samples sent)
(23933|23980) pub16  75% (12 samples sent)
(23933|23980) pub16  81% (13 samples sent)
(23933|23980) pub16  93% (15 samples sent)
(23933|23980) pub16  100% (16 samples sent)
(23933|23980) pub16  waiting for acks
(23933|23941)  sub 10% (103 samples received)
(23933|23980) pub16  waiting for acks returned
(23933|23980) pub16<-delete_contained_entities
(23933|23984) pub45  writer id: 01030000.6d8acf17.00000025.00000102(cf3c3acd)
(23933|23984) pub45->started
(23933|23984) pub45->wait_match() before write for 01030000.6d8acf17.00000025.00000102(cf3c3acd)
(23933|23984) pub45<-match found! before write for 01030000.6d8acf17.00000025.00000102(cf3c3acd)
(23933|23984) pub45  12% (2 samples sent)
(23933|23984) pub45  25% (4 samples sent)
(23933|23984) pub45  31% (5 samples sent)
(23933|23984) pub45  43% (7 samples sent)
(23933|23984) pub45  50% (8 samples sent)
(23933|23984) pub45  62% (10 samples sent)
(23933|23984) pub45  75% (12 samples sent)
(23933|23984) pub45  81% (13 samples sent)
(23933|23984) pub45  93% (15 samples sent)
(23933|23984) pub45  100% (16 samples sent)
(23933|23984) pub45  waiting for acks
(23933|23984) pub45  waiting for acks returned
(23933|23984) pub45<-delete_contained_entities
(23933|23947) pub4  writer id: 01030000.6d8acf17.00000005.00000102(0efd15c9)
(23933|23947) pub4->started
(23933|23947) pub4->wait_match() before write for 01030000.6d8acf17.00000005.00000102(0efd15c9)
(23933|23947) pub4<-match found! before write for 01030000.6d8acf17.00000005.00000102(0efd15c9)
(23933|23947) pub4  12% (2 samples sent)
(23933|23947) pub4  25% (4 samples sent)
(23933|23947) pub4  31% (5 samples sent)
(23933|23947) pub4  43% (7 samples sent)
(23933|23947) pub4  50% (8 samples sent)
(23933|23947) pub4  62% (10 samples sent)
(23933|23947) pub4  75% (12 samples sent)
(23933|23947) pub4  81% (13 samples sent)
(23933|23947) pub4  93% (15 samples sent)
(23933|23947) pub4  100% (16 samples sent)
(23933|23947) pub4  waiting for acks
(23933|23947) pub4  waiting for acks returned
(23933|23947) pub4<-delete_contained_entities
(23933|23975) pub1  writer id: 01030000.6d8acf17.00000007.00000102(743d46a9)
(23933|23975) pub1->started
(23933|23975) pub1->wait_match() before write for 01030000.6d8acf17.00000007.00000102(743d46a9)
(23933|23975) pub1<-match found! before write for 01030000.6d8acf17.00000007.00000102(743d46a9)
(23933|23975) pub1  12% (2 samples sent)
(23933|23975) pub1  25% (4 samples sent)
(23933|23975) pub1  31% (5 samples sent)
(23933|23975) pub1  43% (7 samples sent)
(23933|23975) pub1  50% (8 samples sent)
(23933|23975) pub1  62% (10 samples sent)
(23933|23975) pub1  75% (12 samples sent)
(23933|23975) pub1  81% (13 samples sent)
(23933|23975) pub1  93% (15 samples sent)
(23933|23975) pub1  100% (16 samples sent)
(23933|23975) pub1  waiting for acks
(23933|23975) pub1  waiting for acks returned
(23933|23975) pub1<-delete_contained_entities
(23933|23976) pub12  writer id: 01030000.6d8acf17.00000008.00000102(f66dd178)
(23933|23976) pub12->started
(23933|23976) pub12->wait_match() before write for 01030000.6d8acf17.00000008.00000102(f66dd178)
(23933|23976) pub12<-match found! before write for 01030000.6d8acf17.00000008.00000102(f66dd178)
(23933|23976) pub12  12% (2 samples sent)
(23933|23976) pub12  25% (4 samples sent)
(23933|23976) pub12  31% (5 samples sent)
(23933|23976) pub12  43% (7 samples sent)
(23933|23976) pub12  50% (8 samples sent)
(23933|23976) pub12  62% (10 samples sent)
(23933|23976) pub12  75% (12 samples sent)
(23933|23976) pub12  81% (13 samples sent)
(23933|23976) pub12  93% (15 samples sent)
(23933|23976) pub12  100% (16 samples sent)
(23933|23976) pub12  waiting for acks
(23933|23976) pub12  waiting for acks returned
(23933|23976) pub12<-delete_contained_entities
(23933|23965) pub48  writer id: 01030000.6d8acf17.00000009.00000102(cb0df8c8)
(23933|23965) pub48->started
(23933|23965) pub48->wait_match() before write for 01030000.6d8acf17.00000009.00000102(cb0df8c8)
(23933|23965) pub48<-match found! before write for 01030000.6d8acf17.00000009.00000102(cb0df8c8)
(23933|23965) pub48  12% (2 samples sent)
(23933|23965) pub48  25% (4 samples sent)
(23933|23965) pub48  31% (5 samples sent)
(23933|23965) pub48  43% (7 samples sent)
(23933|23965) pub48  50% (8 samples sent)
(23933|23965) pub48  62% (10 samples sent)
(23933|23965) pub48  75% (12 samples sent)
(23933|23965) pub48  81% (13 samples sent)
(23933|23965) pub48  93% (15 samples sent)
(23933|23965) pub48  100% (16 samples sent)
(23933|23965) pub48  waiting for acks
(23933|23955) pub19  writer id: 01030000.6d8acf17.0000000a.00000102(8cad8218)
(23933|23955) pub19->started
(23933|23955) pub19->wait_match() before write for 01030000.6d8acf17.0000000a.00000102(8cad8218)
(23933|23955) pub19<-match found! before write for 01030000.6d8acf17.0000000a.00000102(8cad8218)
(23933|23955) pub19  12% (2 samples sent)
(23933|23955) pub19  25% (4 samples sent)
(23933|23955) pub19  31% (5 samples sent)
(23933|23955) pub19  43% (7 samples sent)
(23933|23955) pub19  50% (8 samples sent)
(23933|23955) pub19  62% (10 samples sent)
(23933|23955) pub19  75% (12 samples sent)
(23933|23955) pub19  81% (13 samples sent)
(23933|23955) pub19  93% (15 samples sent)
(23933|23955) pub19  100% (16 samples sent)
(23933|23955) pub19  waiting for acks
(23933|23967) pub8  writer id: 01030000.6d8acf17.0000000b.00000102(b1cdaba8)
(23933|23967) pub8->started
(23933|23967) pub8->wait_match() before write for 01030000.6d8acf17.0000000b.00000102(b1cdaba8)
(23933|23981) pub18  writer id: 01030000.6d8acf17.0000000c.00000102(03ed77b8)
(23933|23981) pub18->started
(23933|23981) pub18->wait_match() before write for 01030000.6d8acf17.0000000c.00000102(03ed77b8)
(23933|23941)  sub 20% (205 samples received)
(23933|23965) pub48  waiting for acks returned
(23933|23965) pub48<-delete_contained_entities
(23933|23967) pub8<-match found! before write for 01030000.6d8acf17.0000000b.00000102(b1cdaba8)
(23933|23981) pub18<-match found! before write for 01030000.6d8acf17.0000000c.00000102(03ed77b8)
(23933|23967) pub8  12% (2 samples sent)
(23933|23967) pub8  25% (4 samples sent)
(23933|23967) pub8  31% (5 samples sent)
(23933|23967) pub8  43% (7 samples sent)
(23933|23967) pub8  50% (8 samples sent)
(23933|23967) pub8  62% (10 samples sent)
(23933|23967) pub8  75% (12 samples sent)
(23933|23967) pub8  81% (13 samples sent)
(23933|23967) pub8  93% (15 samples sent)
(23933|23967) pub8  100% (16 samples sent)
(23933|23967) pub8  waiting for acks
(23933|24001) pub40  writer id: 01030000.6d8acf17.0000000d.00000102(3e8d5e08)
(23933|24001) pub40->started
(23933|24001) pub40->wait_match() before write for 01030000.6d8acf17.0000000d.00000102(3e8d5e08)
(23933|23981) pub18  12% (2 samples sent)
(23933|23981) pub18  25% (4 samples sent)
(23933|23981) pub18  31% (5 samples sent)
(23933|23981) pub18  43% (7 samples sent)
(23933|23981) pub18  50% (8 samples sent)
(23933|23981) pub18  62% (10 samples sent)
(23933|23981) pub18  75% (12 samples sent)
(23933|23981) pub18  81% (13 samples sent)
(23933|23981) pub18  93% (15 samples sent)
(23933|23981) pub18  100% (16 samples sent)
(23933|23981) pub18  waiting for acks
(23933|23955) pub19  waiting for acks returned
(23933|23955) pub19<-delete_contained_entities
(23933|23963) pub21  writer id: 01030000.6d8acf17.0000000e.00000102(792d24d8)
(23933|23963) pub21->started
(23933|23963) pub21->wait_match() before write for 01030000.6d8acf17.0000000e.00000102(792d24d8)
(23933|24001) pub40<-match found! before write for 01030000.6d8acf17.0000000d.00000102(3e8d5e08)
(23933|24001) pub40  12% (2 samples sent)
(23933|24001) pub40  25% (4 samples sent)
(23933|24001) pub40  31% (5 samples sent)
(23933|24001) pub40  43% (7 samples sent)
(23933|24001) pub40  50% (8 samples sent)
(23933|24001) pub40  62% (10 samples sent)
(23933|24001) pub40  75% (12 samples sent)
(23933|24001) pub40  81% (13 samples sent)
(23933|24001) pub40  93% (15 samples sent)
(23933|24001) pub40  100% (16 samples sent)
(23933|24001) pub40  waiting for acks
(23933|23990) pub52  writer id: 01030000.6d8acf17.0000000f.00000102(444d0d68)
(23933|23990) pub52->started
(23933|23990) pub52->wait_match() before write for 01030000.6d8acf17.0000000f.00000102(444d0d68)
(23933|23994) pub51  writer id: 01030000.6d8acf17.00000013.00000102(e15d77eb)
(23933|23994) pub51->started
(23933|23994) pub51->wait_match() before write for 01030000.6d8acf17.00000013.00000102(e15d77eb)
(23933|23956) pub11  writer id: 01030000.6d8acf17.00000014.00000102(537dabfb)
(23933|23956) pub11->started
(23933|23956) pub11->wait_match() before write for 01030000.6d8acf17.00000014.00000102(537dabfb)
(23933|23944) pub35  writer id: 01030000.6d8acf17.00000010.00000102(a6fd0d3b)
(23933|23944) pub35->started
(23933|23944) pub35->wait_match() before write for 01030000.6d8acf17.00000010.00000102(a6fd0d3b)
(23933|23963) pub21<-match found! before write for 01030000.6d8acf17.0000000e.00000102(792d24d8)
(23933|23963) pub21  12% (2 samples sent)
(23933|23963) pub21  25% (4 samples sent)
(23933|23963) pub21  31% (5 samples sent)
(23933|23963) pub21  43% (7 samples sent)
(23933|23963) pub21  50% (8 samples sent)
(23933|23963) pub21  62% (10 samples sent)
(23933|23963) pub21  75% (12 samples sent)
(23933|23963) pub21  81% (13 samples sent)
(23933|23963) pub21  93% (15 samples sent)
(23933|23963) pub21  100% (16 samples sent)
(23933|23963) pub21  waiting for acks
(23933|23967) pub8  waiting for acks returned
(23933|23967) pub8<-delete_contained_entities
(23933|23950) pub5  writer id: 01030000.6d8acf17.00000016.00000102(29bdf89b)
(23933|23950) pub5->started
(23933|23950) pub5->wait_match() before write for 01030000.6d8acf17.00000016.00000102(29bdf89b)
(23933|23990) pub52<-match found! before write for 01030000.6d8acf17.0000000f.00000102(444d0d68)
(23933|23990) pub52  12% (2 samples sent)
(23933|23990) pub52  25% (4 samples sent)
(23933|23990) pub52  31% (5 samples sent)
(23933|23990) pub52  43% (7 samples sent)
(23933|23990) pub52  50% (8 samples sent)
(23933|23990) pub52  62% (10 samples sent)
(23933|23990) pub52  75% (12 samples sent)
(23933|23990) pub52  81% (13 samples sent)
(23933|23990) pub52  93% (15 samples sent)
(23933|23990) pub52  100% (16 samples sent)
(23933|23990) pub52  waiting for acks
(23933|23994) pub51<-match found! before write for 01030000.6d8acf17.00000013.00000102(e15d77eb)
(23933|23994) pub51  12% (2 samples sent)
(23933|23994) pub51  25% (4 samples sent)
(23933|23994) pub51  31% (5 samples sent)
(23933|23994) pub51  43% (7 samples sent)
(23933|23994) pub51  50% (8 samples sent)
(23933|23994) pub51  62% (10 samples sent)
(23933|23994) pub51  75% (12 samples sent)
(23933|23994) pub51  81% (13 samples sent)
(23933|23994) pub51  93% (15 samples sent)
(23933|23994) pub51  100% (16 samples sent)
(23933|23994) pub51  waiting for acks
(23933|23956) pub11<-match found! before write for 01030000.6d8acf17.00000014.00000102(537dabfb)
(23933|23956) pub11  12% (2 samples sent)
(23933|23956) pub11  25% (4 samples sent)
(23933|23956) pub11  31% (5 samples sent)
(23933|23956) pub11  43% (7 samples sent)
(23933|23956) pub11  50% (8 samples sent)
(23933|23956) pub11  62% (10 samples sent)
(23933|23956) pub11  75% (12 samples sent)
(23933|23956) pub11  81% (13 samples sent)
(23933|23956) pub11  93% (15 samples sent)
(23933|23956) pub11  100% (16 samples sent)
(23933|23956) pub11  waiting for acks
(23933|23991) pub53  writer id: 01030000.6d8acf17.00000017.00000102(14ddd12b)
(23933|23991) pub53->started
(23933|23991) pub53->wait_match() before write for 01030000.6d8acf17.00000017.00000102(14ddd12b)
(23933|23981) pub18  waiting for acks returned
(23933|23981) pub18<-delete_contained_entities
(23933|23944) pub35<-match found! before write for 01030000.6d8acf17.00000010.00000102(a6fd0d3b)
(23933|23944) pub35  12% (2 samples sent)
(23933|23944) pub35  25% (4 samples sent)
(23933|23944) pub35  31% (5 samples sent)
(23933|23944) pub35  43% (7 samples sent)
(23933|23944) pub35  50% (8 samples sent)
(23933|23944) pub35  62% (10 samples sent)
(23933|23944) pub35  75% (12 samples sent)
(23933|23944) pub35  81% (13 samples sent)
(23933|23944) pub35  93% (15 samples sent)
(23933|23944) pub35  100% (16 samples sent)
(23933|23944) pub35  waiting for acks
(23933|23948) pub3  writer id: 01030000.6d8acf17.0000001a.00000102(ec4d159a)
(23933|23948) pub3->started
(23933|23948) pub3->wait_match() before write for 01030000.6d8acf17.0000001a.00000102(ec4d159a)
(23933|23992) pub50  writer id: 01030000.6d8acf17.0000001c.00000102(630de03a)
(23933|23992) pub50->started
(23933|23992) pub50->wait_match() before write for 01030000.6d8acf17.0000001c.00000102(630de03a)
(23933|23993) pub54  writer id: 01030000.6d8acf17.00000019.00000102(abed6f4a)
(23933|23993) pub54->started
(23933|23993) pub54->wait_match() before write for 01030000.6d8acf17.00000019.00000102(abed6f4a)
(23933|23977) pub15  writer id: 01030000.6d8acf17.0000001d.00000102(5e6dc98a)
(23933|23977) pub15->started
(23933|23977) pub15->wait_match() before write for 01030000.6d8acf17.0000001d.00000102(5e6dc98a)
(23933|23995) pub55  writer id: 01030000.6d8acf17.0000001e.00000102(19cdb35a)
(23933|23995) pub55->started
(23933|23995) pub55->wait_match() before write for 01030000.6d8acf17.0000001e.00000102(19cdb35a)
(23933|23989) pub36  writer id: 01030000.6d8acf17.00000015.00000102(6e1d824b)
(23933|23989) pub36->started
(23933|23989) pub36->wait_match() before write for 01030000.6d8acf17.00000015.00000102(6e1d824b)
(23933|23941)  sub 30% (308 samples received)
(23933|24000) pub46  writer id: 01030000.6d8acf17.0000001f.00000102(24ad9aea)
(23933|24000) pub46->started
(23933|24000) pub46->wait_match() before write for 01030000.6d8acf17.0000001f.00000102(24ad9aea)
(23933|23988) pub49  writer id: 01030000.6d8acf17.00000020.00000102(07dcb5bd)
(23933|23988) pub49->started
(23933|23988) pub49->wait_match() before write for 01030000.6d8acf17.00000020.00000102(07dcb5bd)
(23933|23950) pub5<-match found! before write for 01030000.6d8acf17.00000016.00000102(29bdf89b)
(23933|23950) pub5  12% (2 samples sent)
(23933|23950) pub5  25% (4 samples sent)
(23933|23950) pub5  31% (5 samples sent)
(23933|23950) pub5  43% (7 samples sent)
(23933|23950) pub5  50% (8 samples sent)
(23933|23950) pub5  62% (10 samples sent)
(23933|23950) pub5  75% (12 samples sent)
(23933|23950) pub5  81% (13 samples sent)
(23933|23950) pub5  93% (15 samples sent)
(23933|23950) pub5  100% (16 samples sent)
(23933|23950) pub5  waiting for acks
(23933|23979) pub58  writer id: 01030000.6d8acf17.00000022.00000102(7d1ce6dd)
(23933|23979) pub58->started
(23933|23979) pub58->wait_match() before write for 01030000.6d8acf17.00000022.00000102(7d1ce6dd)
(23933|23991) pub53<-match found! before write for 01030000.6d8acf17.00000017.00000102(14ddd12b)
(23933|23991) pub53  12% (2 samples sent)
(23933|23991) pub53  25% (4 samples sent)
(23933|23991) pub53  31% (5 samples sent)
(23933|23991) pub53  43% (7 samples sent)
(23933|23991) pub53  50% (8 samples sent)
(23933|23991) pub53  62% (10 samples sent)
(23933|23991) pub53  75% (12 samples sent)
(23933|23991) pub53  81% (13 samples sent)
(23933|23991) pub53  93% (15 samples sent)
(23933|23991) pub53  100% (16 samples sent)
(23933|23991) pub53  waiting for acks
(23933|24001) pub40  waiting for acks returned
(23933|24001) pub40<-delete_contained_entities
(23933|23963) pub21  waiting for acks returned
(23933|23963) pub21<-delete_contained_entities
(23933|23948) pub3<-match found! before write for 01030000.6d8acf17.0000001a.00000102(ec4d159a)
(23933|23948) pub3  12% (2 samples sent)
(23933|23948) pub3  25% (4 samples sent)
(23933|23948) pub3  31% (5 samples sent)
(23933|23948) pub3  43% (7 samples sent)
(23933|23948) pub3  50% (8 samples sent)
(23933|23948) pub3  62% (10 samples sent)
(23933|23948) pub3  75% (12 samples sent)
(23933|23948) pub3  81% (13 samples sent)
(23933|23948) pub3  93% (15 samples sent)
(23933|23948) pub3  100% (16 samples sent)
(23933|23948) pub3  waiting for acks
(23933|23987) pub47  writer id: 01030000.6d8acf17.00000023.00000102(407ccf6d)
(23933|23987) pub47->started
(23933|23987) pub47->wait_match() before write for 01030000.6d8acf17.00000023.00000102(407ccf6d)
(23933|23992) pub50<-match found! before write for 01030000.6d8acf17.0000001c.00000102(630de03a)
(23933|23992) pub50  12% (2 samples sent)
(23933|23992) pub50  25% (4 samples sent)
(23933|23992) pub50  31% (5 samples sent)
(23933|23992) pub50  43% (7 samples sent)
(23933|23992) pub50  50% (8 samples sent)
(23933|23992) pub50  62% (10 samples sent)
(23933|23992) pub50  75% (12 samples sent)
(23933|23992) pub50  81% (13 samples sent)
(23933|23992) pub50  93% (15 samples sent)
(23933|23992) pub50  100% (16 samples sent)
(23933|23992) pub50  waiting for acks
(23933|23993) pub54<-match found! before write for 01030000.6d8acf17.00000019.00000102(abed6f4a)
(23933|23993) pub54  12% (2 samples sent)
(23933|23993) pub54  25% (4 samples sent)
(23933|23993) pub54  31% (5 samples sent)
(23933|23993) pub54  43% (7 samples sent)
(23933|23993) pub54  50% (8 samples sent)
(23933|23993) pub54  62% (10 samples sent)
(23933|23993) pub54  75% (12 samples sent)
(23933|23993) pub54  81% (13 samples sent)
(23933|23993) pub54  93% (15 samples sent)
(23933|23993) pub54  100% (16 samples sent)
(23933|23993) pub54  waiting for acks
(23933|23990) pub52  waiting for acks returned
(23933|23990) pub52<-delete_contained_entities
(23933|23994) pub51  waiting for acks returned
(23933|23994) pub51<-delete_contained_entities
(23933|23972) pub10  writer id: 01030000.6d8acf17.00000011.00000102(9b9d248b)
(23933|23972) pub10->started
(23933|23972) pub10->wait_match() before write for 01030000.6d8acf17.00000011.00000102(9b9d248b)
(23933|23977) pub15<-match found! before write for 01030000.6d8acf17.0000001d.00000102(5e6dc98a)
(23933|23977) pub15  12% (2 samples sent)
(23933|23977) pub15  25% (4 samples sent)
(23933|23977) pub15  31% (5 samples sent)
(23933|23977) pub15  43% (7 samples sent)
(23933|23977) pub15  50% (8 samples sent)
(23933|23977) pub15  62% (10 samples sent)
(23933|23977) pub15  75% (12 samples sent)
(23933|23977) pub15  81% (13 samples sent)
(23933|23977) pub15  93% (15 samples sent)
(23933|23977) pub15  100% (16 samples sent)
(23933|23977) pub15  waiting for acks
(23933|23995) pub55<-match found! before write for 01030000.6d8acf17.0000001e.00000102(19cdb35a)
(23933|23995) pub55  12% (2 samples sent)
(23933|23995) pub55  25% (4 samples sent)
(23933|23995) pub55  31% (5 samples sent)
(23933|23995) pub55  43% (7 samples sent)
(23933|23995) pub55  50% (8 samples sent)
(23933|23995) pub55  62% (10 samples sent)
(23933|23995) pub55  75% (12 samples sent)
(23933|23995) pub55  81% (13 samples sent)
(23933|23995) pub55  93% (15 samples sent)
(23933|23995) pub55  100% (16 samples sent)
(23933|23995) pub55  waiting for acks
(23933|23989) pub36<-match found! before write for 01030000.6d8acf17.00000015.00000102(6e1d824b)
(23933|23989) pub36  12% (2 samples sent)
(23933|23989) pub36  25% (4 samples sent)
(23933|23989) pub36  31% (5 samples sent)
(23933|23989) pub36  43% (7 samples sent)
(23933|23989) pub36  50% (8 samples sent)
(23933|23989) pub36  62% (10 samples sent)
(23933|23989) pub36  75% (12 samples sent)
(23933|23989) pub36  81% (13 samples sent)
(23933|23989) pub36  93% (15 samples sent)
(23933|23989) pub36  100% (16 samples sent)
(23933|23989) pub36  waiting for acks
(23933|23956) pub11  waiting for acks returned
(23933|23956) pub11<-delete_contained_entities
(23933|23978) pub22  writer id: 01030000.6d8acf17.00000012.00000102(dc3d5e5b)
(23933|23978) pub22->started
(23933|23978) pub22->wait_match() before write for 01030000.6d8acf17.00000012.00000102(dc3d5e5b)
(23933|23969) pub17  writer id: 01030000.6d8acf17.00000026.00000102(889c401d)
(23933|23969) pub17->started
(23933|23969) pub17->wait_match() before write for 01030000.6d8acf17.00000026.00000102(889c401d)
(23933|23998) pub56  writer id: 01030000.6d8acf17.00000027.00000102(b5fc69ad)
(23933|23998) pub56->started
(23933|23998) pub56->wait_match() before write for 01030000.6d8acf17.00000027.00000102(b5fc69ad)
(23933|23966) pub6  writer id: 01030000.6d8acf17.00000028.00000102(37acfe7c)
(23933|23966) pub6->started
(23933|23966) pub6->wait_match() before write for 01030000.6d8acf17.00000028.00000102(37acfe7c)
(23933|23960) pub7  writer id: 01030000.6d8acf17.0000002a.00000102(4d6cad1c)
(23933|23960) pub7->started
(23933|23960) pub7->wait_match() before write for 01030000.6d8acf17.0000002a.00000102(4d6cad1c)
(23933|23974) pub29  writer id: 01030000.6d8acf17.0000002f.00000102(858c226c)
(23933|23974) pub29->started
(23933|23974) pub29->wait_match() before write for 01030000.6d8acf17.0000002f.00000102(858c226c)
(23933|23941)  sub 40% (410 samples received)
(23933|24005) pub57  writer id: 01030000.6d8acf17.00000029.00000102(0accd7cc)
(23933|24005) pub57->started
(23933|24005) pub57->wait_match() before write for 01030000.6d8acf17.00000029.00000102(0accd7cc)
(23933|24000) pub46<-match found! before write for 01030000.6d8acf17.0000001f.00000102(24ad9aea)
(23933|24000) pub46  12% (2 samples sent)
(23933|24000) pub46  25% (4 samples sent)
(23933|24000) pub46  31% (5 samples sent)
(23933|24000) pub46  43% (7 samples sent)
(23933|24000) pub46  50% (8 samples sent)
(23933|24000) pub46  62% (10 samples sent)
(23933|24000) pub46  75% (12 samples sent)
(23933|24000) pub46  81% (13 samples sent)
(23933|24000) pub46  93% (15 samples sent)
(23933|24000) pub46  100% (16 samples sent)
(23933|24000) pub46  waiting for acks
(23933|23952) pub27  writer id: 01030000.6d8acf17.0000002d.00000102(ff4c710c)
(23933|23952) pub27->started
(23933|23952) pub27->wait_match() before write for 01030000.6d8acf17.0000002d.00000102(ff4c710c)
(23933|23988) pub49<-match found! before write for 01030000.6d8acf17.00000020.00000102(07dcb5bd)
(23933|23988) pub49  12% (2 samples sent)
(23933|23988) pub49  25% (4 samples sent)
(23933|23979) pub58<-match found! before write for 01030000.6d8acf17.00000022.00000102(7d1ce6dd)
(23933|23988) pub49  31% (5 samples sent)
(23933|23988) pub49  43% (7 samples sent)
(23933|23988) pub49  50% (8 samples sent)
(23933|23988) pub49  62% (10 samples sent)
(23933|23979) pub58  12% (2 samples sent)
(23933|23988) pub49  75% (12 samples sent)
(23933|23988) pub49  81% (13 samples sent)
(23933|23979) pub58  25% (4 samples sent)
(23933|23979) pub58  31% (5 samples sent)
(23933|23988) pub49  93% (15 samples sent)
(23933|23988) pub49  100% (16 samples sent)
(23933|23988) pub49  waiting for acks
(23933|23979) pub58  43% (7 samples sent)
(23933|23979) pub58  50% (8 samples sent)
(23933|23979) pub58  62% (10 samples sent)
(23933|23979) pub58  75% (12 samples sent)
(23933|23979) pub58  81% (13 samples sent)
(23933|23954) pub24  writer id: 01030000.6d8acf17.00000032.00000102(1dfc715f)
(23933|23954) pub24->started
(23933|23954) pub24->wait_match() before write for 01030000.6d8acf17.00000032.00000102(1dfc715f)
(23933|23979) pub58  93% (15 samples sent)
(23933|23979) pub58  100% (16 samples sent)
(23933|23979) pub58  waiting for acks
(23933|23987) pub47<-match found! before write for 01030000.6d8acf17.00000023.00000102(407ccf6d)
(23933|23987) pub47  12% (2 samples sent)
(23933|23987) pub47  25% (4 samples sent)
(23933|23987) pub47  31% (5 samples sent)
(23933|23987) pub47  43% (7 samples sent)
(23933|23987) pub47  50% (8 samples sent)
(23933|23987) pub47  62% (10 samples sent)
(23933|23987) pub47  75% (12 samples sent)
(23933|23987) pub47  81% (13 samples sent)
(23933|23987) pub47  93% (15 samples sent)
(23933|23987) pub47  100% (16 samples sent)
(23933|23987) pub47  waiting for acks
(23933|23968) pub23  writer id: 01030000.6d8acf17.00000033.00000102(209c58ef)
(23933|23968) pub23->started
(23933|23968) pub23->wait_match() before write for 01030000.6d8acf17.00000033.00000102(209c58ef)
(23933|23972) pub10<-match found! before write for 01030000.6d8acf17.00000011.00000102(9b9d248b)
(23933|23972) pub10  12% (2 samples sent)
(23933|23972) pub10  25% (4 samples sent)
(23933|23972) pub10  31% (5 samples sent)
(23933|23972) pub10  43% (7 samples sent)
(23933|23972) pub10  50% (8 samples sent)
(23933|23972) pub10  62% (10 samples sent)
(23933|23972) pub10  75% (12 samples sent)
(23933|23972) pub10  81% (13 samples sent)
(23933|23972) pub10  93% (15 samples sent)
(23933|23972) pub10  100% (16 samples sent)
(23933|23972) pub10  waiting for acks
(23933|23944) pub35  waiting for acks returned
(23933|23944) pub35<-delete_contained_entities
(23933|23950) pub5  waiting for acks returned
(23933|23950) pub5<-delete_contained_entities
(23933|23958) pub30  writer id: 01030000.6d8acf17.00000030.00000102(673c223f)
(23933|23958) pub30->started
(23933|23958) pub30->wait_match() before write for 01030000.6d8acf17.00000030.00000102(673c223f)
(23933|23978) pub22<-match found! before write for 01030000.6d8acf17.00000012.00000102(dc3d5e5b)
(23933|23978) pub22  12% (2 samples sent)
(23933|23978) pub22  25% (4 samples sent)
(23933|23978) pub22  31% (5 samples sent)
(23933|23978) pub22  43% (7 samples sent)
(23933|23978) pub22  50% (8 samples sent)
(23933|23978) pub22  62% (10 samples sent)
(23933|23978) pub22  75% (12 samples sent)
(23933|23978) pub22  81% (13 samples sent)
(23933|23978) pub22  93% (15 samples sent)
(23933|23978) pub22  100% (16 samples sent)
(23933|23978) pub22  waiting for acks
(23933|23969) pub17<-match found! before write for 01030000.6d8acf17.00000026.00000102(889c401d)
(23933|23998) pub56<-match found! before write for 01030000.6d8acf17.00000027.00000102(b5fc69ad)
(23933|23969) pub17  12% (2 samples sent)
(23933|23998) pub56  12% (2 samples sent)
(23933|23969) pub17  25% (4 samples sent)
(23933|23969) pub17  31% (5 samples sent)
(23933|23998) pub56  25% (4 samples sent)
(23933|23998) pub56  31% (5 samples sent)
(23933|23969) pub17  43% (7 samples sent)
(23933|23969) pub17  50% (8 samples sent)
(23933|23998) pub56  43% (7 samples sent)
(23933|23998) pub56  50% (8 samples sent)
(23933|23969) pub17  62% (10 samples sent)
(23933|23998) pub56  62% (10 samples sent)
(23933|23969) pub17  75% (12 samples sent)
(23933|23969) pub17  81% (13 samples sent)
(23933|23998) pub56  75% (12 samples sent)
(23933|23998) pub56  81% (13 samples sent)
(23933|23969) pub17  93% (15 samples sent)
(23933|23969) pub17  100% (16 samples sent)
(23933|23969) pub17  waiting for acks
(23933|23998) pub56  93% (15 samples sent)
(23933|23998) pub56  100% (16 samples sent)
(23933|23998) pub56  waiting for acks
(23933|23991) pub53  waiting for acks returned
(23933|23991) pub53<-delete_contained_entities
(23933|23948) pub3  waiting for acks returned
(23933|23948) pub3<-delete_contained_entities
(23933|23992) pub50  waiting for acks returned
(23933|23992) pub50<-delete_contained_entities
(23933|23966) pub6<-match found! before write for 01030000.6d8acf17.00000028.00000102(37acfe7c)
(23933|23966) pub6  12% (2 samples sent)
(23933|23966) pub6  25% (4 samples sent)
(23933|23966) pub6  31% (5 samples sent)
(23933|23966) pub6  43% (7 samples sent)
(23933|23966) pub6  50% (8 samples sent)
(23933|23966) pub6  62% (10 samples sent)
(23933|23966) pub6  75% (12 samples sent)
(23933|23966) pub6  81% (13 samples sent)
(23933|23966) pub6  93% (15 samples sent)
(23933|23966) pub6  100% (16 samples sent)
(23933|23966) pub6  waiting for acks
(23933|23960) pub7<-match found! before write for 01030000.6d8acf17.0000002a.00000102(4d6cad1c)
(23933|23960) pub7  12% (2 samples sent)
(23933|23960) pub7  25% (4 samples sent)
(23933|23964) pub28  writer id: 01030000.6d8acf17.0000002e.00000102(b8ec0bdc)
(23933|23960) pub7  31% (5 samples sent)
(23933|23960) pub7  43% (7 samples sent)
(23933|23960) pub7  50% (8 samples sent)
(23933|23960) pub7  62% (10 samples sent)
(23933|23960) pub7  75% (12 samples sent)
(23933|23960) pub7  81% (13 samples sent)
(23933|23964) pub28->started
(23933|23964) pub28->wait_match() before write for 01030000.6d8acf17.0000002e.00000102(b8ec0bdc)
(23933|23960) pub7  93% (15 samples sent)
(23933|23960) pub7  100% (16 samples sent)
(23933|23960) pub7  waiting for acks
(23933|23974) pub29<-match found! before write for 01030000.6d8acf17.0000002f.00000102(858c226c)
(23933|23974) pub29  12% (2 samples sent)
(23933|23974) pub29  25% (4 samples sent)
(23933|23974) pub29  31% (5 samples sent)
(23933|23974) pub29  43% (7 samples sent)
(23933|23974) pub29  50% (8 samples sent)
(23933|23974) pub29  62% (10 samples sent)
(23933|23974) pub29  75% (12 samples sent)
(23933|23974) pub29  81% (13 samples sent)
(23933|23974) pub29  93% (15 samples sent)
(23933|23974) pub29  100% (16 samples sent)
(23933|23974) pub29  waiting for acks
(23933|23993) pub54  waiting for acks returned
(23933|23993) pub54<-delete_contained_entities
(23933|23953) pub20  writer id: 01030000.6d8acf17.0000002b.00000102(700c84ac)
(23933|23953) pub20->started
(23933|23953) pub20->wait_match() before write for 01030000.6d8acf17.0000002b.00000102(700c84ac)
(23933|23970) pub26  writer id: 01030000.6d8acf17.00000031.00000102(5a5c0b8f)
(23933|23970) pub26->started
(23933|23970) pub26->wait_match() before write for 01030000.6d8acf17.00000031.00000102(5a5c0b8f)
(23933|23949) pub9  writer id: 01030000.6d8acf17.0000002c.00000102(c22c58bc)
(23933|23949) pub9->started
(23933|23949) pub9->wait_match() before write for 01030000.6d8acf17.0000002c.00000102(c22c58bc)
(23933|23962) pub25  writer id: 01030000.6d8acf17.00000034.00000102(92bc84ff)
(23933|23962) pub25->started
(23933|23962) pub25->wait_match() before write for 01030000.6d8acf17.00000034.00000102(92bc84ff)
(23933|23943) pub33  writer id: 01030000.6d8acf17.00000035.00000102(afdcad4f)
(23933|23943) pub33->started
(23933|23943) pub33->wait_match() before write for 01030000.6d8acf17.00000035.00000102(afdcad4f)
(23933|23946) pub34  writer id: 01030000.6d8acf17.00000036.00000102(e87cd79f)
(23933|23946) pub34->started
(23933|23946) pub34->wait_match() before write for 01030000.6d8acf17.00000036.00000102(e87cd79f)
(23933|23941)  sub 50% (512 samples received)
(23933|23971) pub31  writer id: 01030000.6d8acf17.00000037.00000102(d51cfe2f)
(23933|23971) pub31->started
(23933|23971) pub31->wait_match() before write for 01030000.6d8acf17.00000037.00000102(d51cfe2f)
(23933|23973) pub32  writer id: 01030000.6d8acf17.00000038.00000102(574c69fe)
(23933|23973) pub32->started
(23933|23973) pub32->wait_match() before write for 01030000.6d8acf17.00000038.00000102(574c69fe)
(23933|23983) pub38  writer id: 01030000.6d8acf17.00000039.00000102(6a2c404e)
(23933|23983) pub38->started
(23933|23983) pub38->wait_match() before write for 01030000.6d8acf17.00000039.00000102(6a2c404e)
(23933|23985) pub41  writer id: 01030000.6d8acf17.0000003a.00000102(2d8c3a9e)
(23933|23985) pub41->started
(23933|23985) pub41->wait_match() before write for 01030000.6d8acf17.0000003a.00000102(2d8c3a9e)
(23933|23986) pub43  writer id: 01030000.6d8acf17.0000003c.00000102(a2cccf3e)
(23933|23986) pub43->started
(23933|23986) pub43->wait_match() before write for 01030000.6d8acf17.0000003c.00000102(a2cccf3e)
(23933|24004) pub59  writer id: 01030000.6d8acf17.0000003e.00000102(d80c9c5e)
(23933|24004) pub59->started
(23933|24004) pub59->wait_match() before write for 01030000.6d8acf17.0000003e.00000102(d80c9c5e)
(23933|23999) pub39  writer id: 01030000.6d8acf17.0000003d.00000102(9face68e)
(23933|23999) pub39->started
(23933|23999) pub39->wait_match() before write for 01030000.6d8acf17.0000003d.00000102(9face68e)
(23933|24003) pub60  writer id: 01030000.6d8acf17.0000003f.00000102(e56cb5ee)
(23933|24003) pub60->started
(23933|24003) pub60->wait_match() before write for 01030000.6d8acf17.0000003f.00000102(e56cb5ee)
(23933|23997) pub61  writer id: 01030000.6d8acf17.00000040.00000102(9eeec2f0)
(23933|23997) pub61->started
(23933|23997) pub61->wait_match() before write for 01030000.6d8acf17.00000040.00000102(9eeec2f0)
(23933|23996) pub62  writer id: 01030000.6d8acf17.00000041.00000102(a38eeb40)
(23933|23996) pub62->started
(23933|23996) pub62->wait_match() before write for 01030000.6d8acf17.00000041.00000102(a38eeb40)
(23933|24002) pub63  writer id: 01030000.6d8acf17.00000042.00000102(e42e9190)
(23933|24002) pub63->started
(23933|24002) pub63->wait_match() before write for 01030000.6d8acf17.00000042.00000102(e42e9190)
(23933|23957) pub2<-delete_participant
(23933|23959) pub42  writer id: 01030000.6d8acf17.0000003b.00000102(10ec132e)
(23933|23959) pub42->started
(23933|23959) pub42->wait_match() before write for 01030000.6d8acf17.0000003b.00000102(10ec132e)
(23933|23941)  sub 60% (615 samples received)
(23933|24005) pub57<-match found! before write for 01030000.6d8acf17.00000029.00000102(0accd7cc)
(23933|24005) pub57  12% (2 samples sent)
(23933|24005) pub57  25% (4 samples sent)
(23933|24005) pub57  31% (5 samples sent)
(23933|24005) pub57  43% (7 samples sent)
(23933|24005) pub57  50% (8 samples sent)
(23933|24005) pub57  62% (10 samples sent)
(23933|24005) pub57  75% (12 samples sent)
(23933|24005) pub57  81% (13 samples sent)
(23933|24005) pub57  93% (15 samples sent)
(23933|24005) pub57  100% (16 samples sent)
(23933|24005) pub57  waiting for acks
(23933|23952) pub27<-match found! before write for 01030000.6d8acf17.0000002d.00000102(ff4c710c)
(23933|23952) pub27  12% (2 samples sent)
(23933|23952) pub27  25% (4 samples sent)
(23933|23952) pub27  31% (5 samples sent)
(23933|23952) pub27  43% (7 samples sent)
(23933|23952) pub27  50% (8 samples sent)
(23933|23952) pub27  62% (10 samples sent)
(23933|23952) pub27  75% (12 samples sent)
(23933|23952) pub27  81% (13 samples sent)
(23933|23952) pub27  93% (15 samples sent)
(23933|23952) pub27  100% (16 samples sent)
(23933|23952) pub27  waiting for acks
(23933|23954) pub24<-match found! before write for 01030000.6d8acf17.00000032.00000102(1dfc715f)
(23933|23954) pub24  12% (2 samples sent)
(23933|23954) pub24  25% (4 samples sent)
(23933|23954) pub24  31% (5 samples sent)
(23933|23954) pub24  43% (7 samples sent)
(23933|23954) pub24  50% (8 samples sent)
(23933|23954) pub24  62% (10 samples sent)
(23933|23954) pub24  75% (12 samples sent)
(23933|23954) pub24  81% (13 samples sent)
(23933|23954) pub24  93% (15 samples sent)
(23933|23954) pub24  100% (16 samples sent)
(23933|23954) pub24  waiting for acks
(23933|23968) pub23<-match found! before write for 01030000.6d8acf17.00000033.00000102(209c58ef)
(23933|23968) pub23  12% (2 samples sent)
(23933|23968) pub23  25% (4 samples sent)
(23933|23968) pub23  31% (5 samples sent)
(23933|23968) pub23  43% (7 samples sent)
(23933|23968) pub23  50% (8 samples sent)
(23933|23968) pub23  62% (10 samples sent)
(23933|23968) pub23  75% (12 samples sent)
(23933|23968) pub23  81% (13 samples sent)
(23933|23968) pub23  93% (15 samples sent)
(23933|23968) pub23  100% (16 samples sent)
(23933|23968) pub23  waiting for acks
(23933|23958) pub30<-match found! before write for 01030000.6d8acf17.00000030.00000102(673c223f)
(23933|23958) pub30  12% (2 samples sent)
(23933|23958) pub30  25% (4 samples sent)
(23933|23958) pub30  31% (5 samples sent)
(23933|23958) pub30  43% (7 samples sent)
(23933|23958) pub30  50% (8 samples sent)
(23933|23958) pub30  62% (10 samples sent)
(23933|23958) pub30  75% (12 samples sent)
(23933|23958) pub30  81% (13 samples sent)
(23933|23958) pub30  93% (15 samples sent)
(23933|23958) pub30  100% (16 samples sent)
(23933|23958) pub30  waiting for acks
(23933|23964) pub28<-match found! before write for 01030000.6d8acf17.0000002e.00000102(b8ec0bdc)
(23933|23964) pub28  12% (2 samples sent)
(23933|23964) pub28  25% (4 samples sent)
(23933|23964) pub28  31% (5 samples sent)
(23933|23964) pub28  43% (7 samples sent)
(23933|23964) pub28  50% (8 samples sent)
(23933|23964) pub28  62% (10 samples sent)
(23933|23964) pub28  75% (12 samples sent)
(23933|23964) pub28  81% (13 samples sent)
(23933|23964) pub28  93% (15 samples sent)
(23933|23964) pub28  100% (16 samples sent)
(23933|23964) pub28  waiting for acks
(23933|23977) pub15  waiting for acks returned
(23933|23977) pub15<-delete_contained_entities
(23933|23995) pub55  waiting for acks returned
(23933|23995) pub55<-delete_contained_entities
(23933|23989) pub36  waiting for acks returned
(23933|23989) pub36<-delete_contained_entities
(23933|23953) pub20<-match found! before write for 01030000.6d8acf17.0000002b.00000102(700c84ac)
(23933|23953) pub20  12% (2 samples sent)
(23933|23953) pub20  25% (4 samples sent)
(23933|23953) pub20  31% (5 samples sent)
(23933|23953) pub20  43% (7 samples sent)
(23933|23953) pub20  50% (8 samples sent)
(23933|23953) pub20  62% (10 samples sent)
(23933|23953) pub20  75% (12 samples sent)
(23933|23953) pub20  81% (13 samples sent)
(23933|23953) pub20  93% (15 samples sent)
(23933|23953) pub20  100% (16 samples sent)
(23933|23953) pub20  waiting for acks
(23933|23970) pub26<-match found! before write for 01030000.6d8acf17.00000031.00000102(5a5c0b8f)
(23933|23970) pub26  12% (2 samples sent)
(23933|23970) pub26  25% (4 samples sent)
(23933|23970) pub26  31% (5 samples sent)
(23933|23970) pub26  43% (7 samples sent)
(23933|23970) pub26  50% (8 samples sent)
(23933|23970) pub26  62% (10 samples sent)
(23933|23970) pub26  75% (12 samples sent)
(23933|23970) pub26  81% (13 samples sent)
(23933|23970) pub26  93% (15 samples sent)
(23933|23970) pub26  100% (16 samples sent)
(23933|23970) pub26  waiting for acks
(23933|23949) pub9<-match found! before write for 01030000.6d8acf17.0000002c.00000102(c22c58bc)
(23933|23949) pub9  12% (2 samples sent)
(23933|23949) pub9  25% (4 samples sent)
(23933|23949) pub9  31% (5 samples sent)
(23933|23949) pub9  43% (7 samples sent)
(23933|23949) pub9  50% (8 samples sent)
(23933|23949) pub9  62% (10 samples sent)
(23933|23949) pub9  75% (12 samples sent)
(23933|23949) pub9  81% (13 samples sent)
(23933|23949) pub9  93% (15 samples sent)
(23933|23949) pub9  100% (16 samples sent)
(23933|23949) pub9  waiting for acks
(23933|24000) pub46  waiting for acks returned
(23933|24000) pub46<-delete_contained_entities
(23933|23962) pub25<-match found! before write for 01030000.6d8acf17.00000034.00000102(92bc84ff)
(23933|23962) pub25  12% (2 samples sent)
(23933|23962) pub25  25% (4 samples sent)
(23933|23962) pub25  31% (5 samples sent)
(23933|23962) pub25  43% (7 samples sent)
(23933|23962) pub25  50% (8 samples sent)
(23933|23962) pub25  62% (10 samples sent)
(23933|23962) pub25  75% (12 samples sent)
(23933|23962) pub25  81% (13 samples sent)
(23933|23962) pub25  93% (15 samples sent)
(23933|23962) pub25  100% (16 samples sent)
(23933|23962) pub25  waiting for acks
(23933|23943) pub33<-match found! before write for 01030000.6d8acf17.00000035.00000102(afdcad4f)
(23933|23943) pub33  12% (2 samples sent)
(23933|23943) pub33  25% (4 samples sent)
(23933|23943) pub33  31% (5 samples sent)
(23933|23943) pub33  43% (7 samples sent)
(23933|23943) pub33  50% (8 samples sent)
(23933|23943) pub33  62% (10 samples sent)
(23933|23943) pub33  75% (12 samples sent)
(23933|23943) pub33  81% (13 samples sent)
(23933|23943) pub33  93% (15 samples sent)
(23933|23943) pub33  100% (16 samples sent)
(23933|23943) pub33  waiting for acks
(23933|23946) pub34<-match found! before write for 01030000.6d8acf17.00000036.00000102(e87cd79f)
(23933|23946) pub34  12% (2 samples sent)
(23933|23946) pub34  25% (4 samples sent)
(23933|23946) pub34  31% (5 samples sent)
(23933|23946) pub34  43% (7 samples sent)
(23933|23946) pub34  50% (8 samples sent)
(23933|23946) pub34  62% (10 samples sent)
(23933|23946) pub34  75% (12 samples sent)
(23933|23946) pub34  81% (13 samples sent)
(23933|23946) pub34  93% (15 samples sent)
(23933|23946) pub34  100% (16 samples sent)
(23933|23946) pub34  waiting for acks
(23933|23988) pub49  waiting for acks returned
(23933|23988) pub49<-delete_contained_entities
(23933|23979) pub58  waiting for acks returned
(23933|23979) pub58<-delete_contained_entities
(23933|23971) pub31<-match found! before write for 01030000.6d8acf17.00000037.00000102(d51cfe2f)
(23933|23971) pub31  12% (2 samples sent)
(23933|23971) pub31  25% (4 samples sent)
(23933|23971) pub31  31% (5 samples sent)
(23933|23971) pub31  43% (7 samples sent)
(23933|23971) pub31  50% (8 samples sent)
(23933|23971) pub31  62% (10 samples sent)
(23933|23971) pub31  75% (12 samples sent)
(23933|23971) pub31  81% (13 samples sent)
(23933|23971) pub31  93% (15 samples sent)
(23933|23971) pub31  100% (16 samples sent)
(23933|23971) pub31  waiting for acks
(23933|23973) pub32<-match found! before write for 01030000.6d8acf17.00000038.00000102(574c69fe)
(23933|23973) pub32  12% (2 samples sent)
(23933|23973) pub32  25% (4 samples sent)
(23933|23973) pub32  31% (5 samples sent)
(23933|23973) pub32  43% (7 samples sent)
(23933|23973) pub32  50% (8 samples sent)
(23933|23973) pub32  62% (10 samples sent)
(23933|23973) pub32  75% (12 samples sent)
(23933|23973) pub32  81% (13 samples sent)
(23933|23973) pub32  93% (15 samples sent)
(23933|23973) pub32  100% (16 samples sent)
(23933|23973) pub32  waiting for acks
(23933|23983) pub38<-match found! before write for 01030000.6d8acf17.00000039.00000102(6a2c404e)
(23933|23983) pub38  12% (2 samples sent)
(23933|23983) pub38  25% (4 samples sent)
(23933|23983) pub38  31% (5 samples sent)
(23933|23983) pub38  43% (7 samples sent)
(23933|23983) pub38  50% (8 samples sent)
(23933|23983) pub38  62% (10 samples sent)
(23933|23983) pub38  75% (12 samples sent)
(23933|23983) pub38  81% (13 samples sent)
(23933|23983) pub38  93% (15 samples sent)
(23933|23983) pub38  100% (16 samples sent)
(23933|23983) pub38  waiting for acks
(23933|23987) pub47  waiting for acks returned
(23933|23987) pub47<-delete_contained_entities
(23933|23972) pub10  waiting for acks returned
(23933|23972) pub10<-delete_contained_entities
(23933|23985) pub41<-match found! before write for 01030000.6d8acf17.0000003a.00000102(2d8c3a9e)
(23933|23985) pub41  12% (2 samples sent)
(23933|23985) pub41  25% (4 samples sent)
(23933|23985) pub41  31% (5 samples sent)
(23933|23985) pub41  43% (7 samples sent)
(23933|23985) pub41  50% (8 samples sent)
(23933|23985) pub41  62% (10 samples sent)
(23933|23985) pub41  75% (12 samples sent)
(23933|23985) pub41  81% (13 samples sent)
(23933|23985) pub41  93% (15 samples sent)
(23933|23985) pub41  100% (16 samples sent)
(23933|23985) pub41  waiting for acks
(23933|23986) pub43<-match found! before write for 01030000.6d8acf17.0000003c.00000102(a2cccf3e)
(23933|23986) pub43  12% (2 samples sent)
(23933|23986) pub43  25% (4 samples sent)
(23933|23986) pub43  31% (5 samples sent)
(23933|23986) pub43  43% (7 samples sent)
(23933|23986) pub43  50% (8 samples sent)
(23933|23986) pub43  62% (10 samples sent)
(23933|23986) pub43  75% (12 samples sent)
(23933|23986) pub43  81% (13 samples sent)
(23933|23986) pub43  93% (15 samples sent)
(23933|23986) pub43  100% (16 samples sent)
(23933|23986) pub43  waiting for acks
(23933|23978) pub22  waiting for acks returned
(23933|23978) pub22<-delete_contained_entities
(23933|24004) pub59<-match found! before write for 01030000.6d8acf17.0000003e.00000102(d80c9c5e)
(23933|24004) pub59  12% (2 samples sent)
(23933|24004) pub59  25% (4 samples sent)
(23933|24004) pub59  31% (5 samples sent)
(23933|24004) pub59  43% (7 samples sent)
(23933|24004) pub59  50% (8 samples sent)
(23933|24004) pub59  62% (10 samples sent)
(23933|24004) pub59  75% (12 samples sent)
(23933|24004) pub59  81% (13 samples sent)
(23933|24004) pub59  93% (15 samples sent)
(23933|24004) pub59  100% (16 samples sent)
(23933|24004) pub59  waiting for acks
(23933|23942) pub0<-delete_participant
(23933|23999) pub39<-match found! before write for 01030000.6d8acf17.0000003d.00000102(9face68e)
(23933|23999) pub39  12% (2 samples sent)
(23933|23999) pub39  25% (4 samples sent)
(23933|23999) pub39  31% (5 samples sent)
(23933|23999) pub39  43% (7 samples sent)
(23933|23999) pub39  50% (8 samples sent)
(23933|23999) pub39  62% (10 samples sent)
(23933|23999) pub39  75% (12 samples sent)
(23933|23999) pub39  81% (13 samples sent)
(23933|23999) pub39  93% (15 samples sent)
(23933|23999) pub39  100% (16 samples sent)
(23933|23999) pub39  waiting for acks
(23933|24003) pub60<-match found! before write for 01030000.6d8acf17.0000003f.00000102(e56cb5ee)
(23933|24003) pub60  12% (2 samples sent)
(23933|24003) pub60  25% (4 samples sent)
(23933|24003) pub60  31% (5 samples sent)
(23933|24003) pub60  43% (7 samples sent)
(23933|24003) pub60  50% (8 samples sent)
(23933|24003) pub60  62% (10 samples sent)
(23933|24003) pub60  75% (12 samples sent)
(23933|24003) pub60  81% (13 samples sent)
(23933|24003) pub60  93% (15 samples sent)
(23933|24003) pub60  100% (16 samples sent)
(23933|24003) pub60  waiting for acks
(23933|23997) pub61<-match found! before write for 01030000.6d8acf17.00000040.00000102(9eeec2f0)
(23933|23997) pub61  12% (2 samples sent)
(23933|23997) pub61  25% (4 samples sent)
(23933|23997) pub61  31% (5 samples sent)
(23933|23997) pub61  43% (7 samples sent)
(23933|23997) pub61  50% (8 samples sent)
(23933|23997) pub61  62% (10 samples sent)
(23933|23997) pub61  75% (12 samples sent)
(23933|23997) pub61  81% (13 samples sent)
(23933|23997) pub61  93% (15 samples sent)
(23933|23997) pub61  100% (16 samples sent)
(23933|23997) pub61  waiting for acks
(23933|23996) pub62<-match found! before write for 01030000.6d8acf17.00000041.00000102(a38eeb40)
(23933|23996) pub62  12% (2 samples sent)
(23933|23996) pub62  25% (4 samples sent)
(23933|23996) pub62  31% (5 samples sent)
(23933|23996) pub62  43% (7 samples sent)
(23933|23996) pub62  50% (8 samples sent)
(23933|23996) pub62  62% (10 samples sent)
(23933|23996) pub62  75% (12 samples sent)
(23933|23996) pub62  81% (13 samples sent)
(23933|23996) pub62  93% (15 samples sent)
(23933|23996) pub62  100% (16 samples sent)
(23933|23996) pub62  waiting for acks
(23933|24002) pub63<-match found! before write for 01030000.6d8acf17.00000042.00000102(e42e9190)
(23933|24002) pub63  12% (2 samples sent)
(23933|24002) pub63  25% (4 samples sent)
(23933|24002) pub63  31% (5 samples sent)
(23933|24002) pub63  43% (7 samples sent)
(23933|24002) pub63  50% (8 samples sent)
(23933|24002) pub63  62% (10 samples sent)
(23933|24002) pub63  75% (12 samples sent)
(23933|24002) pub63  81% (13 samples sent)
(23933|24002) pub63  93% (15 samples sent)
(23933|24002) pub63  100% (16 samples sent)
(23933|24002) pub63  waiting for acks
(23933|23969) pub17  waiting for acks returned
(23933|23969) pub17<-delete_contained_entities
(23933|23998) pub56  waiting for acks returned
(23933|23998) pub56<-delete_contained_entities
(23933|23966) pub6  waiting for acks returned
(23933|23966) pub6<-delete_contained_entities
(23933|23959) pub42<-match found! before write for 01030000.6d8acf17.0000003b.00000102(10ec132e)
(23933|23959) pub42  12% (2 samples sent)
(23933|23959) pub42  25% (4 samples sent)
(23933|23959) pub42  31% (5 samples sent)
(23933|23959) pub42  43% (7 samples sent)
(23933|23959) pub42  50% (8 samples sent)
(23933|23959) pub42  62% (10 samples sent)
(23933|23959) pub42  75% (12 samples sent)
(23933|23959) pub42  81% (13 samples sent)
(23933|23959) pub42  93% (15 samples sent)
(23933|23959) pub42  100% (16 samples sent)
(23933|23959) pub42  waiting for acks
(23933|23960) pub7  waiting for acks returned
(23933|23960) pub7<-delete_contained_entities
(23933|23951) pub13<-delete_participant
(23933|23941)  sub 70% (717 samples received)
(23933|23982) pub44<-delete_participant
(23933|23941)  sub 80% (820 samples received)
(23933|23945) pub37<-delete_participant
(23933|23974) pub29  waiting for acks returned
(23933|23974) pub29<-delete_contained_entities
(23933|24005) pub57  waiting for acks returned
(23933|24005) pub57<-delete_contained_entities
(23933|23952) pub27  waiting for acks returned
(23933|23952) pub27<-delete_contained_entities
(23933|23954) pub24  waiting for acks returned
(23933|23954) pub24<-delete_contained_entities
(23933|23968) pub23  waiting for acks returned
(23933|23968) pub23<-delete_contained_entities
(23933|23958) pub30  waiting for acks returned
(23933|23958) pub30<-delete_contained_entities
(23933|23964) pub28  waiting for acks returned
(23933|23964) pub28<-delete_contained_entities
(23933|23953) pub20  waiting for acks returned
(23933|23953) pub20<-delete_contained_entities
(23933|23970) pub26  waiting for acks returned
(23933|23970) pub26<-delete_contained_entities
(23933|23949) pub9  waiting for acks returned
(23933|23949) pub9<-delete_contained_entities
(23933|23962) pub25  waiting for acks returned
(23933|23962) pub25<-delete_contained_entities
(23933|23943) pub33  waiting for acks returned
(23933|23943) pub33<-delete_contained_entities
(23933|23946) pub34  waiting for acks returned
(23933|23946) pub34<-delete_contained_entities
(23933|23971) pub31  waiting for acks returned
(23933|23971) pub31<-delete_contained_entities
(23933|23973) pub32  waiting for acks returned
(23933|23973) pub32<-delete_contained_entities
(23933|23983) pub38  waiting for acks returned
(23933|23983) pub38<-delete_contained_entities
(23933|23985) pub41  waiting for acks returned
(23933|23985) pub41<-delete_contained_entities
(23933|23961) pub14<-delete_participant
(23933|23941)  sub 90% (922 samples received)
(23933|23980) pub16<-delete_participant
(23933|23941) sub condition_.notify_all
(23933|23933) sub condition_.wait returned
(23933|23933) sub check_received
(23933|23933) sub check_received returns 0
(23933|23933) <- PublisherService::end
(23933|23941) sub condition_.notify_all
(23933|23941) sub condition_.notify_all
(23933|23941) sub condition_.notify_all
(23933|23941) sub condition_.notify_all
(23933|23941) sub condition_.notify_all
(23933|23941) sub condition_.notify_all
(23933|23941) sub condition_.notify_all
(23933|23941) sub condition_.notify_all
(23933|23941) sub condition_.notify_all
(23933|23941) sub condition_.notify_all
(23933|23941) sub condition_.notify_all
(23933|23941) sub condition_.notify_all
(23933|23941) sub condition_.notify_all
(23933|23941) sub condition_.notify_all
(23933|23941)  sub 100% (1024 samples received)
(23933|23941) sub condition_.notify_all
(23933|23986) pub43  waiting for acks returned
(23933|23986) pub43<-delete_contained_entities
(23933|24004) pub59  waiting for acks returned
(23933|24004) pub59<-delete_contained_entities
(23933|23999) pub39  waiting for acks returned
(23933|23999) pub39<-delete_contained_entities
(23933|24003) pub60  waiting for acks returned
(23933|24003) pub60<-delete_contained_entities
(23933|23997) pub61  waiting for acks returned
(23933|23997) pub61<-delete_contained_entities
(23933|23996) pub62  waiting for acks returned
(23933|23996) pub62<-delete_contained_entities
(23933|24002) pub63  waiting for acks returned
(23933|24002) pub63<-delete_contained_entities
(23933|23959) pub42  waiting for acks returned
(23933|23959) pub42<-delete_contained_entities
(23933|23984) pub45<-delete_participant
(23933|23947) pub4<-delete_participant
(23933|23975) pub1<-delete_participant
(23933|23976) pub12<-delete_participant
(23933|23965) pub48<-delete_participant
(23933|23955) pub19<-delete_participant
(23933|23967) pub8<-delete_participant
(23933|23981) pub18<-delete_participant
(23933|24001) pub40<-delete_participant
(23933|23963) pub21<-delete_participant
(23933|23990) pub52<-delete_participant
(23933|23994) pub51<-delete_participant
(23933|23956) pub11<-delete_participant
(23933|23944) pub35<-delete_participant
(23933|23950) pub5<-delete_participant
(23933|23991) pub53<-delete_participant
(23933|23948) pub3<-delete_participant
(23933|23992) pub50<-delete_participant
(23933|23993) pub54<-delete_participant
(23933|23977) pub15<-delete_participant
(23933|23995) pub55<-delete_participant
(23933|23989) pub36<-delete_participant
(23933|24000) pub46<-delete_participant
(23933|23988) pub49<-delete_participant
(23933|23979) pub58<-delete_participant
(23933|23987) pub47<-delete_participant
(23933|23972) pub10<-delete_participant
(23933|23978) pub22<-delete_participant
(23933|23969) pub17<-delete_participant
(23933|23998) pub56<-delete_participant
(23933|23966) pub6<-delete_participant
(23933|23960) pub7<-delete_participant
(23933|23974) pub29<-delete_participant
(23933|24005) pub57<-delete_participant
(23933|23952) pub27<-delete_participant
(23933|23954) pub24<-delete_participant
(23933|23968) pub23<-delete_participant
(23933|23958) pub30<-delete_participant
(23933|23964) pub28<-delete_participant
(23933|23953) pub20<-delete_participant
(23933|23970) pub26<-delete_participant
(23933|23949) pub9<-delete_participant
(23933|23962) pub25<-delete_participant
(23933|23943) pub33<-delete_participant
(23933|23946) pub34<-delete_participant
(23933|23971) pub31<-delete_participant
(23933|23973) pub32<-delete_participant
(23933|23983) pub38<-delete_participant
(23933|23985) pub41<-delete_participant
(23933|23986) pub43<-delete_participant
(23933|24004) pub59<-delete_participant
(23933|23999) pub39<-delete_participant
(23933|24003) pub60<-delete_participant
(23933|23997) pub61<-delete_participant
(23933|23996) pub62<-delete_participant
(23933|24002) pub63<-delete_participant
(23933|23959) pub42<-delete_participant
(23933|23933) <- PublisherService::~PublisherService
(23933|23933) <- Subscriber delete_contained_entities
(23933|23933) <- Subscriber delete_participant
(23933|23939) WARNING: TransportReceiveStrategy::~TransportReceiveStrategy() - terminating with 2 unprocessed bytes.
(23933|23933) <- Subscriber::~Subscriber
(23933|23933) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl aggressive Time:4s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl single rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1 -n 1 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 24007 started at 2023-04-28 22:43:05
(24007|24007) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(24007|24007) -> Thrasher started
(24007|24007) -> Subscriber::Subscriber
(24007|24007)    Subscriber reader id: 0103000c.297a35f2.5dc7c63a.00000007(1306cf07)
(24007|24007) -> PublisherService::PublisherService
(24007|24007) -> PublisherService::start (1 threads)
(24007|24007) sub wait_received 0:1
(24007|24013) pub0->transport cfg_0
(24007|24013) pub0  writer id: 0103000c.297a35f2.5dc7c63b.00000002(5e0c1238)
(24007|24013) pub0->started
(24007|24013) pub0->wait_match() before write for 0103000c.297a35f2.5dc7c63b.00000002(5e0c1238)
(24007|24013) pub0<-match found! before write for 0103000c.297a35f2.5dc7c63b.00000002(5e0c1238)
(24007|24013) pub0  100% (1 samples sent)
(24007|24013) pub0  waiting for acks
(24007|24012)  sub 100% (1 samples received)
(24007|24012) sub condition_.notify_all
(24007|24007) sub condition_.wait returned
(24007|24007) sub check_received
(24007|24007) sub check_received returns 0
(24007|24007) <- PublisherService::end
(24007|24013) pub0  waiting for acks returned
(24007|24013) pub0<-delete_contained_entities
(24007|24013) pub0<-delete_participant
(24007|24007) <- PublisherService::~PublisherService
(24007|24007) <- Subscriber delete_contained_entities
(24007|24007) <- Subscriber delete_participant
(24007|24007) <- Subscriber::~Subscriber
(24007|24007) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl single rtps Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl double rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 2 -s 1 -n 2 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 24019 started at 2023-04-28 22:43:06
(24019|24019) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(24019|24019) -> Thrasher started
(24019|24019) -> Subscriber::Subscriber
(24019|24019)    Subscriber reader id: 0103000c.297a35f2.5dd3d21c.00000007(5651edf3)
(24019|24019) -> PublisherService::PublisherService
(24019|24019) -> PublisherService::start (2 threads)
(24019|24019) sub wait_received 0:2
(24019|24027) pub1->transport cfg_1
(24019|24026) pub0->transport cfg_0
(24019|24026) pub0  writer id: 0103000c.297a35f2.5dd3d21d.00000002(1b5b30cc)
(24019|24026) pub0->started
(24019|24026) pub0->wait_match() before write for 0103000c.297a35f2.5dd3d21d.00000002(1b5b30cc)
(24019|24027) pub1  writer id: 0103000c.297a35f2.5dd3d21e.00000002(5cfb4a1c)
(24019|24027) pub1->started
(24019|24027) pub1->wait_match() before write for 0103000c.297a35f2.5dd3d21e.00000002(5cfb4a1c)
(24019|24027) pub1<-match found! before write for 0103000c.297a35f2.5dd3d21e.00000002(5cfb4a1c)
(24019|24026) pub0<-match found! before write for 0103000c.297a35f2.5dd3d21d.00000002(1b5b30cc)
(24019|24027) pub1  100% (1 samples sent)
(24019|24027) pub1  waiting for acks
(24019|24026) pub0  100% (1 samples sent)
(24019|24026) pub0  waiting for acks
(24019|24025)  sub 50% (1 samples received)
(24019|24027) pub1  waiting for acks returned
(24019|24027) pub1<-delete_contained_entities
(24019|24027) pub1<-delete_participant
(24019|24025)  sub 100% (2 samples received)
(24019|24025) sub condition_.notify_all
(24019|24019) sub condition_.wait returned
(24019|24019) sub check_received
(24019|24019) sub check_received returns 0
(24019|24019) <- PublisherService::end
(24019|24026) pub0  waiting for acks returned
(24019|24026) pub0<-delete_contained_entities
(24019|24026) pub0<-delete_participant
(24019|24019) <- PublisherService::~PublisherService
(24019|24019) <- Subscriber delete_contained_entities
(24019|24019) <- Subscriber delete_participant
(24019|24019) <- Subscriber::~Subscriber
(24019|24019) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl double rtps Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl triangle rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 3 -s 3 -n 9 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 24037 started at 2023-04-28 22:43:07
(24037|24037) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(24037|24037) -> Thrasher started
(24037|24037) -> Subscriber::Subscriber
(24037|24037)    Subscriber reader id: 0103000c.297a35f2.5de5806d.00000007(18161d18)
(24037|24037) -> PublisherService::PublisherService
(24037|24037) -> PublisherService::start (3 threads)
(24037|24037) sub wait_received 0:3
(24037|24043) pub0->transport cfg_0
(24037|24044) pub1->transport cfg_1
(24037|24044) pub1  writer id: 0103000c.297a35f2.5de5806f.00000002(12bcbaf7)
(24037|24044) pub1->started
(24037|24044) pub1->wait_match() before write for 0103000c.297a35f2.5de5806f.00000002(12bcbaf7)
(24037|24043) pub0  writer id: 0103000c.297a35f2.5de5806e.00000002(2fdc9347)
(24037|24043) pub0->started
(24037|24043) pub0->wait_match() before write for 0103000c.297a35f2.5de5806e.00000002(2fdc9347)
(24037|24045) pub2->transport cfg_2
(24037|24045) pub2  writer id: 0103000c.297a35f2.5de58070.00000002(f00cbaa4)
(24037|24045) pub2->started
(24037|24045) pub2->wait_match() before write for 0103000c.297a35f2.5de58070.00000002(f00cbaa4)
(24037|24043) pub0<-match found! before write for 0103000c.297a35f2.5de5806e.00000002(2fdc9347)
(24037|24044) pub1<-match found! before write for 0103000c.297a35f2.5de5806f.00000002(12bcbaf7)
(24037|24044) pub1  33% (1 samples sent)
(24037|24043) pub0  33% (1 samples sent)
(24037|24044) pub1  66% (2 samples sent)
(24037|24043) pub0  66% (2 samples sent)
(24037|24044) pub1  100% (3 samples sent)
(24037|24043) pub0  100% (3 samples sent)
(24037|24044) pub1  waiting for acks
(24037|24043) pub0  waiting for acks
(24037|24045) pub2<-match found! before write for 0103000c.297a35f2.5de58070.00000002(f00cbaa4)
(24037|24045) pub2  33% (1 samples sent)
(24037|24045) pub2  66% (2 samples sent)
(24037|24045) pub2  100% (3 samples sent)
(24037|24045) pub2  waiting for acks
(24037|24042)  sub 11% (1 samples received)
(24037|24042)  sub 22% (2 samples received)
(24037|24042)  sub 33% (3 samples received)
(24037|24042)  sub 44% (4 samples received)
(24037|24042)  sub 55% (5 samples received)
(24037|24042)  sub 66% (6 samples received)
(24037|24045) pub2  waiting for acks returned
(24037|24045) pub2<-delete_contained_entities
(24037|24044) pub1  waiting for acks returned
(24037|24044) pub1<-delete_contained_entities
(24037|24045) pub2<-delete_participant
(24037|24044) pub1<-delete_participant
(24037|24042)  sub 77% (7 samples received)
(24037|24042) sub condition_.notify_all
(24037|24037) sub condition_.wait returned
(24037|24037) sub check_received
(24037|24037) sub check_received returns 0
(24037|24037) <- PublisherService::end
(24037|24042)  sub 88% (8 samples received)
(24037|24042) sub condition_.notify_all
(24037|24042)  sub 100% (9 samples received)
(24037|24042) sub condition_.notify_all
(24037|24043) pub0  waiting for acks returned
(24037|24043) pub0<-delete_contained_entities
(24037|24043) pub0<-delete_participant
(24037|24037) <- PublisherService::~PublisherService
(24037|24037) <- Subscriber delete_contained_entities
(24037|24037) <- Subscriber delete_participant
(24037|24037) <- Subscriber::~Subscriber
(24037|24037) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl triangle rtps Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl default rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1024 -n 1024 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 24059 started at 2023-04-28 22:43:08
(24059|24059) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(24059|24059) -> Thrasher started
(24059|24059) -> Subscriber::Subscriber
(24059|24059)    Subscriber reader id: 0103000c.297a35f2.5dfb69ee.00000007(e8fa7a83)
(24059|24059) -> PublisherService::PublisherService
(24059|24059) -> PublisherService::start (1 threads)
(24059|24059) sub wait_received 0:1
(24059|24065) pub0->transport cfg_0
(24059|24065) pub0  writer id: 0103000c.297a35f2.5dfb69ef.00000002(a5f0a7bc)
(24059|24065) pub0->started
(24059|24065) pub0->wait_match() before write for 0103000c.297a35f2.5dfb69ef.00000002(a5f0a7bc)
(24059|24065) pub0<-match found! before write for 0103000c.297a35f2.5dfb69ef.00000002(a5f0a7bc)
(24059|24064) sub condition_.notify_all
(24059|24059) sub condition_.wait returned
(24059|24059) sub check_received
(24059|24059) sub check_received returns 0
(24059|24059) <- PublisherService::end
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24065) pub0  10% (103 samples sent)
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24065) pub0  20% (205 samples sent)
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064)  sub 10% (103 samples received)
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24065) pub0  30% (308 samples sent)
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24065) pub0  40% (410 samples sent)
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064)  sub 20% (205 samples received)
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24065) pub0  50% (512 samples sent)
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064)  sub 30% (308 samples received)
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24065) pub0  60% (615 samples sent)
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24065) pub0  70% (717 samples sent)
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064)  sub 40% (410 samples received)
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24065) pub0  80% (820 samples sent)
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24065) pub0  90% (922 samples sent)
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064)  sub 50% (512 samples received)
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24065) pub0  100% (1024 samples sent)
(24059|24065) pub0  waiting for acks
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064)  sub 60% (615 samples received)
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064)  sub 70% (717 samples received)
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064)  sub 80% (820 samples received)
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064)  sub 90% (922 samples received)
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064) sub condition_.notify_all
(24059|24064)  sub 100% (1024 samples received)
(24059|24064) sub condition_.notify_all
(24059|24065) pub0  waiting for acks returned
(24059|24065) pub0<-delete_contained_entities
(24059|24065) pub0<-delete_participant
(24059|24059) <- PublisherService::~PublisherService
(24059|24059) <- Subscriber delete_contained_entities
(24059|24059) <- Subscriber delete_participant
(24059|24059) <- Subscriber::~Subscriber
(24059|24059) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl default rtps Time:2s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl low rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 8 -s 128 -n 1024 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 24072 started at 2023-04-28 22:43:10
(24072|24072) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(24072|24072) -> Thrasher started
(24072|24072) -> Subscriber::Subscriber
(24072|24072)    Subscriber reader id: 0103000c.297a35f2.5e08bfb6.00000007(ffe7261c)
(24072|24072) -> PublisherService::PublisherService
(24072|24072) -> PublisherService::start (8 threads)
(24072|24072) sub wait_received 0:8
(24072|24080) pub1->transport cfg_1
(24072|24078) pub0->transport cfg_0
(24072|24081) pub4->transport cfg_4
(24072|24084) pub6->transport cfg_6
(24072|24083) pub5->transport cfg_5
(24072|24082) pub3->transport cfg_3
(24072|24079) pub2->transport cfg_2
(24072|24085) pub7->transport cfg_7
(24072|24085) pub7  writer id: 0103000c.297a35f2.5e08bfbe.00000002(bffd9952)
(24072|24085) pub7->started
(24072|24085) pub7->wait_match() before write for 0103000c.297a35f2.5e08bfbe.00000002(bffd9952)
(24072|24081) pub4  writer id: 0103000c.297a35f2.5e08bfbb.00000002(771d1622)
(24072|24081) pub4->started
(24072|24081) pub4->wait_match() before write for 0103000c.297a35f2.5e08bfbb.00000002(771d1622)
(24072|24078) pub0  writer id: 0103000c.297a35f2.5e08bfb7.00000002(b2edfb23)
(24072|24078) pub0->started
(24072|24078) pub0->wait_match() before write for 0103000c.297a35f2.5e08bfb7.00000002(b2edfb23)
(24072|24082) pub3  writer id: 0103000c.297a35f2.5e08bfba.00000002(4a7d3f92)
(24072|24082) pub3->started
(24072|24082) pub3->wait_match() before write for 0103000c.297a35f2.5e08bfba.00000002(4a7d3f92)
(24072|24080) pub1  writer id: 0103000c.297a35f2.5e08bfb8.00000002(30bd6cf2)
(24072|24080) pub1->started
(24072|24080) pub1->wait_match() before write for 0103000c.297a35f2.5e08bfb8.00000002(30bd6cf2)
(24072|24079) pub2  writer id: 0103000c.297a35f2.5e08bfb9.00000002(0ddd4542)
(24072|24079) pub2->started
(24072|24079) pub2->wait_match() before write for 0103000c.297a35f2.5e08bfb9.00000002(0ddd4542)
(24072|24083) pub5  writer id: 0103000c.297a35f2.5e08bfbc.00000002(c53dca32)
(24072|24083) pub5->started
(24072|24083) pub5->wait_match() before write for 0103000c.297a35f2.5e08bfbc.00000002(c53dca32)
(24072|24084) pub6  writer id: 0103000c.297a35f2.5e08bfbd.00000002(f85de382)
(24072|24084) pub6->started
(24072|24084) pub6->wait_match() before write for 0103000c.297a35f2.5e08bfbd.00000002(f85de382)
(24072|24080) pub1<-match found! before write for 0103000c.297a35f2.5e08bfb8.00000002(30bd6cf2)
(24072|24080) pub1  10% (13 samples sent)
(24072|24083) pub5<-match found! before write for 0103000c.297a35f2.5e08bfbc.00000002(c53dca32)
(24072|24079) pub2<-match found! before write for 0103000c.297a35f2.5e08bfb9.00000002(0ddd4542)
(24072|24078) pub0<-match found! before write for 0103000c.297a35f2.5e08bfb7.00000002(b2edfb23)
(24072|24082) pub3<-match found! before write for 0103000c.297a35f2.5e08bfba.00000002(4a7d3f92)
(24072|24082) pub3  10% (13 samples sent)
(24072|24078) pub0  10% (13 samples sent)
(24072|24084) pub6<-match found! before write for 0103000c.297a35f2.5e08bfbd.00000002(f85de382)
(24072|24083) pub5  10% (13 samples sent)
(24072|24079) pub2  10% (13 samples sent)
(24072|24080) pub1  20% (26 samples sent)
(24072|24084) pub6  10% (13 samples sent)
(24072|24078) pub0  20% (26 samples sent)
(24072|24082) pub3  20% (26 samples sent)
(24072|24083) pub5  20% (26 samples sent)
(24072|24079) pub2  20% (26 samples sent)
(24072|24084) pub6  20% (26 samples sent)
(24072|24085) pub7<-match found! before write for 0103000c.297a35f2.5e08bfbe.00000002(bffd9952)
(24072|24081) pub4<-match found! before write for 0103000c.297a35f2.5e08bfbb.00000002(771d1622)
(24072|24081) pub4  10% (13 samples sent)
(24072|24085) pub7  10% (13 samples sent)
(24072|24081) pub4  20% (26 samples sent)
(24072|24080) pub1  30% (39 samples sent)
(24072|24085) pub7  20% (26 samples sent)
(24072|24080) pub1  40% (52 samples sent)
(24072|24084) pub6  30% (39 samples sent)
(24072|24078) pub0  30% (39 samples sent)
(24072|24079) pub2  30% (39 samples sent)
(24072|24083) pub5  30% (39 samples sent)
(24072|24081) pub4  30% (39 samples sent)
(24072|24082) pub3  30% (39 samples sent)
(24072|24080) pub1  50% (64 samples sent)
(24072|24084) pub6  40% (52 samples sent)
(24072|24085) pub7  30% (39 samples sent)
(24072|24079) pub2  40% (52 samples sent)
(24072|24078) pub0  40% (52 samples sent)
(24072|24083) pub5  40% (52 samples sent)
(24072|24081) pub4  40% (52 samples sent)
(24072|24082) pub3  40% (52 samples sent)
(24072|24084) pub6  50% (64 samples sent)
(24072|24080) pub1  60% (77 samples sent)
(24072|24079) pub2  50% (64 samples sent)
(24072|24085) pub7  40% (52 samples sent)
(24072|24078) pub0  50% (64 samples sent)
(24072|24083) pub5  50% (64 samples sent)
(24072|24081) pub4  50% (64 samples sent)
(24072|24082) pub3  50% (64 samples sent)
(24072|24084) pub6  60% (77 samples sent)
(24072|24080) pub1  70% (90 samples sent)
(24072|24079) pub2  60% (77 samples sent)
(24072|24085) pub7  50% (64 samples sent)
(24072|24081) pub4  60% (77 samples sent)
(24072|24078) pub0  60% (77 samples sent)
(24072|24082) pub3  60% (77 samples sent)
(24072|24082) pub3  70% (90 samples sent)
(24072|24083) pub5  60% (77 samples sent)
(24072|24079) pub2  70% (90 samples sent)
(24072|24078) pub0  70% (90 samples sent)
(24072|24083) pub5  70% (90 samples sent)
(24072|24084) pub6  70% (90 samples sent)
(24072|24085) pub7  60% (77 samples sent)
(24072|24080) pub1  80% (103 samples sent)
(24072|24079) pub2  80% (103 samples sent)
(24072|24081) pub4  70% (90 samples sent)
(24072|24078) pub0  80% (103 samples sent)
(24072|24083) pub5  80% (103 samples sent)
(24072|24077)  sub 10% (103 samples received)
(24072|24084) pub6  80% (103 samples sent)
(24072|24080) pub1  90% (116 samples sent)
(24072|24081) pub4  80% (103 samples sent)
(24072|24085) pub7  70% (90 samples sent)
(24072|24079) pub2  90% (116 samples sent)
(24072|24082) pub3  80% (103 samples sent)
(24072|24078) pub0  90% (116 samples sent)
(24072|24083) pub5  90% (116 samples sent)
(24072|24080) pub1  100% (128 samples sent)
(24072|24080) pub1  waiting for acks
(24072|24081) pub4  90% (116 samples sent)
(24072|24084) pub6  90% (116 samples sent)
(24072|24082) pub3  90% (116 samples sent)
(24072|24078) pub0  100% (128 samples sent)
(24072|24078) pub0  waiting for acks
(24072|24079) pub2  100% (128 samples sent)
(24072|24079) pub2  waiting for acks
(24072|24085) pub7  80% (103 samples sent)
(24072|24083) pub5  100% (128 samples sent)
(24072|24081) pub4  100% (128 samples sent)
(24072|24081) pub4  waiting for acks
(24072|24085) pub7  90% (116 samples sent)
(24072|24085) pub7  100% (128 samples sent)
(24072|24085) pub7  waiting for acks
(24072|24083) pub5  waiting for acks
(24072|24084) pub6  100% (128 samples sent)
(24072|24084) pub6  waiting for acks
(24072|24082) pub3  100% (128 samples sent)
(24072|24082) pub3  waiting for acks
(24072|24077)  sub 20% (205 samples received)
(24072|24077) sub condition_.notify_all
(24072|24072) sub condition_.wait returned
(24072|24072) sub check_received
(24072|24072) sub check_received returns 0
(24072|24072) <- PublisherService::end
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077)  sub 30% (308 samples received)
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077)  sub 40% (410 samples received)
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077)  sub 50% (512 samples received)
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077)  sub 60% (615 samples received)
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24080) pub1  waiting for acks returned
(24072|24080) pub1<-delete_contained_entities
(24072|24077) sub condition_.notify_all
(24072|24082) pub3  waiting for acks returned
(24072|24082) pub3<-delete_contained_entities
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077)  sub 70% (717 samples received)
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24084) pub6  waiting for acks returned
(24072|24084) pub6<-delete_contained_entities
(24072|24080) pub1<-delete_participant
(24072|24082) pub3<-delete_participant
(24072|24084) pub6<-delete_participant
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077)  sub 80% (820 samples received)
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077)  sub 90% (922 samples received)
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077) sub condition_.notify_all
(24072|24077)  sub 100% (1024 samples received)
(24072|24077) sub condition_.notify_all
(24072|24079) pub2  waiting for acks returned
(24072|24079) pub2<-delete_contained_entities
(24072|24083) pub5  waiting for acks returned
(24072|24083) pub5<-delete_contained_entities
(24072|24085) pub7  waiting for acks returned
(24072|24085) pub7<-delete_contained_entities
(24072|24078) pub0  waiting for acks returned
(24072|24078) pub0<-delete_contained_entities
(24072|24081) pub4  waiting for acks returned
(24072|24081) pub4<-delete_contained_entities
(24072|24079) pub2<-delete_participant
(24072|24083) pub5<-delete_participant
(24072|24085) pub7<-delete_participant
(24072|24078) pub0<-delete_participant
(24072|24081) pub4<-delete_participant
(24072|24072) <- PublisherService::~PublisherService
(24072|24072) <- Subscriber delete_contained_entities
(24072|24072) <- Subscriber delete_participant
(24072|24072) <- Subscriber::~Subscriber
(24072|24072) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl low rtps Time:2s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl medium rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 16 -s 64 -n 1024 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 24119 started at 2023-04-28 22:43:12
(24119|24119) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(24119|24119) -> Thrasher started
(24119|24119) -> Subscriber::Subscriber
(24119|24119)    Subscriber reader id: 0103000c.297a35f2.5e37bb7d.00000007(a498687b)
(24119|24119) -> PublisherService::PublisherService
(24119|24119) -> PublisherService::start (16 threads)
(24119|24119) sub wait_received 0:16
(24119|24137) pub12->transport cfg_12
(24119|24133) pub8->transport cfg_8
(24119|24127) pub2->transport cfg_2
(24119|24134) pub10->transport cfg_10
(24119|24125) pub0->transport cfg_0
(24119|24128) pub4->transport cfg_4
(24119|24129) pub3->transport cfg_3
(24119|24135) pub9->transport cfg_9
(24119|24139) pub14->transport cfg_14
(24119|24138) pub13->transport cfg_13
(24119|24140) pub15->transport cfg_15
(24119|24131) pub6->transport cfg_6
(24119|24126) pub1->transport cfg_1
(24119|24147) RtpsUdpSendStrategy::send_single_i() - destination 10.201.200.79:40207 failed send: Operation not permitted
(24119|24147) RtpsUdpSendStrategy::send_rtps_control() - failed to send RTPS control message
(24119|24136) pub11->transport cfg_11
(24119|24130) pub5->transport cfg_5
(24119|24132) pub7->transport cfg_7
(24119|24134) pub10  writer id: 0103000c.297a35f2.5e37bb87.00000002(d676d408)
(24119|24134) pub10->started
(24119|24134) pub10->wait_match() before write for 0103000c.297a35f2.5e37bb87.00000002(d676d408)
(24119|24131) pub6  writer id: 0103000c.297a35f2.5e37bb84.00000002(91d6aed8)
(24119|24131) pub6->started
(24119|24131) pub6->wait_match() before write for 0103000c.297a35f2.5e37bb84.00000002(91d6aed8)
(24119|24139) pub14  writer id: 0103000c.297a35f2.5e37bb8b.00000002(13863909)
(24119|24139) pub14->started
(24119|24139) pub14->wait_match() before write for 0103000c.297a35f2.5e37bb8b.00000002(13863909)
(24119|24132) pub7  writer id: 0103000c.297a35f2.5e37bb86.00000002(eb16fdb8)
(24119|24132) pub7->started
(24119|24132) pub7->wait_match() before write for 0103000c.297a35f2.5e37bb86.00000002(eb16fdb8)
(24119|24127) pub2  writer id: 0103000c.297a35f2.5e37bb80.00000002(64560818)
(24119|24127) pub2->started
(24119|24127) pub2->wait_match() before write for 0103000c.297a35f2.5e37bb80.00000002(64560818)
(24119|24135) pub9  writer id: 0103000c.297a35f2.5e37bb88.00000002(542643d9)
(24119|24135) pub9->started
(24119|24135) pub9->wait_match() before write for 0103000c.297a35f2.5e37bb88.00000002(542643d9)
(24119|24128) pub4  writer id: 0103000c.297a35f2.5e37bb82.00000002(1e965b78)
(24119|24128) pub4->started
(24119|24128) pub4->wait_match() before write for 0103000c.297a35f2.5e37bb82.00000002(1e965b78)
(24119|24140) pub15  writer id: 0103000c.297a35f2.5e37bb8d.00000002(9cc6cca9)
(24119|24125) pub0  writer id: 0103000c.297a35f2.5e37bb7e.00000002(9352e624)
(24119|24125) pub0->started
(24119|24125) pub0->wait_match() before write for 0103000c.297a35f2.5e37bb7e.00000002(9352e624)
(24119|24140) pub15->started
(24119|24140) pub15->wait_match() before write for 0103000c.297a35f2.5e37bb8d.00000002(9cc6cca9)
(24119|24138) pub13  writer id: 0103000c.297a35f2.5e37bb8c.00000002(a1a6e519)
(24119|24138) pub13->started
(24119|24138) pub13->wait_match() before write for 0103000c.297a35f2.5e37bb8c.00000002(a1a6e519)
(24119|24133) pub8  writer id: 0103000c.297a35f2.5e37bb85.00000002(acb68768)
(24119|24133) pub8->started
(24119|24133) pub8->wait_match() before write for 0103000c.297a35f2.5e37bb85.00000002(acb68768)
(24119|24130) pub5  writer id: 0103000c.297a35f2.5e37bb83.00000002(23f672c8)
(24119|24130) pub5->started
(24119|24130) pub5->wait_match() before write for 0103000c.297a35f2.5e37bb83.00000002(23f672c8)
(24119|24129) pub3  writer id: 0103000c.297a35f2.5e37bb81.00000002(593621a8)
(24119|24129) pub3->started
(24119|24129) pub3->wait_match() before write for 0103000c.297a35f2.5e37bb81.00000002(593621a8)
(24119|24136) pub11  writer id: 0103000c.297a35f2.5e37bb89.00000002(69466a69)
(24119|24136) pub11->started
(24119|24136) pub11->wait_match() before write for 0103000c.297a35f2.5e37bb89.00000002(69466a69)
(24119|24126) pub1  writer id: 0103000c.297a35f2.5e37bb7f.00000002(ae32cf94)
(24119|24126) pub1->started
(24119|24126) pub1->wait_match() before write for 0103000c.297a35f2.5e37bb7f.00000002(ae32cf94)
(24119|24137) pub12  writer id: 0103000c.297a35f2.5e37bb8a.00000002(2ee610b9)
(24119|24137) pub12->started
(24119|24137) pub12->wait_match() before write for 0103000c.297a35f2.5e37bb8a.00000002(2ee610b9)
(24119|24140) pub15<-match found! before write for 0103000c.297a35f2.5e37bb8d.00000002(9cc6cca9)
(24119|24140) pub15  10% (7 samples sent)
(24119|24140) pub15  20% (13 samples sent)
(24119|24140) pub15  31% (20 samples sent)
(24119|24140) pub15  40% (26 samples sent)
(24119|24140) pub15  50% (32 samples sent)
(24119|24140) pub15  60% (39 samples sent)
(24119|24140) pub15  70% (45 samples sent)
(24119|24140) pub15  81% (52 samples sent)
(24119|24140) pub15  90% (58 samples sent)
(24119|24140) pub15  100% (64 samples sent)
(24119|24140) pub15  waiting for acks
(24119|24139) pub14<-match found! before write for 0103000c.297a35f2.5e37bb8b.00000002(13863909)
(24119|24139) pub14  10% (7 samples sent)
(24119|24139) pub14  20% (13 samples sent)
(24119|24139) pub14  31% (20 samples sent)
(24119|24139) pub14  40% (26 samples sent)
(24119|24139) pub14  50% (32 samples sent)
(24119|24139) pub14  60% (39 samples sent)
(24119|24139) pub14  70% (45 samples sent)
(24119|24139) pub14  81% (52 samples sent)
(24119|24139) pub14  90% (58 samples sent)
(24119|24139) pub14  100% (64 samples sent)
(24119|24139) pub14  waiting for acks
(24119|24127) pub2<-match found! before write for 0103000c.297a35f2.5e37bb80.00000002(64560818)
(24119|24127) pub2  10% (7 samples sent)
(24119|24127) pub2  20% (13 samples sent)
(24119|24127) pub2  31% (20 samples sent)
(24119|24127) pub2  40% (26 samples sent)
(24119|24127) pub2  50% (32 samples sent)
(24119|24134) pub10<-match found! before write for 0103000c.297a35f2.5e37bb87.00000002(d676d408)
(24119|24134) pub10  10% (7 samples sent)
(24119|24134) pub10  20% (13 samples sent)
(24119|24134) pub10  31% (20 samples sent)
(24119|24134) pub10  40% (26 samples sent)
(24119|24134) pub10  50% (32 samples sent)
(24119|24134) pub10  60% (39 samples sent)
(24119|24134) pub10  70% (45 samples sent)
(24119|24134) pub10  81% (52 samples sent)
(24119|24127) pub2  60% (39 samples sent)
(24119|24127) pub2  70% (45 samples sent)
(24119|24127) pub2  81% (52 samples sent)
(24119|24127) pub2  90% (58 samples sent)
(24119|24127) pub2  100% (64 samples sent)
(24119|24127) pub2  waiting for acks
(24119|24124)  sub 10% (103 samples received)
(24119|24134) pub10  90% (58 samples sent)
(24119|24134) pub10  100% (64 samples sent)
(24119|24134) pub10  waiting for acks
(24119|24138) pub13<-match found! before write for 0103000c.297a35f2.5e37bb8c.00000002(a1a6e519)
(24119|24138) pub13  10% (7 samples sent)
(24119|24138) pub13  20% (13 samples sent)
(24119|24138) pub13  31% (20 samples sent)
(24119|24138) pub13  40% (26 samples sent)
(24119|24138) pub13  50% (32 samples sent)
(24119|24138) pub13  60% (39 samples sent)
(24119|24138) pub13  70% (45 samples sent)
(24119|24138) pub13  81% (52 samples sent)
(24119|24138) pub13  90% (58 samples sent)
(24119|24129) pub3<-match found! before write for 0103000c.297a35f2.5e37bb81.00000002(593621a8)
(24119|24129) pub3  10% (7 samples sent)
(24119|24129) pub3  20% (13 samples sent)
(24119|24129) pub3  31% (20 samples sent)
(24119|24129) pub3  40% (26 samples sent)
(24119|24129) pub3  50% (32 samples sent)
(24119|24129) pub3  60% (39 samples sent)
(24119|24138) pub13  100% (64 samples sent)
(24119|24138) pub13  waiting for acks
(24119|24140) pub15  waiting for acks returned
(24119|24140) pub15<-delete_contained_entities
(24119|24129) pub3  70% (45 samples sent)
(24119|24129) pub3  81% (52 samples sent)
(24119|24130) pub5<-match found! before write for 0103000c.297a35f2.5e37bb83.00000002(23f672c8)
(24119|24130) pub5  10% (7 samples sent)
(24119|24130) pub5  20% (13 samples sent)
(24119|24130) pub5  31% (20 samples sent)
(24119|24131) pub6<-match found! before write for 0103000c.297a35f2.5e37bb84.00000002(91d6aed8)
(24119|24131) pub6  10% (7 samples sent)
(24119|24130) pub5  40% (26 samples sent)
(24119|24131) pub6  20% (13 samples sent)
(24119|24130) pub5  50% (32 samples sent)
(24119|24131) pub6  31% (20 samples sent)
(24119|24131) pub6  40% (26 samples sent)
(24119|24129) pub3  90% (58 samples sent)
(24119|24129) pub3  100% (64 samples sent)
(24119|24129) pub3  waiting for acks
(24119|24131) pub6  50% (32 samples sent)
(24119|24131) pub6  60% (39 samples sent)
(24119|24130) pub5  60% (39 samples sent)
(24119|24130) pub5  70% (45 samples sent)
(24119|24130) pub5  81% (52 samples sent)
(24119|24131) pub6  70% (45 samples sent)
(24119|24131) pub6  81% (52 samples sent)
(24119|24137) pub12<-match found! before write for 0103000c.297a35f2.5e37bb8a.00000002(2ee610b9)
(24119|24137) pub12  10% (7 samples sent)
(24119|24137) pub12  20% (13 samples sent)
(24119|24137) pub12  31% (20 samples sent)
(24119|24137) pub12  40% (26 samples sent)
(24119|24137) pub12  50% (32 samples sent)
(24119|24130) pub5  90% (58 samples sent)
(24119|24130) pub5  100% (64 samples sent)
(24119|24130) pub5  waiting for acks
(24119|24133) pub8<-match found! before write for 0103000c.297a35f2.5e37bb85.00000002(acb68768)
(24119|24133) pub8  10% (7 samples sent)
(24119|24133) pub8  20% (13 samples sent)
(24119|24133) pub8  31% (20 samples sent)
(24119|24133) pub8  40% (26 samples sent)
(24119|24131) pub6  90% (58 samples sent)
(24119|24131) pub6  100% (64 samples sent)
(24119|24131) pub6  waiting for acks
(24119|24133) pub8  50% (32 samples sent)
(24119|24133) pub8  60% (39 samples sent)
(24119|24137) pub12  60% (39 samples sent)
(24119|24132) pub7<-match found! before write for 0103000c.297a35f2.5e37bb86.00000002(eb16fdb8)
(24119|24132) pub7  10% (7 samples sent)
(24119|24132) pub7  20% (13 samples sent)
(24119|24132) pub7  31% (20 samples sent)
(24119|24132) pub7  40% (26 samples sent)
(24119|24132) pub7  50% (32 samples sent)
(24119|24132) pub7  60% (39 samples sent)
(24119|24137) pub12  70% (45 samples sent)
(24119|24137) pub12  81% (52 samples sent)
(24119|24137) pub12  90% (58 samples sent)
(24119|24128) pub4<-match found! before write for 0103000c.297a35f2.5e37bb82.00000002(1e965b78)
(24119|24133) pub8  70% (45 samples sent)
(24119|24128) pub4  10% (7 samples sent)
(24119|24133) pub8  81% (52 samples sent)
(24119|24128) pub4  20% (13 samples sent)
(24119|24133) pub8  90% (58 samples sent)
(24119|24125) pub0<-match found! before write for 0103000c.297a35f2.5e37bb7e.00000002(9352e624)
(24119|24133) pub8  100% (64 samples sent)
(24119|24133) pub8  waiting for acks
(24119|24125) pub0  10% (7 samples sent)
(24119|24132) pub7  70% (45 samples sent)
(24119|24132) pub7  81% (52 samples sent)
(24119|24132) pub7  90% (58 samples sent)
(24119|24125) pub0  20% (13 samples sent)
(24119|24125) pub0  31% (20 samples sent)
(24119|24125) pub0  40% (26 samples sent)
(24119|24125) pub0  50% (32 samples sent)
(24119|24128) pub4  31% (20 samples sent)
(24119|24128) pub4  40% (26 samples sent)
(24119|24128) pub4  50% (32 samples sent)
(24119|24128) pub4  60% (39 samples sent)
(24119|24128) pub4  70% (45 samples sent)
(24119|24137) pub12  100% (64 samples sent)
(24119|24137) pub12  waiting for acks
(24119|24132) pub7  100% (64 samples sent)
(24119|24132) pub7  waiting for acks
(24119|24124)  sub 20% (205 samples received)
(24119|24125) pub0  60% (39 samples sent)
(24119|24125) pub0  70% (45 samples sent)
(24119|24128) pub4  81% (52 samples sent)
(24119|24128) pub4  90% (58 samples sent)
(24119|24125) pub0  81% (52 samples sent)
(24119|24125) pub0  90% (58 samples sent)
(24119|24128) pub4  100% (64 samples sent)
(24119|24128) pub4  waiting for acks
(24119|24125) pub0  100% (64 samples sent)
(24119|24125) pub0  waiting for acks
(24119|24126) pub1<-match found! before write for 0103000c.297a35f2.5e37bb7f.00000002(ae32cf94)
(24119|24126) pub1  10% (7 samples sent)
(24119|24126) pub1  20% (13 samples sent)
(24119|24126) pub1  31% (20 samples sent)
(24119|24126) pub1  40% (26 samples sent)
(24119|24126) pub1  50% (32 samples sent)
(24119|24126) pub1  60% (39 samples sent)
(24119|24126) pub1  70% (45 samples sent)
(24119|24126) pub1  81% (52 samples sent)
(24119|24126) pub1  90% (58 samples sent)
(24119|24135) pub9<-match found! before write for 0103000c.297a35f2.5e37bb88.00000002(542643d9)
(24119|24135) pub9  10% (7 samples sent)
(24119|24135) pub9  20% (13 samples sent)
(24119|24135) pub9  31% (20 samples sent)
(24119|24135) pub9  40% (26 samples sent)
(24119|24135) pub9  50% (32 samples sent)
(24119|24138) pub13  waiting for acks returned
(24119|24138) pub13<-delete_contained_entities
(24119|24139) pub14  waiting for acks returned
(24119|24139) pub14<-delete_contained_entities
(24119|24140) pub15<-delete_participant
(24119|24126) pub1  100% (64 samples sent)
(24119|24126) pub1  waiting for acks
(24119|24135) pub9  60% (39 samples sent)
(24119|24135) pub9  70% (45 samples sent)
(24119|24135) pub9  81% (52 samples sent)
(24119|24124)  sub 30% (308 samples received)
(24119|24135) pub9  90% (58 samples sent)
(24119|24136) pub11<-match found! before write for 0103000c.297a35f2.5e37bb89.00000002(69466a69)
(24119|24135) pub9  100% (64 samples sent)
(24119|24135) pub9  waiting for acks
(24119|24136) pub11  10% (7 samples sent)
(24119|24136) pub11  20% (13 samples sent)
(24119|24136) pub11  31% (20 samples sent)
(24119|24136) pub11  40% (26 samples sent)
(24119|24136) pub11  50% (32 samples sent)
(24119|24136) pub11  60% (39 samples sent)
(24119|24136) pub11  70% (45 samples sent)
(24119|24136) pub11  81% (52 samples sent)
(24119|24136) pub11  90% (58 samples sent)
(24119|24136) pub11  100% (64 samples sent)
(24119|24136) pub11  waiting for acks
(24119|24127) pub2  waiting for acks returned
(24119|24127) pub2<-delete_contained_entities
(24119|24124)  sub 40% (410 samples received)
(24119|24124)  sub 50% (512 samples received)
(24119|24134) pub10  waiting for acks returned
(24119|24134) pub10<-delete_contained_entities
(24119|24131) pub6  waiting for acks returned
(24119|24131) pub6<-delete_contained_entities
(24119|24138) pub13<-delete_participant
(24119|24139) pub14<-delete_participant
(24119|24127) pub2<-delete_participant
(24119|24130) pub5  waiting for acks returned
(24119|24130) pub5<-delete_contained_entities
(24119|24126) pub1  waiting for acks returned
(24119|24126) pub1<-delete_contained_entities
(24119|24124)  sub 60% (615 samples received)
(24119|24129) pub3  waiting for acks returned
(24119|24129) pub3<-delete_contained_entities
(24119|24134) pub10<-delete_participant
(24119|24124)  sub 70% (717 samples received)
(24119|24131) pub6<-delete_participant
(24119|24125) pub0  waiting for acks returned
(24119|24125) pub0<-delete_contained_entities
(24119|24128) pub4  waiting for acks returned
(24119|24128) pub4<-delete_contained_entities
(24119|24132) pub7  waiting for acks returned
(24119|24132) pub7<-delete_contained_entities
(24119|24124) sub condition_.notify_all
(24119|24119) sub condition_.wait returned
(24119|24119) sub check_received
(24119|24119) sub check_received returns 0
(24119|24119) <- PublisherService::end
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124)  sub 80% (820 samples received)
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24130) pub5<-delete_participant
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24126) pub1<-delete_participant
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124)  sub 90% (922 samples received)
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24133) pub8  waiting for acks returned
(24119|24133) pub8<-delete_contained_entities
(24119|24124) sub condition_.notify_all
(24119|24136) pub11  waiting for acks returned
(24119|24136) pub11<-delete_contained_entities
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124) sub condition_.notify_all
(24119|24124)  sub 100% (1024 samples received)
(24119|24124) sub condition_.notify_all
(24119|24137) pub12  waiting for acks returned
(24119|24137) pub12<-delete_contained_entities
(24119|24135) pub9  waiting for acks returned
(24119|24135) pub9<-delete_contained_entities
(24119|24129) pub3<-delete_participant
(24119|24125) pub0<-delete_participant
(24119|24128) pub4<-delete_participant
(24119|24132) pub7<-delete_participant
(24119|24133) pub8<-delete_participant
(24119|24136) pub11<-delete_participant
(24119|24137) pub12<-delete_participant
(24119|24135) pub9<-delete_participant
(24119|24119) <- PublisherService::~PublisherService
(24119|24119) <- Subscriber delete_contained_entities
(24119|24119) <- Subscriber delete_participant
(24119|24119) <- Subscriber::~Subscriber
(24119|24119) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl medium rtps Time:3s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl high rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 32 -s 32 -n 1024 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 24206 started at 2023-04-28 22:43:15
(24206|24206) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(24206|24206) -> Thrasher started
(24206|24206) -> Subscriber::Subscriber
(24206|24206)    Subscriber reader id: 0103000c.297a35f2.5e8e3e7b.00000007(d04812c3)
(24206|24206) -> PublisherService::PublisherService
(24206|24206) -> PublisherService::start (32 threads)
(24206|24206) sub wait_received 0:32
(24206|24214) pub2->transport cfg_2
(24206|24220) pub3->transport cfg_3
(24206|24212) pub0->transport cfg_0
(24206|24213) pub1->transport cfg_1
(24206|24241) pub29->transport cfg_29
(24206|24218) pub6->transport cfg_6
(24206|24225) pub13->transport cfg_13
(24206|24217) pub5->transport cfg_5
(24206|24215) pub7->transport cfg_7
(24206|24221) pub8->transport cfg_8
(24206|24234) pub21->transport cfg_21
(24206|24230) pub18->transport cfg_18
(24206|24223) pub9->transport cfg_9
(24206|24237) pub25->transport cfg_25
(24206|24219) pub4->transport cfg_4
(24206|24228) pub15->transport cfg_15
(24206|24232) pub20->transport cfg_20
(24206|24238) pub26->transport cfg_26
(24206|24214) pub2  writer id: 0103000c.297a35f2.5e8e3e7e.00000002(68c2693c)
(24206|24214) pub2->started
(24206|24214) pub2->wait_match() before write for 0103000c.297a35f2.5e8e3e7e.00000002(68c2693c)
(24206|24216) pub16->transport cfg_16
(24206|24222) pub14->transport cfg_14
(24206|24224) pub11->transport cfg_11
(24206|24227) pub10->transport cfg_10
(24206|24236) pub24->transport cfg_24
(24206|24235) pub23->transport cfg_23
(24206|24229) pub17->transport cfg_17
(24206|24239) pub27->transport cfg_27
(24206|24213) pub1  writer id: 0103000c.297a35f2.5e8e3e7d.00000002(2f6213ec)
(24206|24213) pub1->started
(24206|24213) pub1->wait_match() before write for 0103000c.297a35f2.5e8e3e7d.00000002(2f6213ec)
(24206|24241) pub29  writer id: 0103000c.297a35f2.5e8e3e98.00000002(cf565b43)
(24206|24241) pub29->started
(24206|24241) pub29->wait_match() before write for 0103000c.297a35f2.5e8e3e98.00000002(cf565b43)
(24206|24231) pub19->transport cfg_19
(24206|24234) pub21  writer id: 0103000c.297a35f2.5e8e3e91.00000002(c2463932)
(24206|24234) pub21->started
(24206|24234) pub21->wait_match() before write for 0103000c.297a35f2.5e8e3e91.00000002(c2463932)
(24206|24243) pub31->transport cfg_31
(24206|24212) pub0  writer id: 0103000c.297a35f2.5e8e3e7c.00000002(12023a5c)
(24206|24212) pub0->started
(24206|24212) pub0->wait_match() before write for 0103000c.297a35f2.5e8e3e7c.00000002(12023a5c)
(24206|24240) pub28->transport cfg_28
(24206|24215) pub7  writer id: 0103000c.297a35f2.5e8e3e83.00000002(d866fdd0)
(24206|24237) pub25  writer id: 0103000c.297a35f2.5e8e3e94.00000002(0aa6b642)
(24206|24226) pub12->transport cfg_12
(24206|24233) pub22->transport cfg_22
(24206|24217) pub5  writer id: 0103000c.297a35f2.5e8e3e81.00000002(a2a6aeb0)
(24206|24217) pub5->started
(24206|24217) pub5->wait_match() before write for 0103000c.297a35f2.5e8e3e81.00000002(a2a6aeb0)
(24206|24230) pub18  writer id: 0103000c.297a35f2.5e8e3e8d.00000002(675643b1)
(24206|24230) pub18->started
(24206|24230) pub18->wait_match() before write for 0103000c.297a35f2.5e8e3e8d.00000002(675643b1)
(24206|24242) pub30->transport cfg_30
(24206|24223) pub9  writer id: 0103000c.297a35f2.5e8e3e85.00000002(57260870)
(24206|24223) pub9->started
(24206|24223) pub9->wait_match() before write for 0103000c.297a35f2.5e8e3e85.00000002(57260870)
(24206|24232) pub20  writer id: 0103000c.297a35f2.5e8e3e90.00000002(ff261082)
(24206|24215) pub7->started
(24206|24215) pub7->wait_match() before write for 0103000c.297a35f2.5e8e3e83.00000002(d866fdd0)
(24206|24237) pub25->started
(24206|24237) pub25->wait_match() before write for 0103000c.297a35f2.5e8e3e94.00000002(0aa6b642)
(24206|24220) pub3  writer id: 0103000c.297a35f2.5e8e3e82.00000002(e506d460)
(24206|24220) pub3->started
(24206|24220) pub3->wait_match() before write for 0103000c.297a35f2.5e8e3e82.00000002(e506d460)
(24206|24238) pub26  writer id: 0103000c.297a35f2.5e8e3e96.00000002(7066e522)
(24206|24238) pub26->started
(24206|24238) pub26->wait_match() before write for 0103000c.297a35f2.5e8e3e96.00000002(7066e522)
(24206|24221) pub8  writer id: 0103000c.297a35f2.5e8e3e84.00000002(6a4621c0)
(24206|24221) pub8->started
(24206|24221) pub8->wait_match() before write for 0103000c.297a35f2.5e8e3e84.00000002(6a4621c0)
(24206|24232) pub20->started
(24206|24232) pub20->wait_match() before write for 0103000c.297a35f2.5e8e3e90.00000002(ff261082)
(24206|24219) pub4  writer id: 0103000c.297a35f2.5e8e3e7f.00000002(55a2408c)
(24206|24219) pub4->started
(24206|24219) pub4->wait_match() before write for 0103000c.297a35f2.5e8e3e7f.00000002(55a2408c)
(24206|24228) pub15  writer id: 0103000c.297a35f2.5e8e3e8a.00000002(d5769fa1)
(24206|24228) pub15->started
(24206|24228) pub15->wait_match() before write for 0103000c.297a35f2.5e8e3e8a.00000002(d5769fa1)
(24206|24222) pub14  writer id: 0103000c.297a35f2.5e8e3e88.00000002(afb6ccc1)
(24206|24222) pub14->started
(24206|24222) pub14->wait_match() before write for 0103000c.297a35f2.5e8e3e88.00000002(afb6ccc1)
(24206|24218) pub6  writer id: 0103000c.297a35f2.5e8e3e80.00000002(9fc68700)
(24206|24218) pub6->started
(24206|24218) pub6->wait_match() before write for 0103000c.297a35f2.5e8e3e80.00000002(9fc68700)
(24206|24225) pub13  writer id: 0103000c.297a35f2.5e8e3e89.00000002(92d6e571)
(24206|24236) pub24  writer id: 0103000c.297a35f2.5e8e3e95.00000002(37c69ff2)
(24206|24236) pub24->started
(24206|24236) pub24->wait_match() before write for 0103000c.297a35f2.5e8e3e95.00000002(37c69ff2)
(24206|24216) pub16  writer id: 0103000c.297a35f2.5e8e3e8c.00000002(5a366a01)
(24206|24235) pub23  writer id: 0103000c.297a35f2.5e8e3e93.00000002(b8866a52)
(24206|24235) pub23->started
(24206|24235) pub23->wait_match() before write for 0103000c.297a35f2.5e8e3e93.00000002(b8866a52)
(24206|24225) pub13->started
(24206|24216) pub16->started
(24206|24225) pub13->wait_match() before write for 0103000c.297a35f2.5e8e3e89.00000002(92d6e571)
(24206|24216) pub16->wait_match() before write for 0103000c.297a35f2.5e8e3e8c.00000002(5a366a01)
(24206|24224) pub11  writer id: 0103000c.297a35f2.5e8e3e86.00000002(108672a0)
(24206|24224) pub11->started
(24206|24224) pub11->wait_match() before write for 0103000c.297a35f2.5e8e3e86.00000002(108672a0)
(24206|24229) pub17  writer id: 0103000c.297a35f2.5e8e3e8b.00000002(e816b611)
(24206|24229) pub17->started
(24206|24229) pub17->wait_match() before write for 0103000c.297a35f2.5e8e3e8b.00000002(e816b611)
(24206|24243) pub31  writer id: 0103000c.297a35f2.5e8e3e9b.00000002(88f62193)
(24206|24243) pub31->started
(24206|24243) pub31->wait_match() before write for 0103000c.297a35f2.5e8e3e9b.00000002(88f62193)
(24206|24240) pub28  writer id: 0103000c.297a35f2.5e8e3e97.00000002(4d06cc92)
(24206|24240) pub28->started
(24206|24240) pub28->wait_match() before write for 0103000c.297a35f2.5e8e3e97.00000002(4d06cc92)
(24206|24231) pub19  writer id: 0103000c.297a35f2.5e8e3e8f.00000002(1d9610d1)
(24206|24231) pub19->started
(24206|24231) pub19->wait_match() before write for 0103000c.297a35f2.5e8e3e8f.00000002(1d9610d1)
(24206|24226) pub12  writer id: 0103000c.297a35f2.5e8e3e8e.00000002(20f63961)
(24206|24226) pub12->started
(24206|24226) pub12->wait_match() before write for 0103000c.297a35f2.5e8e3e8e.00000002(20f63961)
(24206|24227) pub10  writer id: 0103000c.297a35f2.5e8e3e87.00000002(2de65b10)
(24206|24227) pub10->started
(24206|24227) pub10->wait_match() before write for 0103000c.297a35f2.5e8e3e87.00000002(2de65b10)
(24206|24242) pub30  writer id: 0103000c.297a35f2.5e8e3e9a.00000002(b5960823)
(24206|24242) pub30->started
(24206|24242) pub30->wait_match() before write for 0103000c.297a35f2.5e8e3e9a.00000002(b5960823)
(24206|24239) pub27  writer id: 0103000c.297a35f2.5e8e3e99.00000002(f23672f3)
(24206|24239) pub27->started
(24206|24239) pub27->wait_match() before write for 0103000c.297a35f2.5e8e3e99.00000002(f23672f3)
(24206|24233) pub22  writer id: 0103000c.297a35f2.5e8e3e92.00000002(85e643e2)
(24206|24233) pub22->started
(24206|24233) pub22->wait_match() before write for 0103000c.297a35f2.5e8e3e92.00000002(85e643e2)
(24206|24243) pub31<-match found! before write for 0103000c.297a35f2.5e8e3e9b.00000002(88f62193)
(24206|24243) pub31  12% (4 samples sent)
(24206|24243) pub31  21% (7 samples sent)
(24206|24243) pub31  31% (10 samples sent)
(24206|24243) pub31  40% (13 samples sent)
(24206|24243) pub31  50% (16 samples sent)
(24206|24243) pub31  62% (20 samples sent)
(24206|24243) pub31  71% (23 samples sent)
(24206|24243) pub31  81% (26 samples sent)
(24206|24243) pub31  90% (29 samples sent)
(24206|24232) pub20<-match found! before write for 0103000c.297a35f2.5e8e3e90.00000002(ff261082)
(24206|24232) pub20  12% (4 samples sent)
(24206|24232) pub20  21% (7 samples sent)
(24206|24232) pub20  31% (10 samples sent)
(24206|24232) pub20  40% (13 samples sent)
(24206|24232) pub20  50% (16 samples sent)
(24206|24232) pub20  62% (20 samples sent)
(24206|24232) pub20  71% (23 samples sent)
(24206|24232) pub20  81% (26 samples sent)
(24206|24232) pub20  90% (29 samples sent)
(24206|24232) pub20  100% (32 samples sent)
(24206|24232) pub20  waiting for acks
(24206|24224) pub11<-match found! before write for 0103000c.297a35f2.5e8e3e86.00000002(108672a0)
(24206|24224) pub11  12% (4 samples sent)
(24206|24224) pub11  21% (7 samples sent)
(24206|24224) pub11  31% (10 samples sent)
(24206|24224) pub11  40% (13 samples sent)
(24206|24224) pub11  50% (16 samples sent)
(24206|24224) pub11  62% (20 samples sent)
(24206|24224) pub11  71% (23 samples sent)
(24206|24224) pub11  81% (26 samples sent)
(24206|24224) pub11  90% (29 samples sent)
(24206|24224) pub11  100% (32 samples sent)
(24206|24224) pub11  waiting for acks
(24206|24243) pub31  100% (32 samples sent)
(24206|24243) pub31  waiting for acks
(24206|24219) pub4<-match found! before write for 0103000c.297a35f2.5e8e3e7f.00000002(55a2408c)
(24206|24219) pub4  12% (4 samples sent)
(24206|24219) pub4  21% (7 samples sent)
(24206|24219) pub4  31% (10 samples sent)
(24206|24219) pub4  40% (13 samples sent)
(24206|24219) pub4  50% (16 samples sent)
(24206|24219) pub4  62% (20 samples sent)
(24206|24219) pub4  71% (23 samples sent)
(24206|24219) pub4  81% (26 samples sent)
(24206|24219) pub4  90% (29 samples sent)
(24206|24219) pub4  100% (32 samples sent)
(24206|24219) pub4  waiting for acks
(24206|24240) pub28<-match found! before write for 0103000c.297a35f2.5e8e3e97.00000002(4d06cc92)
(24206|24240) pub28  12% (4 samples sent)
(24206|24240) pub28  21% (7 samples sent)
(24206|24240) pub28  31% (10 samples sent)
(24206|24240) pub28  40% (13 samples sent)
(24206|24240) pub28  50% (16 samples sent)
(24206|24240) pub28  62% (20 samples sent)
(24206|24240) pub28  71% (23 samples sent)
(24206|24240) pub28  81% (26 samples sent)
(24206|24242) pub30<-match found! before write for 0103000c.297a35f2.5e8e3e9a.00000002(b5960823)
(24206|24242) pub30  12% (4 samples sent)
(24206|24242) pub30  21% (7 samples sent)
(24206|24242) pub30  31% (10 samples sent)
(24206|24242) pub30  40% (13 samples sent)
(24206|24242) pub30  50% (16 samples sent)
(24206|24242) pub30  62% (20 samples sent)
(24206|24242) pub30  71% (23 samples sent)
(24206|24242) pub30  81% (26 samples sent)
(24206|24242) pub30  90% (29 samples sent)
(24206|24242) pub30  100% (32 samples sent)
(24206|24242) pub30  waiting for acks
(24206|24240) pub28  90% (29 samples sent)
(24206|24240) pub28  100% (32 samples sent)
(24206|24240) pub28  waiting for acks
(24206|24217) pub5<-match found! before write for 0103000c.297a35f2.5e8e3e81.00000002(a2a6aeb0)
(24206|24217) pub5  12% (4 samples sent)
(24206|24217) pub5  21% (7 samples sent)
(24206|24217) pub5  31% (10 samples sent)
(24206|24217) pub5  40% (13 samples sent)
(24206|24217) pub5  50% (16 samples sent)
(24206|24217) pub5  62% (20 samples sent)
(24206|24217) pub5  71% (23 samples sent)
(24206|24217) pub5  81% (26 samples sent)
(24206|24231) pub19<-match found! before write for 0103000c.297a35f2.5e8e3e8f.00000002(1d9610d1)
(24206|24231) pub19  12% (4 samples sent)
(24206|24231) pub19  21% (7 samples sent)
(24206|24231) pub19  31% (10 samples sent)
(24206|24231) pub19  40% (13 samples sent)
(24206|24231) pub19  50% (16 samples sent)
(24206|24231) pub19  62% (20 samples sent)
(24206|24231) pub19  71% (23 samples sent)
(24206|24231) pub19  81% (26 samples sent)
(24206|24231) pub19  90% (29 samples sent)
(24206|24231) pub19  100% (32 samples sent)
(24206|24231) pub19  waiting for acks
(24206|24217) pub5  90% (29 samples sent)
(24206|24213) pub1<-match found! before write for 0103000c.297a35f2.5e8e3e7d.00000002(2f6213ec)
(24206|24213) pub1  12% (4 samples sent)
(24206|24213) pub1  21% (7 samples sent)
(24206|24213) pub1  31% (10 samples sent)
(24206|24213) pub1  40% (13 samples sent)
(24206|24213) pub1  50% (16 samples sent)
(24206|24213) pub1  62% (20 samples sent)
(24206|24213) pub1  71% (23 samples sent)
(24206|24213) pub1  81% (26 samples sent)
(24206|24213) pub1  90% (29 samples sent)
(24206|24213) pub1  100% (32 samples sent)
(24206|24213) pub1  waiting for acks
(24206|24217) pub5  100% (32 samples sent)
(24206|24217) pub5  waiting for acks
(24206|24222) pub14<-match found! before write for 0103000c.297a35f2.5e8e3e88.00000002(afb6ccc1)
(24206|24222) pub14  12% (4 samples sent)
(24206|24222) pub14  21% (7 samples sent)
(24206|24222) pub14  31% (10 samples sent)
(24206|24222) pub14  40% (13 samples sent)
(24206|24222) pub14  50% (16 samples sent)
(24206|24222) pub14  62% (20 samples sent)
(24206|24222) pub14  71% (23 samples sent)
(24206|24222) pub14  81% (26 samples sent)
(24206|24241) pub29<-match found! before write for 0103000c.297a35f2.5e8e3e98.00000002(cf565b43)
(24206|24241) pub29  12% (4 samples sent)
(24206|24241) pub29  21% (7 samples sent)
(24206|24241) pub29  31% (10 samples sent)
(24206|24241) pub29  40% (13 samples sent)
(24206|24241) pub29  50% (16 samples sent)
(24206|24241) pub29  62% (20 samples sent)
(24206|24241) pub29  71% (23 samples sent)
(24206|24241) pub29  81% (26 samples sent)
(24206|24241) pub29  90% (29 samples sent)
(24206|24241) pub29  100% (32 samples sent)
(24206|24241) pub29  waiting for acks
(24206|24222) pub14  90% (29 samples sent)
(24206|24222) pub14  100% (32 samples sent)
(24206|24222) pub14  waiting for acks
(24206|24229) pub17<-match found! before write for 0103000c.297a35f2.5e8e3e8b.00000002(e816b611)
(24206|24229) pub17  12% (4 samples sent)
(24206|24229) pub17  21% (7 samples sent)
(24206|24229) pub17  31% (10 samples sent)
(24206|24229) pub17  40% (13 samples sent)
(24206|24229) pub17  50% (16 samples sent)
(24206|24229) pub17  62% (20 samples sent)
(24206|24229) pub17  71% (23 samples sent)
(24206|24229) pub17  81% (26 samples sent)
(24206|24229) pub17  90% (29 samples sent)
(24206|24229) pub17  100% (32 samples sent)
(24206|24229) pub17  waiting for acks
(24206|24213) pub1  waiting for acks returned
(24206|24213) pub1<-delete_contained_entities
(24206|24234) pub21<-match found! before write for 0103000c.297a35f2.5e8e3e91.00000002(c2463932)
(24206|24234) pub21  12% (4 samples sent)
(24206|24234) pub21  21% (7 samples sent)
(24206|24234) pub21  31% (10 samples sent)
(24206|24234) pub21  40% (13 samples sent)
(24206|24234) pub21  50% (16 samples sent)
(24206|24234) pub21  62% (20 samples sent)
(24206|24234) pub21  71% (23 samples sent)
(24206|24234) pub21  81% (26 samples sent)
(24206|24234) pub21  90% (29 samples sent)
(24206|24234) pub21  100% (32 samples sent)
(24206|24234) pub21  waiting for acks
(24206|24238) pub26<-match found! before write for 0103000c.297a35f2.5e8e3e96.00000002(7066e522)
(24206|24238) pub26  12% (4 samples sent)
(24206|24238) pub26  21% (7 samples sent)
(24206|24238) pub26  31% (10 samples sent)
(24206|24238) pub26  40% (13 samples sent)
(24206|24238) pub26  50% (16 samples sent)
(24206|24238) pub26  62% (20 samples sent)
(24206|24238) pub26  71% (23 samples sent)
(24206|24238) pub26  81% (26 samples sent)
(24206|24238) pub26  90% (29 samples sent)
(24206|24238) pub26  100% (32 samples sent)
(24206|24238) pub26  waiting for acks
(24206|24225) pub13<-match found! before write for 0103000c.297a35f2.5e8e3e89.00000002(92d6e571)
(24206|24225) pub13  12% (4 samples sent)
(24206|24225) pub13  21% (7 samples sent)
(24206|24225) pub13  31% (10 samples sent)
(24206|24225) pub13  40% (13 samples sent)
(24206|24225) pub13  50% (16 samples sent)
(24206|24225) pub13  62% (20 samples sent)
(24206|24225) pub13  71% (23 samples sent)
(24206|24225) pub13  81% (26 samples sent)
(24206|24225) pub13  90% (29 samples sent)
(24206|24225) pub13  100% (32 samples sent)
(24206|24225) pub13  waiting for acks
(24206|24233) pub22<-match found! before write for 0103000c.297a35f2.5e8e3e92.00000002(85e643e2)
(24206|24233) pub22  12% (4 samples sent)
(24206|24233) pub22  21% (7 samples sent)
(24206|24233) pub22  31% (10 samples sent)
(24206|24233) pub22  40% (13 samples sent)
(24206|24233) pub22  50% (16 samples sent)
(24206|24233) pub22  62% (20 samples sent)
(24206|24233) pub22  71% (23 samples sent)
(24206|24233) pub22  81% (26 samples sent)
(24206|24233) pub22  90% (29 samples sent)
(24206|24233) pub22  100% (32 samples sent)
(24206|24233) pub22  waiting for acks
(24206|24227) pub10<-match found! before write for 0103000c.297a35f2.5e8e3e87.00000002(2de65b10)
(24206|24227) pub10  12% (4 samples sent)
(24206|24227) pub10  21% (7 samples sent)
(24206|24227) pub10  31% (10 samples sent)
(24206|24227) pub10  40% (13 samples sent)
(24206|24227) pub10  50% (16 samples sent)
(24206|24227) pub10  62% (20 samples sent)
(24206|24227) pub10  71% (23 samples sent)
(24206|24227) pub10  81% (26 samples sent)
(24206|24227) pub10  90% (29 samples sent)
(24206|24227) pub10  100% (32 samples sent)
(24206|24227) pub10  waiting for acks
(24206|24241) pub29  waiting for acks returned
(24206|24241) pub29<-delete_contained_entities
(24206|24213) pub1<-delete_participant
(24206|24237) pub25<-match found! before write for 0103000c.297a35f2.5e8e3e94.00000002(0aa6b642)
(24206|24237) pub25  12% (4 samples sent)
(24206|24237) pub25  21% (7 samples sent)
(24206|24237) pub25  31% (10 samples sent)
(24206|24237) pub25  40% (13 samples sent)
(24206|24237) pub25  50% (16 samples sent)
(24206|24237) pub25  62% (20 samples sent)
(24206|24237) pub25  71% (23 samples sent)
(24206|24237) pub25  81% (26 samples sent)
(24206|24237) pub25  90% (29 samples sent)
(24206|24237) pub25  100% (32 samples sent)
(24206|24237) pub25  waiting for acks
(24206|24216) pub16<-match found! before write for 0103000c.297a35f2.5e8e3e8c.00000002(5a366a01)
(24206|24216) pub16  12% (4 samples sent)
(24206|24216) pub16  21% (7 samples sent)
(24206|24216) pub16  31% (10 samples sent)
(24206|24216) pub16  40% (13 samples sent)
(24206|24216) pub16  50% (16 samples sent)
(24206|24216) pub16  62% (20 samples sent)
(24206|24216) pub16  71% (23 samples sent)
(24206|24216) pub16  81% (26 samples sent)
(24206|24216) pub16  90% (29 samples sent)
(24206|24216) pub16  100% (32 samples sent)
(24206|24216) pub16  waiting for acks
(24206|24233) pub22  waiting for acks returned
(24206|24233) pub22<-delete_contained_entities
(24206|24211)  sub 10% (103 samples received)
(24206|24235) pub23<-match found! before write for 0103000c.297a35f2.5e8e3e93.00000002(b8866a52)
(24206|24235) pub23  12% (4 samples sent)
(24206|24235) pub23  21% (7 samples sent)
(24206|24235) pub23  31% (10 samples sent)
(24206|24235) pub23  40% (13 samples sent)
(24206|24235) pub23  50% (16 samples sent)
(24206|24235) pub23  62% (20 samples sent)
(24206|24235) pub23  71% (23 samples sent)
(24206|24235) pub23  81% (26 samples sent)
(24206|24235) pub23  90% (29 samples sent)
(24206|24235) pub23  100% (32 samples sent)
(24206|24235) pub23  waiting for acks
(24206|24230) pub18<-match found! before write for 0103000c.297a35f2.5e8e3e8d.00000002(675643b1)
(24206|24230) pub18  12% (4 samples sent)
(24206|24230) pub18  21% (7 samples sent)
(24206|24230) pub18  31% (10 samples sent)
(24206|24230) pub18  40% (13 samples sent)
(24206|24230) pub18  50% (16 samples sent)
(24206|24230) pub18  62% (20 samples sent)
(24206|24230) pub18  71% (23 samples sent)
(24206|24230) pub18  81% (26 samples sent)
(24206|24230) pub18  90% (29 samples sent)
(24206|24230) pub18  100% (32 samples sent)
(24206|24230) pub18  waiting for acks
(24206|24228) pub15<-match found! before write for 0103000c.297a35f2.5e8e3e8a.00000002(d5769fa1)
(24206|24228) pub15  12% (4 samples sent)
(24206|24228) pub15  21% (7 samples sent)
(24206|24228) pub15  31% (10 samples sent)
(24206|24228) pub15  40% (13 samples sent)
(24206|24228) pub15  50% (16 samples sent)
(24206|24228) pub15  62% (20 samples sent)
(24206|24228) pub15  71% (23 samples sent)
(24206|24228) pub15  81% (26 samples sent)
(24206|24228) pub15  90% (29 samples sent)
(24206|24228) pub15  100% (32 samples sent)
(24206|24228) pub15  waiting for acks
(24206|24212) pub0<-match found! before write for 0103000c.297a35f2.5e8e3e7c.00000002(12023a5c)
(24206|24212) pub0  12% (4 samples sent)
(24206|24212) pub0  21% (7 samples sent)
(24206|24212) pub0  31% (10 samples sent)
(24206|24212) pub0  40% (13 samples sent)
(24206|24212) pub0  50% (16 samples sent)
(24206|24212) pub0  62% (20 samples sent)
(24206|24212) pub0  71% (23 samples sent)
(24206|24212) pub0  81% (26 samples sent)
(24206|24217) pub5  waiting for acks returned
(24206|24217) pub5<-delete_contained_entities
(24206|24241) pub29<-delete_participant
(24206|24212) pub0  90% (29 samples sent)
(24206|24212) pub0  100% (32 samples sent)
(24206|24212) pub0  waiting for acks
(24206|24237) pub25  waiting for acks returned
(24206|24237) pub25<-delete_contained_entities
(24206|24233) pub22<-delete_participant
(24206|24236) pub24<-match found! before write for 0103000c.297a35f2.5e8e3e95.00000002(37c69ff2)
(24206|24236) pub24  12% (4 samples sent)
(24206|24236) pub24  21% (7 samples sent)
(24206|24236) pub24  31% (10 samples sent)
(24206|24236) pub24  40% (13 samples sent)
(24206|24236) pub24  50% (16 samples sent)
(24206|24236) pub24  62% (20 samples sent)
(24206|24236) pub24  71% (23 samples sent)
(24206|24236) pub24  81% (26 samples sent)
(24206|24226) pub12<-match found! before write for 0103000c.297a35f2.5e8e3e8e.00000002(20f63961)
(24206|24226) pub12  12% (4 samples sent)
(24206|24226) pub12  21% (7 samples sent)
(24206|24226) pub12  31% (10 samples sent)
(24206|24226) pub12  40% (13 samples sent)
(24206|24236) pub24  90% (29 samples sent)
(24206|24226) pub12  50% (16 samples sent)
(24206|24236) pub24  100% (32 samples sent)
(24206|24236) pub24  waiting for acks
(24206|24226) pub12  62% (20 samples sent)
(24206|24226) pub12  71% (23 samples sent)
(24206|24226) pub12  81% (26 samples sent)
(24206|24226) pub12  90% (29 samples sent)
(24206|24226) pub12  100% (32 samples sent)
(24206|24226) pub12  waiting for acks
(24206|24220) pub3<-match found! before write for 0103000c.297a35f2.5e8e3e82.00000002(e506d460)
(24206|24220) pub3  12% (4 samples sent)
(24206|24220) pub3  21% (7 samples sent)
(24206|24220) pub3  31% (10 samples sent)
(24206|24220) pub3  40% (13 samples sent)
(24206|24220) pub3  50% (16 samples sent)
(24206|24220) pub3  62% (20 samples sent)
(24206|24220) pub3  71% (23 samples sent)
(24206|24220) pub3  81% (26 samples sent)
(24206|24220) pub3  90% (29 samples sent)
(24206|24220) pub3  100% (32 samples sent)
(24206|24220) pub3  waiting for acks
(24206|24221) pub8<-match found! before write for 0103000c.297a35f2.5e8e3e84.00000002(6a4621c0)
(24206|24221) pub8  12% (4 samples sent)
(24206|24221) pub8  21% (7 samples sent)
(24206|24221) pub8  31% (10 samples sent)
(24206|24221) pub8  40% (13 samples sent)
(24206|24221) pub8  50% (16 samples sent)
(24206|24221) pub8  62% (20 samples sent)
(24206|24221) pub8  71% (23 samples sent)
(24206|24221) pub8  81% (26 samples sent)
(24206|24221) pub8  90% (29 samples sent)
(24206|24221) pub8  100% (32 samples sent)
(24206|24221) pub8  waiting for acks
(24206|24225) pub13  waiting for acks returned
(24206|24225) pub13<-delete_contained_entities
(24206|24239) pub27<-match found! before write for 0103000c.297a35f2.5e8e3e99.00000002(f23672f3)
(24206|24239) pub27  12% (4 samples sent)
(24206|24239) pub27  21% (7 samples sent)
(24206|24239) pub27  31% (10 samples sent)
(24206|24239) pub27  40% (13 samples sent)
(24206|24239) pub27  50% (16 samples sent)
(24206|24239) pub27  62% (20 samples sent)
(24206|24239) pub27  71% (23 samples sent)
(24206|24239) pub27  81% (26 samples sent)
(24206|24239) pub27  90% (29 samples sent)
(24206|24239) pub27  100% (32 samples sent)
(24206|24239) pub27  waiting for acks
(24206|24223) pub9<-match found! before write for 0103000c.297a35f2.5e8e3e85.00000002(57260870)
(24206|24223) pub9  12% (4 samples sent)
(24206|24223) pub9  21% (7 samples sent)
(24206|24223) pub9  31% (10 samples sent)
(24206|24223) pub9  40% (13 samples sent)
(24206|24223) pub9  50% (16 samples sent)
(24206|24223) pub9  62% (20 samples sent)
(24206|24223) pub9  71% (23 samples sent)
(24206|24223) pub9  81% (26 samples sent)
(24206|24215) pub7<-match found! before write for 0103000c.297a35f2.5e8e3e83.00000002(d866fdd0)
(24206|24215) pub7  12% (4 samples sent)
(24206|24215) pub7  21% (7 samples sent)
(24206|24215) pub7  31% (10 samples sent)
(24206|24215) pub7  40% (13 samples sent)
(24206|24215) pub7  50% (16 samples sent)
(24206|24215) pub7  62% (20 samples sent)
(24206|24215) pub7  71% (23 samples sent)
(24206|24215) pub7  81% (26 samples sent)
(24206|24215) pub7  90% (29 samples sent)
(24206|24215) pub7  100% (32 samples sent)
(24206|24215) pub7  waiting for acks
(24206|24214) pub2<-match found! before write for 0103000c.297a35f2.5e8e3e7e.00000002(68c2693c)
(24206|24214) pub2  12% (4 samples sent)
(24206|24214) pub2  21% (7 samples sent)
(24206|24214) pub2  31% (10 samples sent)
(24206|24223) pub9  90% (29 samples sent)
(24206|24223) pub9  100% (32 samples sent)
(24206|24223) pub9  waiting for acks
(24206|24211)  sub 20% (205 samples received)
(24206|24214) pub2  40% (13 samples sent)
(24206|24214) pub2  50% (16 samples sent)
(24206|24214) pub2  62% (20 samples sent)
(24206|24214) pub2  71% (23 samples sent)
(24206|24214) pub2  81% (26 samples sent)
(24206|24214) pub2  90% (29 samples sent)
(24206|24214) pub2  100% (32 samples sent)
(24206|24214) pub2  waiting for acks
(24206|24226) pub12  waiting for acks returned
(24206|24226) pub12<-delete_contained_entities
(24206|24230) pub18  waiting for acks returned
(24206|24230) pub18<-delete_contained_entities
(24206|24228) pub15  waiting for acks returned
(24206|24228) pub15<-delete_contained_entities
(24206|24218) pub6<-match found! before write for 0103000c.297a35f2.5e8e3e80.00000002(9fc68700)
(24206|24218) pub6  12% (4 samples sent)
(24206|24218) pub6  21% (7 samples sent)
(24206|24218) pub6  31% (10 samples sent)
(24206|24218) pub6  40% (13 samples sent)
(24206|24218) pub6  50% (16 samples sent)
(24206|24218) pub6  62% (20 samples sent)
(24206|24218) pub6  71% (23 samples sent)
(24206|24218) pub6  81% (26 samples sent)
(24206|24218) pub6  90% (29 samples sent)
(24206|24218) pub6  100% (32 samples sent)
(24206|24218) pub6  waiting for acks
(24206|24211)  sub 30% (308 samples received)
(24206|24217) pub5<-delete_participant
(24206|24212) pub0  waiting for acks returned
(24206|24212) pub0<-delete_contained_entities
(24206|24237) pub25<-delete_participant
(24206|24211)  sub 40% (410 samples received)
(24206|24239) pub27  waiting for acks returned
(24206|24239) pub27<-delete_contained_entities
(24206|24225) pub13<-delete_participant
(24206|24226) pub12<-delete_participant
(24206|24211)  sub 50% (512 samples received)
(24206|24216) pub16  waiting for acks returned
(24206|24216) pub16<-delete_contained_entities
(24206|24219) pub4  waiting for acks returned
(24206|24219) pub4<-delete_contained_entities
(24206|24224) pub11  waiting for acks returned
(24206|24224) pub11<-delete_contained_entities
(24206|24211)  sub 60% (615 samples received)
(24206|24223) pub9  waiting for acks returned
(24206|24223) pub9<-delete_contained_entities
(24206|24220) pub3  waiting for acks returned
(24206|24220) pub3<-delete_contained_entities
(24206|24215) pub7  waiting for acks returned
(24206|24215) pub7<-delete_contained_entities
(24206|24242) pub30  waiting for acks returned
(24206|24242) pub30<-delete_contained_entities
(24206|24240) pub28  waiting for acks returned
(24206|24240) pub28<-delete_contained_entities
(24206|24230) pub18<-delete_participant
(24206|24211)  sub 70% (717 samples received)
(24206|24228) pub15<-delete_participant
(24206|24231) pub19  waiting for acks returned
(24206|24231) pub19<-delete_contained_entities
(24206|24232) pub20  waiting for acks returned
(24206|24232) pub20<-delete_contained_entities
(24206|24236) pub24  waiting for acks returned
(24206|24236) pub24<-delete_contained_entities
(24206|24234) pub21  waiting for acks returned
(24206|24234) pub21<-delete_contained_entities
(24206|24212) pub0<-delete_participant
(24206|24239) pub27<-delete_participant
(24206|24211)  sub 80% (820 samples received)
(24206|24216) pub16<-delete_participant
(24206|24219) pub4<-delete_participant
(24206|24211)  sub 90% (922 samples received)
(24206|24211) sub condition_.notify_all
(24206|24206) sub condition_.wait returned
(24206|24206) sub check_received
(24206|24206) sub check_received returns 0
(24206|24206) <- PublisherService::end
(24206|24211) sub condition_.notify_all
(24206|24211) sub condition_.notify_all
(24206|24211) sub condition_.notify_all
(24206|24211) sub condition_.notify_all
(24206|24211) sub condition_.notify_all
(24206|24211) sub condition_.notify_all
(24206|24211) sub condition_.notify_all
(24206|24211) sub condition_.notify_all
(24206|24211) sub condition_.notify_all
(24206|24211) sub condition_.notify_all
(24206|24211) sub condition_.notify_all
(24206|24211) sub condition_.notify_all
(24206|24211) sub condition_.notify_all
(24206|24211) sub condition_.notify_all
(24206|24211) sub condition_.notify_all
(24206|24211) sub condition_.notify_all
(24206|24211) sub condition_.notify_all
(24206|24211) sub condition_.notify_all
(24206|24211) sub condition_.notify_all
(24206|24211) sub condition_.notify_all
(24206|24211) sub condition_.notify_all
(24206|24211) sub condition_.notify_all
(24206|24211) sub condition_.notify_all
(24206|24211) sub condition_.notify_all
(24206|24229) pub17  waiting for acks returned
(24206|24229) pub17<-delete_contained_entities
(24206|24221) pub8  waiting for acks returned
(24206|24221) pub8<-delete_contained_entities
(24206|24235) pub23  waiting for acks returned
(24206|24235) pub23<-delete_contained_entities
(24206|24214) pub2  waiting for acks returned
(24206|24214) pub2<-delete_contained_entities
(24206|24227) pub10  waiting for acks returned
(24206|24227) pub10<-delete_contained_entities
(24206|24243) pub31  waiting for acks returned
(24206|24243) pub31<-delete_contained_entities
(24206|24238) pub26  waiting for acks returned
(24206|24238) pub26<-delete_contained_entities
(24206|24211) sub condition_.notify_all
(24206|24211) sub condition_.notify_all
(24206|24211) sub condition_.notify_all
(24206|24211) sub condition_.notify_all
(24206|24211) sub condition_.notify_all
(24206|24211) sub condition_.notify_all
(24206|24211) sub condition_.notify_all
(24206|24211)  sub 100% (1024 samples received)
(24206|24211) sub condition_.notify_all
(24206|24222) pub14  waiting for acks returned
(24206|24222) pub14<-delete_contained_entities
(24206|24218) pub6  waiting for acks returned
(24206|24218) pub6<-delete_contained_entities
(24206|24224) pub11<-delete_participant
(24206|24223) pub9<-delete_participant
(24206|24220) pub3<-delete_participant
(24206|24215) pub7<-delete_participant
(24206|24242) pub30<-delete_participant
(24206|24240) pub28<-delete_participant
(24206|24231) pub19<-delete_participant
(24206|24232) pub20<-delete_participant
(24206|24236) pub24<-delete_participant
(24206|24234) pub21<-delete_participant
(24206|24229) pub17<-delete_participant
(24206|24221) pub8<-delete_participant
(24206|24235) pub23<-delete_participant
(24206|24214) pub2<-delete_participant
(24206|24227) pub10<-delete_participant
(24206|24243) pub31<-delete_participant
(24206|24238) pub26<-delete_participant
(24206|24222) pub14<-delete_participant
(24206|24218) pub6<-delete_participant
(24206|24206) <- PublisherService::~PublisherService
(24206|24206) <- Subscriber delete_contained_entities
(24206|24206) <- Subscriber delete_participant
(24206|24206) <- Subscriber::~Subscriber
(24206|24206) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl high rtps Time:16s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl aggressive rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 64 -s 16 -n 1024 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 24382 started at 2023-04-28 22:43:31
(24382|24382) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(24382|24382) -> Thrasher started
(24382|24382) -> Subscriber::Subscriber
(24382|24382)    Subscriber reader id: 0103000c.297a35f2.5f3e152e.00000007(a10fe8b3)
(24382|24382) -> PublisherService::PublisherService
(24382|24382) -> PublisherService::start (64 threads)
(24382|24382) sub wait_received 0:64
(24382|24390) pub1->transport cfg_1
(24382|24390) pub1  writer id: 0103000c.297a35f2.5f3e1530.00000002(0eb535df)
(24382|24390) pub1->started
(24382|24390) pub1->wait_match() before write for 0103000c.297a35f2.5f3e1530.00000002(0eb535df)
(24382|24415) pub15->transport cfg_15
(24382|24422) pub39->transport cfg_39
(24382|24398) pub9->transport cfg_9
(24382|24397) pub10->transport cfg_10
(24382|24388) pub0->transport cfg_0
(24382|24395) pub7->transport cfg_7
(24382|24398) pub9  writer id: 0103000c.297a35f2.5f3e1537.00000002(bc95e9cf)
(24382|24398) pub9->started
(24382|24398) pub9->wait_match() before write for 0103000c.297a35f2.5f3e1537.00000002(bc95e9cf)
(24382|24415) pub15  writer id: 0103000c.297a35f2.5f3e153e.00000002(b1858bbe)
(24382|24415) pub15->started
(24382|24415) pub15->wait_match() before write for 0103000c.297a35f2.5f3e153e.00000002(b1858bbe)
(24382|24422) pub39  writer id: 0103000c.297a35f2.5f3e1554.00000002(6207e452)
(24382|24422) pub39->started
(24382|24422) pub39->wait_match() before write for 0103000c.297a35f2.5f3e1554.00000002(6207e452)
(24382|24405) pub25->transport cfg_25
(24382|24389) pub2->transport cfg_2
(24382|24408) pub18->transport cfg_18
(24382|24418) pub30->transport cfg_30
(24382|24397) pub10  writer id: 0103000c.297a35f2.5f3e1538.00000002(3ec57e1e)
(24382|24397) pub10->started
(24382|24397) pub10->wait_match() before write for 0103000c.297a35f2.5f3e1538.00000002(3ec57e1e)
(24382|24402) pub16->transport cfg_16
(24382|24395) pub7  writer id: 0103000c.297a35f2.5f3e1536.00000002(81f5c07f)
(24382|24395) pub7->started
(24382|24395) pub7->wait_match() before write for 0103000c.297a35f2.5f3e1536.00000002(81f5c07f)
(24382|24405) pub25  writer id: 0103000c.297a35f2.5f3e1548.00000002(c7179ed1)
(24382|24405) pub25->started
(24382|24405) pub25->wait_match() before write for 0103000c.297a35f2.5f3e1548.00000002(c7179ed1)
(24382|24391) pub4->transport cfg_4
(24382|24403) pub12->transport cfg_12
(24382|24418) pub30  writer id: 0103000c.297a35f2.5f3e154e.00000002(48576b71)
(24382|24418) pub30->started
(24382|24418) pub30->wait_match() before write for 0103000c.297a35f2.5f3e154e.00000002(48576b71)
(24382|24388) pub0  writer id: 0103000c.297a35f2.5f3e152f.00000002(ec05358c)
(24382|24388) pub0->started
(24382|24388) pub0->wait_match() before write for 0103000c.297a35f2.5f3e152f.00000002(ec05358c)
(24382|24410) pub14->transport cfg_14
(24382|24429) pub41->transport cfg_41
(24382|24399) pub11->transport cfg_11
(24382|24402) pub16  writer id: 0103000c.297a35f2.5f3e153f.00000002(8ce5a20e)
(24382|24402) pub16->started
(24382|24402) pub16->wait_match() before write for 0103000c.297a35f2.5f3e153f.00000002(8ce5a20e)
(24382|24400) pub13->transport cfg_13
(24382|24433) pub45->transport cfg_45
(24382|24389) pub2  writer id: 0103000c.297a35f2.5f3e1531.00000002(33d51c6f)
(24382|24389) pub2->started
(24382|24389) pub2->wait_match() before write for 0103000c.297a35f2.5f3e1531.00000002(33d51c6f)
(24382|24391) pub4  writer id: 0103000c.297a35f2.5f3e1533.00000002(49154f0f)
(24382|24391) pub4->started
(24382|24391) pub4->wait_match() before write for 0103000c.297a35f2.5f3e1533.00000002(49154f0f)
(24382|24408) pub18  writer id: 0103000c.297a35f2.5f3e1541.00000002(ca07fca0)
(24382|24408) pub18->started
(24382|24408) pub18->wait_match() before write for 0103000c.297a35f2.5f3e1541.00000002(ca07fca0)
(24382|24421) pub33->transport cfg_33
(24382|24430) pub42->transport cfg_42
(24382|24424) pub34->transport cfg_34
(24382|24396) pub8->transport cfg_8
(24382|24407) pub20->transport cfg_20
(24382|24426) pub38->transport cfg_38
(24382|24394) pub6->transport cfg_6
(24382|24406) pub22->transport cfg_22
(24382|24392) pub3->transport cfg_3
(24382|24393) pub5->transport cfg_5
(24382|24411) pub21->transport cfg_21
(24382|24404) pub17->transport cfg_17
(24382|24423) pub35->transport cfg_35
(24382|24412) pub19->transport cfg_19
(24382|24401) pub23->transport cfg_23
(24382|24410) pub14  writer id: 0103000c.297a35f2.5f3e153d.00000002(f625f16e)
(24382|24410) pub14->started
(24382|24410) pub14->wait_match() before write for 0103000c.297a35f2.5f3e153d.00000002(f625f16e)
(24382|24400) pub13  writer id: 0103000c.297a35f2.5f3e153b.00000002(796504ce)
(24382|24416) pub28->transport cfg_28
(24382|24400) pub13->started
(24382|24400) pub13->wait_match() before write for 0103000c.297a35f2.5f3e153b.00000002(796504ce)
(24382|24428) pub40->transport cfg_40
(24382|24399) pub11  writer id: 0103000c.297a35f2.5f3e153a.00000002(44052d7e)
(24382|24424) pub34  writer id: 0103000c.297a35f2.5f3e1551.00000002(aae76b22)
(24382|24424) pub34->started
(24382|24424) pub34->wait_match() before write for 0103000c.297a35f2.5f3e1551.00000002(aae76b22)
(24382|24429) pub41  writer id: 0103000c.297a35f2.5f3e1557.00000002(25a79e82)
(24382|24429) pub41->started
(24382|24429) pub41->wait_match() before write for 0103000c.297a35f2.5f3e1557.00000002(25a79e82)
(24382|24430) pub42  writer id: 0103000c.297a35f2.5f3e1558.00000002(a7f70953)
(24382|24430) pub42->started
(24382|24399) pub11->started
(24382|24399) pub11->wait_match() before write for 0103000c.297a35f2.5f3e153a.00000002(44052d7e)
(24382|24419) pub31->transport cfg_31
(24382|24442) pub54->transport cfg_54
(24382|24396) pub8  writer id: 0103000c.297a35f2.5f3e1539.00000002(03a557ae)
(24382|24396) pub8->started
(24382|24396) pub8->wait_match() before write for 0103000c.297a35f2.5f3e1539.00000002(03a557ae)
(24382|24430) pub42->wait_match() before write for 0103000c.297a35f2.5f3e1558.00000002(a7f70953)
(24382|24407) pub20  writer id: 0103000c.297a35f2.5f3e1542.00000002(8da78670)
(24382|24407) pub20->started
(24382|24407) pub20->wait_match() before write for 0103000c.297a35f2.5f3e1542.00000002(8da78670)
(24382|24431) pub43->transport cfg_43
(24382|24433) pub45  writer id: 0103000c.297a35f2.5f3e155c.00000002(5277af93)
(24382|24433) pub45->started
(24382|24433) pub45->wait_match() before write for 0103000c.297a35f2.5f3e155c.00000002(5277af93)
(24382|24403) pub12  writer id: 0103000c.297a35f2.5f3e153c.00000002(cb45d8de)
(24382|24403) pub12->started
(24382|24403) pub12->wait_match() before write for 0103000c.297a35f2.5f3e153c.00000002(cb45d8de)
(24382|24426) pub38  writer id: 0103000c.297a35f2.5f3e1556.00000002(18c7b732)
(24382|24426) pub38->started
(24382|24426) pub38->wait_match() before write for 0103000c.297a35f2.5f3e1556.00000002(18c7b732)
(24382|24434) pub46->transport cfg_46
(24382|24421) pub33  writer id: 0103000c.297a35f2.5f3e1550.00000002(97874292)
(24382|24421) pub33->started
(24382|24421) pub33->wait_match() before write for 0103000c.297a35f2.5f3e1550.00000002(97874292)
(24382|24393) pub5  writer id: 0103000c.297a35f2.5f3e1534.00000002(fb35931f)
(24382|24393) pub5->started
(24382|24393) pub5->wait_match() before write for 0103000c.297a35f2.5f3e1534.00000002(fb35931f)
(24382|24404) pub17  writer id: 0103000c.297a35f2.5f3e1540.00000002(f767d510)
(24382|24404) pub17->started
(24382|24404) pub17->wait_match() before write for 0103000c.297a35f2.5f3e1540.00000002(f767d510)
(24382|24392) pub3  writer id: 0103000c.297a35f2.5f3e1532.00000002(747566bf)
(24382|24392) pub3->started
(24382|24392) pub3->wait_match() before write for 0103000c.297a35f2.5f3e1532.00000002(747566bf)
(24382|24394) pub6  writer id: 0103000c.297a35f2.5f3e1535.00000002(c655baaf)
(24382|24394) pub6->started
(24382|24394) pub6->wait_match() before write for 0103000c.297a35f2.5f3e1535.00000002(c655baaf)
(24382|24406) pub22  writer id: 0103000c.297a35f2.5f3e1545.00000002(3f875a60)
(24382|24406) pub22->started
(24382|24406) pub22->wait_match() before write for 0103000c.297a35f2.5f3e1545.00000002(3f875a60)
(24382|24423) pub35  writer id: 0103000c.297a35f2.5f3e1553.00000002(d0273842)
(24382|24423) pub35->started
(24382|24423) pub35->wait_match() before write for 0103000c.297a35f2.5f3e1553.00000002(d0273842)
(24382|24437) pub49->transport cfg_49
(24382|24414) pub26->transport cfg_26
(24382|24413) pub27->transport cfg_27
(24382|24411) pub21  writer id: 0103000c.297a35f2.5f3e1544.00000002(02e773d0)
(24382|24411) pub21->started
(24382|24411) pub21->wait_match() before write for 0103000c.297a35f2.5f3e1544.00000002(02e773d0)
(24382|24409) pub24->transport cfg_24
(24382|24427) pub37->transport cfg_37
(24382|24432) pub44->transport cfg_44
(24382|24428) pub40  writer id: 0103000c.297a35f2.5f3e155f.00000002(15d7d543)
(24382|24428) pub40->started
(24382|24428) pub40->wait_match() before write for 0103000c.297a35f2.5f3e155f.00000002(15d7d543)
(24382|24412) pub19  writer id: 0103000c.297a35f2.5f3e1543.00000002(b0c7afc0)
(24382|24412) pub19->started
(24382|24417) pub29->transport cfg_29
(24382|24412) pub19->wait_match() before write for 0103000c.297a35f2.5f3e1543.00000002(b0c7afc0)
(24382|24416) pub28  writer id: 0103000c.297a35f2.5f3e154c.00000002(32973811)
(24382|24416) pub28->started
(24382|24416) pub28->wait_match() before write for 0103000c.297a35f2.5f3e154c.00000002(32973811)
(24382|24435) pub47->transport cfg_47
(24382|24425) pub36->transport cfg_36
(24382|24401) pub23  writer id: 0103000c.297a35f2.5f3e1546.00000002(782720b0)
(24382|24401) pub23->started
(24382|24401) pub23->wait_match() before write for 0103000c.297a35f2.5f3e1546.00000002(782720b0)
(24382|24448) pub60->transport cfg_60
(24382|24443) pub55->transport cfg_55
(24382|24441) pub52->transport cfg_52
(24382|24420) pub32->transport cfg_32
(24382|24439) pub51->transport cfg_51
(24382|24434) pub46  writer id: 0103000c.297a35f2.5f3e155b.00000002(e0577383)
(24382|24434) pub46->started
(24382|24434) pub46->wait_match() before write for 0103000c.297a35f2.5f3e155b.00000002(e0577383)
(24382|24442) pub54  writer id: 0103000c.297a35f2.5f3e1565.00000002(fe467564)
(24382|24442) pub54->started
(24382|24442) pub54->wait_match() before write for 0103000c.297a35f2.5f3e1565.00000002(fe467564)
(24382|24440) pub53->transport cfg_53
(24382|24431) pub43  writer id: 0103000c.297a35f2.5f3e1559.00000002(9a9720e3)
(24382|24431) pub43->started
(24382|24431) pub43->wait_match() before write for 0103000c.297a35f2.5f3e1559.00000002(9a9720e3)
(24382|24437) pub49  writer id: 0103000c.297a35f2.5f3e1560.00000002(36a6fa14)
(24382|24437) pub49->started
(24382|24445) pub57->transport cfg_57
(24382|24444) pub56->transport cfg_56
(24382|24436) pub48->transport cfg_48
(24382|24451) pub63->transport cfg_63
(24382|24447) pub59->transport cfg_59
(24382|24446) pub58->transport cfg_58
(24382|24449) pub61->transport cfg_61
(24382|24409) pub24  writer id: 0103000c.297a35f2.5f3e1547.00000002(45470900)
(24382|24409) pub24->started
(24382|24409) pub24->wait_match() before write for 0103000c.297a35f2.5f3e1547.00000002(45470900)
(24382|24438) pub50->transport cfg_50
(24382|24419) pub31  writer id: 0103000c.297a35f2.5f3e154d.00000002(0ff711a1)
(24382|24419) pub31->started
(24382|24419) pub31->wait_match() before write for 0103000c.297a35f2.5f3e154d.00000002(0ff711a1)
(24382|24414) pub26  writer id: 0103000c.297a35f2.5f3e1549.00000002(fa77b761)
(24382|24414) pub26->started
(24382|24414) pub26->wait_match() before write for 0103000c.297a35f2.5f3e1549.00000002(fa77b761)
(24382|24427) pub37  writer id: 0103000c.297a35f2.5f3e1555.00000002(5f67cde2)
(24382|24427) pub37->started
(24382|24427) pub37->wait_match() before write for 0103000c.297a35f2.5f3e1555.00000002(5f67cde2)
(24382|24437) pub49->wait_match() before write for 0103000c.297a35f2.5f3e1560.00000002(36a6fa14)
(24382|24432) pub44  writer id: 0103000c.297a35f2.5f3e155a.00000002(dd375a33)
(24382|24450) pub62->transport cfg_62
(24382|24435) pub47  writer id: 0103000c.297a35f2.5f3e155d.00000002(6f178623)
(24382|24435) pub47->started
(24382|24435) pub47->wait_match() before write for 0103000c.297a35f2.5f3e155d.00000002(6f178623)
(24382|24417) pub29  writer id: 0103000c.297a35f2.5f3e154b.00000002(80b7e401)
(24382|24417) pub29->started
(24382|24417) pub29->wait_match() before write for 0103000c.297a35f2.5f3e154b.00000002(80b7e401)
(24382|24432) pub44->started
(24382|24432) pub44->wait_match() before write for 0103000c.297a35f2.5f3e155a.00000002(dd375a33)
(24382|24425) pub36  writer id: 0103000c.297a35f2.5f3e1552.00000002(ed4711f2)
(24382|24425) pub36->started
(24382|24425) pub36->wait_match() before write for 0103000c.297a35f2.5f3e1552.00000002(ed4711f2)
(24382|24441) pub52  writer id: 0103000c.297a35f2.5f3e1562.00000002(4c66a974)
(24382|24441) pub52->started
(24382|24441) pub52->wait_match() before write for 0103000c.297a35f2.5f3e1562.00000002(4c66a974)
(24382|24439) pub51  writer id: 0103000c.297a35f2.5f3e1561.00000002(0bc6d3a4)
(24382|24439) pub51->started
(24382|24439) pub51->wait_match() before write for 0103000c.297a35f2.5f3e1561.00000002(0bc6d3a4)
(24382|24420) pub32  writer id: 0103000c.297a35f2.5f3e154f.00000002(753742c1)
(24382|24420) pub32->started
(24382|24420) pub32->wait_match() before write for 0103000c.297a35f2.5f3e154f.00000002(753742c1)
(24382|24413) pub27  writer id: 0103000c.297a35f2.5f3e154a.00000002(bdd7cdb1)
(24382|24413) pub27->started
(24382|24413) pub27->wait_match() before write for 0103000c.297a35f2.5f3e154a.00000002(bdd7cdb1)
(24382|24447) pub59  writer id: 0103000c.297a35f2.5f3e156d.00000002(ce363ea5)
(24382|24447) pub59->started
(24382|24447) pub59->wait_match() before write for 0103000c.297a35f2.5f3e156d.00000002(ce363ea5)
(24382|24448) pub60  writer id: 0103000c.297a35f2.5f3e156e.00000002(89964475)
(24382|24448) pub60->started
(24382|24448) pub60->wait_match() before write for 0103000c.297a35f2.5f3e156e.00000002(89964475)
(24382|24445) pub57  writer id: 0103000c.297a35f2.5f3e1567.00000002(84862604)
(24382|24445) pub57->started
(24382|24445) pub57->wait_match() before write for 0103000c.297a35f2.5f3e1567.00000002(84862604)
(24382|24436) pub48  writer id: 0103000c.297a35f2.5f3e155e.00000002(28b7fcf3)
(24382|24436) pub48->started
(24382|24436) pub48->wait_match() before write for 0103000c.297a35f2.5f3e155e.00000002(28b7fcf3)
(24382|24440) pub53  writer id: 0103000c.297a35f2.5f3e1563.00000002(710680c4)
(24382|24440) pub53->started
(24382|24440) pub53->wait_match() before write for 0103000c.297a35f2.5f3e1563.00000002(710680c4)
(24382|24451) pub63  writer id: 0103000c.297a35f2.5f3e156c.00000002(f3561715)
(24382|24451) pub63->started
(24382|24451) pub63->wait_match() before write for 0103000c.297a35f2.5f3e156c.00000002(f3561715)
(24382|24446) pub58  writer id: 0103000c.297a35f2.5f3e1568.00000002(06d6b1d5)
(24382|24446) pub58->started
(24382|24446) pub58->wait_match() before write for 0103000c.297a35f2.5f3e1568.00000002(06d6b1d5)
(24382|24438) pub50  writer id: 0103000c.297a35f2.5f3e1569.00000002(3bb69865)
(24382|24438) pub50->started
(24382|24438) pub50->wait_match() before write for 0103000c.297a35f2.5f3e1569.00000002(3bb69865)
(24382|24443) pub55  writer id: 0103000c.297a35f2.5f3e1564.00000002(c3265cd4)
(24382|24443) pub55->started
(24382|24443) pub55->wait_match() before write for 0103000c.297a35f2.5f3e1564.00000002(c3265cd4)
(24382|24449) pub61  writer id: 0103000c.297a35f2.5f3e156a.00000002(7c16e2b5)
(24382|24449) pub61->started
(24382|24449) pub61->wait_match() before write for 0103000c.297a35f2.5f3e156a.00000002(7c16e2b5)
(24382|24444) pub56  writer id: 0103000c.297a35f2.5f3e1566.00000002(b9e60fb4)
(24382|24444) pub56->started
(24382|24444) pub56->wait_match() before write for 0103000c.297a35f2.5f3e1566.00000002(b9e60fb4)
(24382|24450) pub62  writer id: 0103000c.297a35f2.5f3e156b.00000002(4176cb05)
(24382|24450) pub62->started
(24382|24450) pub62->wait_match() before write for 0103000c.297a35f2.5f3e156b.00000002(4176cb05)
(24382|24395) pub7<-match found! before write for 0103000c.297a35f2.5f3e1536.00000002(81f5c07f)
(24382|24395) pub7  12% (2 samples sent)
(24382|24395) pub7  25% (4 samples sent)
(24382|24395) pub7  31% (5 samples sent)
(24382|24395) pub7  43% (7 samples sent)
(24382|24395) pub7  50% (8 samples sent)
(24382|24395) pub7  62% (10 samples sent)
(24382|24395) pub7  75% (12 samples sent)
(24382|24395) pub7  81% (13 samples sent)
(24382|24395) pub7  93% (15 samples sent)
(24382|24395) pub7  100% (16 samples sent)
(24382|24395) pub7  waiting for acks
(24382|24395) pub7  waiting for acks returned
(24382|24395) pub7<-delete_contained_entities
(24382|24395) pub7<-delete_participant
(24382|24421) pub33<-match found! before write for 0103000c.297a35f2.5f3e1550.00000002(97874292)
(24382|24421) pub33  12% (2 samples sent)
(24382|24421) pub33  25% (4 samples sent)
(24382|24421) pub33  31% (5 samples sent)
(24382|24421) pub33  43% (7 samples sent)
(24382|24421) pub33  50% (8 samples sent)
(24382|24421) pub33  62% (10 samples sent)
(24382|24421) pub33  75% (12 samples sent)
(24382|24421) pub33  81% (13 samples sent)
(24382|24421) pub33  93% (15 samples sent)
(24382|24421) pub33  100% (16 samples sent)
(24382|24421) pub33  waiting for acks
(24382|24421) pub33  waiting for acks returned
(24382|24421) pub33<-delete_contained_entities
(24382|24421) pub33<-delete_participant
(24382|24389) pub2<-match found! before write for 0103000c.297a35f2.5f3e1531.00000002(33d51c6f)
(24382|24389) pub2  12% (2 samples sent)
(24382|24389) pub2  25% (4 samples sent)
(24382|24389) pub2  31% (5 samples sent)
(24382|24389) pub2  43% (7 samples sent)
(24382|24389) pub2  50% (8 samples sent)
(24382|24389) pub2  62% (10 samples sent)
(24382|24389) pub2  75% (12 samples sent)
(24382|24389) pub2  81% (13 samples sent)
(24382|24389) pub2  93% (15 samples sent)
(24382|24389) pub2  100% (16 samples sent)
(24382|24389) pub2  waiting for acks
(24382|24389) pub2  waiting for acks returned
(24382|24389) pub2<-delete_contained_entities
(24382|24389) pub2<-delete_participant
(24382|24418) pub30<-match found! before write for 0103000c.297a35f2.5f3e154e.00000002(48576b71)
(24382|24418) pub30  12% (2 samples sent)
(24382|24418) pub30  25% (4 samples sent)
(24382|24418) pub30  31% (5 samples sent)
(24382|24418) pub30  43% (7 samples sent)
(24382|24418) pub30  50% (8 samples sent)
(24382|24418) pub30  62% (10 samples sent)
(24382|24418) pub30  75% (12 samples sent)
(24382|24418) pub30  81% (13 samples sent)
(24382|24418) pub30  93% (15 samples sent)
(24382|24418) pub30  100% (16 samples sent)
(24382|24418) pub30  waiting for acks
(24382|24418) pub30  waiting for acks returned
(24382|24418) pub30<-delete_contained_entities
(24382|24418) pub30<-delete_participant
(24382|24388) pub0<-match found! before write for 0103000c.297a35f2.5f3e152f.00000002(ec05358c)
(24382|24388) pub0  12% (2 samples sent)
(24382|24388) pub0  25% (4 samples sent)
(24382|24388) pub0  31% (5 samples sent)
(24382|24388) pub0  43% (7 samples sent)
(24382|24388) pub0  50% (8 samples sent)
(24382|24388) pub0  62% (10 samples sent)
(24382|24388) pub0  75% (12 samples sent)
(24382|24388) pub0  81% (13 samples sent)
(24382|24388) pub0  93% (15 samples sent)
(24382|24388) pub0  100% (16 samples sent)
(24382|24388) pub0  waiting for acks
(24382|24409) pub24<-match found! before write for 0103000c.297a35f2.5f3e1547.00000002(45470900)
(24382|24409) pub24  12% (2 samples sent)
(24382|24409) pub24  25% (4 samples sent)
(24382|24409) pub24  31% (5 samples sent)
(24382|24409) pub24  43% (7 samples sent)
(24382|24409) pub24  50% (8 samples sent)
(24382|24409) pub24  62% (10 samples sent)
(24382|24409) pub24  75% (12 samples sent)
(24382|24409) pub24  81% (13 samples sent)
(24382|24409) pub24  93% (15 samples sent)
(24382|24409) pub24  100% (16 samples sent)
(24382|24409) pub24  waiting for acks
(24382|24446) pub58<-match found! before write for 0103000c.297a35f2.5f3e1568.00000002(06d6b1d5)
(24382|24446) pub58  12% (2 samples sent)
(24382|24446) pub58  25% (4 samples sent)
(24382|24446) pub58  31% (5 samples sent)
(24382|24446) pub58  43% (7 samples sent)
(24382|24446) pub58  50% (8 samples sent)
(24382|24446) pub58  62% (10 samples sent)
(24382|24446) pub58  75% (12 samples sent)
(24382|24446) pub58  81% (13 samples sent)
(24382|24446) pub58  93% (15 samples sent)
(24382|24446) pub58  100% (16 samples sent)
(24382|24446) pub58  waiting for acks
(24382|24427) pub37<-match found! before write for 0103000c.297a35f2.5f3e1555.00000002(5f67cde2)
(24382|24427) pub37  12% (2 samples sent)
(24382|24427) pub37  25% (4 samples sent)
(24382|24427) pub37  31% (5 samples sent)
(24382|24427) pub37  43% (7 samples sent)
(24382|24427) pub37  50% (8 samples sent)
(24382|24427) pub37  62% (10 samples sent)
(24382|24427) pub37  75% (12 samples sent)
(24382|24427) pub37  81% (13 samples sent)
(24382|24427) pub37  93% (15 samples sent)
(24382|24427) pub37  100% (16 samples sent)
(24382|24427) pub37  waiting for acks
(24382|24400) pub13<-match found! before write for 0103000c.297a35f2.5f3e153b.00000002(796504ce)
(24382|24400) pub13  12% (2 samples sent)
(24382|24400) pub13  25% (4 samples sent)
(24382|24400) pub13  31% (5 samples sent)
(24382|24400) pub13  43% (7 samples sent)
(24382|24400) pub13  50% (8 samples sent)
(24382|24400) pub13  62% (10 samples sent)
(24382|24400) pub13  75% (12 samples sent)
(24382|24400) pub13  81% (13 samples sent)
(24382|24400) pub13  93% (15 samples sent)
(24382|24400) pub13  100% (16 samples sent)
(24382|24400) pub13  waiting for acks
(24382|24425) pub36<-match found! before write for 0103000c.297a35f2.5f3e1552.00000002(ed4711f2)
(24382|24425) pub36  12% (2 samples sent)
(24382|24425) pub36  25% (4 samples sent)
(24382|24425) pub36  31% (5 samples sent)
(24382|24425) pub36  43% (7 samples sent)
(24382|24425) pub36  50% (8 samples sent)
(24382|24425) pub36  62% (10 samples sent)
(24382|24425) pub36  75% (12 samples sent)
(24382|24425) pub36  81% (13 samples sent)
(24382|24425) pub36  93% (15 samples sent)
(24382|24425) pub36  100% (16 samples sent)
(24382|24425) pub36  waiting for acks
(24382|24432) pub44<-match found! before write for 0103000c.297a35f2.5f3e155a.00000002(dd375a33)
(24382|24432) pub44  12% (2 samples sent)
(24382|24432) pub44  25% (4 samples sent)
(24382|24432) pub44  31% (5 samples sent)
(24382|24432) pub44  43% (7 samples sent)
(24382|24432) pub44  50% (8 samples sent)
(24382|24432) pub44  62% (10 samples sent)
(24382|24432) pub44  75% (12 samples sent)
(24382|24432) pub44  81% (13 samples sent)
(24382|24432) pub44  93% (15 samples sent)
(24382|24432) pub44  100% (16 samples sent)
(24382|24432) pub44  waiting for acks
(24382|24425) pub36  waiting for acks returned
(24382|24425) pub36<-delete_contained_entities
(24382|24425) pub36<-delete_participant
(24382|24432) pub44  waiting for acks returned
(24382|24432) pub44<-delete_contained_entities
(24382|24432) pub44<-delete_participant
(24382|24407) pub20<-match found! before write for 0103000c.297a35f2.5f3e1542.00000002(8da78670)
(24382|24407) pub20  12% (2 samples sent)
(24382|24407) pub20  25% (4 samples sent)
(24382|24407) pub20  31% (5 samples sent)
(24382|24407) pub20  43% (7 samples sent)
(24382|24407) pub20  50% (8 samples sent)
(24382|24407) pub20  62% (10 samples sent)
(24382|24387)  sub 10% (103 samples received)
(24382|24407) pub20  75% (12 samples sent)
(24382|24407) pub20  81% (13 samples sent)
(24382|24407) pub20  93% (15 samples sent)
(24382|24407) pub20  100% (16 samples sent)
(24382|24407) pub20  waiting for acks
(24382|24407) pub20  waiting for acks returned
(24382|24407) pub20<-delete_contained_entities
(24382|24403) pub12<-match found! before write for 0103000c.297a35f2.5f3e153c.00000002(cb45d8de)
(24382|24403) pub12  12% (2 samples sent)
(24382|24403) pub12  25% (4 samples sent)
(24382|24403) pub12  31% (5 samples sent)
(24382|24403) pub12  43% (7 samples sent)
(24382|24403) pub12  50% (8 samples sent)
(24382|24403) pub12  62% (10 samples sent)
(24382|24403) pub12  75% (12 samples sent)
(24382|24403) pub12  81% (13 samples sent)
(24382|24403) pub12  93% (15 samples sent)
(24382|24403) pub12  100% (16 samples sent)
(24382|24403) pub12  waiting for acks
(24382|24403) pub12  waiting for acks returned
(24382|24403) pub12<-delete_contained_entities
(24382|24407) pub20<-delete_participant
(24382|24403) pub12<-delete_participant
(24382|24446) pub58  waiting for acks returned
(24382|24446) pub58<-delete_contained_entities
(24382|24446) pub58<-delete_participant
(24382|24396) pub8<-match found! before write for 0103000c.297a35f2.5f3e1539.00000002(03a557ae)
(24382|24396) pub8  12% (2 samples sent)
(24382|24396) pub8  25% (4 samples sent)
(24382|24396) pub8  31% (5 samples sent)
(24382|24396) pub8  43% (7 samples sent)
(24382|24396) pub8  50% (8 samples sent)
(24382|24396) pub8  62% (10 samples sent)
(24382|24396) pub8  75% (12 samples sent)
(24382|24396) pub8  81% (13 samples sent)
(24382|24396) pub8  93% (15 samples sent)
(24382|24396) pub8  100% (16 samples sent)
(24382|24396) pub8  waiting for acks
(24382|24402) pub16<-match found! before write for 0103000c.297a35f2.5f3e153f.00000002(8ce5a20e)
(24382|24402) pub16  12% (2 samples sent)
(24382|24402) pub16  25% (4 samples sent)
(24382|24402) pub16  31% (5 samples sent)
(24382|24402) pub16  43% (7 samples sent)
(24382|24402) pub16  50% (8 samples sent)
(24382|24402) pub16  62% (10 samples sent)
(24382|24402) pub16  75% (12 samples sent)
(24382|24402) pub16  81% (13 samples sent)
(24382|24402) pub16  93% (15 samples sent)
(24382|24402) pub16  100% (16 samples sent)
(24382|24402) pub16  waiting for acks
(24382|24394) pub6<-match found! before write for 0103000c.297a35f2.5f3e1535.00000002(c655baaf)
(24382|24394) pub6  12% (2 samples sent)
(24382|24394) pub6  25% (4 samples sent)
(24382|24394) pub6  31% (5 samples sent)
(24382|24394) pub6  43% (7 samples sent)
(24382|24394) pub6  50% (8 samples sent)
(24382|24394) pub6  62% (10 samples sent)
(24382|24394) pub6  75% (12 samples sent)
(24382|24394) pub6  81% (13 samples sent)
(24382|24394) pub6  93% (15 samples sent)
(24382|24394) pub6  100% (16 samples sent)
(24382|24394) pub6  waiting for acks
(24382|24394) pub6  waiting for acks returned
(24382|24394) pub6<-delete_contained_entities
(24382|24409) pub24  waiting for acks returned
(24382|24409) pub24<-delete_contained_entities
(24382|24394) pub6<-delete_participant
(24382|24409) pub24<-delete_participant
(24382|24410) pub14<-match found! before write for 0103000c.297a35f2.5f3e153d.00000002(f625f16e)
(24382|24410) pub14  12% (2 samples sent)
(24382|24410) pub14  25% (4 samples sent)
(24382|24410) pub14  31% (5 samples sent)
(24382|24410) pub14  43% (7 samples sent)
(24382|24410) pub14  50% (8 samples sent)
(24382|24410) pub14  62% (10 samples sent)
(24382|24410) pub14  75% (12 samples sent)
(24382|24410) pub14  81% (13 samples sent)
(24382|24410) pub14  93% (15 samples sent)
(24382|24410) pub14  100% (16 samples sent)
(24382|24410) pub14  waiting for acks
(24382|24427) pub37  waiting for acks returned
(24382|24427) pub37<-delete_contained_entities
(24382|24387)  sub 20% (205 samples received)
(24382|24400) pub13  waiting for acks returned
(24382|24400) pub13<-delete_contained_entities
(24382|24427) pub37<-delete_participant
(24382|24400) pub13<-delete_participant
(24382|24417) pub29<-match found! before write for 0103000c.297a35f2.5f3e154b.00000002(80b7e401)
(24382|24417) pub29  12% (2 samples sent)
(24382|24417) pub29  25% (4 samples sent)
(24382|24417) pub29  31% (5 samples sent)
(24382|24417) pub29  43% (7 samples sent)
(24382|24417) pub29  50% (8 samples sent)
(24382|24417) pub29  62% (10 samples sent)
(24382|24417) pub29  75% (12 samples sent)
(24382|24417) pub29  81% (13 samples sent)
(24382|24417) pub29  93% (15 samples sent)
(24382|24417) pub29  100% (16 samples sent)
(24382|24417) pub29  waiting for acks
(24382|24417) pub29  waiting for acks returned
(24382|24417) pub29<-delete_contained_entities
(24382|24417) pub29<-delete_participant
(24382|24442) pub54<-match found! before write for 0103000c.297a35f2.5f3e1565.00000002(fe467564)
(24382|24442) pub54  12% (2 samples sent)
(24382|24442) pub54  25% (4 samples sent)
(24382|24442) pub54  31% (5 samples sent)
(24382|24442) pub54  43% (7 samples sent)
(24382|24442) pub54  50% (8 samples sent)
(24382|24442) pub54  62% (10 samples sent)
(24382|24442) pub54  75% (12 samples sent)
(24382|24442) pub54  81% (13 samples sent)
(24382|24442) pub54  93% (15 samples sent)
(24382|24442) pub54  100% (16 samples sent)
(24382|24442) pub54  waiting for acks
(24382|24388) pub0  waiting for acks returned
(24382|24388) pub0<-delete_contained_entities
(24382|24388) pub0<-delete_participant
(24382|24430) pub42<-match found! before write for 0103000c.297a35f2.5f3e1558.00000002(a7f70953)
(24382|24430) pub42  12% (2 samples sent)
(24382|24430) pub42  25% (4 samples sent)
(24382|24430) pub42  31% (5 samples sent)
(24382|24430) pub42  43% (7 samples sent)
(24382|24430) pub42  50% (8 samples sent)
(24382|24430) pub42  62% (10 samples sent)
(24382|24430) pub42  75% (12 samples sent)
(24382|24430) pub42  81% (13 samples sent)
(24382|24430) pub42  93% (15 samples sent)
(24382|24430) pub42  100% (16 samples sent)
(24382|24430) pub42  waiting for acks
(24382|24411) pub21<-match found! before write for 0103000c.297a35f2.5f3e1544.00000002(02e773d0)
(24382|24411) pub21  12% (2 samples sent)
(24382|24411) pub21  25% (4 samples sent)
(24382|24411) pub21  31% (5 samples sent)
(24382|24411) pub21  43% (7 samples sent)
(24382|24411) pub21  50% (8 samples sent)
(24382|24411) pub21  62% (10 samples sent)
(24382|24411) pub21  75% (12 samples sent)
(24382|24411) pub21  81% (13 samples sent)
(24382|24411) pub21  93% (15 samples sent)
(24382|24411) pub21  100% (16 samples sent)
(24382|24411) pub21  waiting for acks
(24382|24391) pub4<-match found! before write for 0103000c.297a35f2.5f3e1533.00000002(49154f0f)
(24382|24391) pub4  12% (2 samples sent)
(24382|24391) pub4  25% (4 samples sent)
(24382|24391) pub4  31% (5 samples sent)
(24382|24391) pub4  43% (7 samples sent)
(24382|24391) pub4  50% (8 samples sent)
(24382|24391) pub4  62% (10 samples sent)
(24382|24391) pub4  75% (12 samples sent)
(24382|24391) pub4  81% (13 samples sent)
(24382|24391) pub4  93% (15 samples sent)
(24382|24391) pub4  100% (16 samples sent)
(24382|24391) pub4  waiting for acks
(24382|24440) pub53<-match found! before write for 0103000c.297a35f2.5f3e1563.00000002(710680c4)
(24382|24440) pub53  12% (2 samples sent)
(24382|24440) pub53  25% (4 samples sent)
(24382|24440) pub53  31% (5 samples sent)
(24382|24440) pub53  43% (7 samples sent)
(24382|24440) pub53  50% (8 samples sent)
(24382|24440) pub53  62% (10 samples sent)
(24382|24440) pub53  75% (12 samples sent)
(24382|24440) pub53  81% (13 samples sent)
(24382|24440) pub53  93% (15 samples sent)
(24382|24440) pub53  100% (16 samples sent)
(24382|24440) pub53  waiting for acks
(24382|24437) pub49<-match found! before write for 0103000c.297a35f2.5f3e1560.00000002(36a6fa14)
(24382|24437) pub49  12% (2 samples sent)
(24382|24437) pub49  25% (4 samples sent)
(24382|24437) pub49  31% (5 samples sent)
(24382|24437) pub49  43% (7 samples sent)
(24382|24437) pub49  50% (8 samples sent)
(24382|24437) pub49  62% (10 samples sent)
(24382|24437) pub49  75% (12 samples sent)
(24382|24437) pub49  81% (13 samples sent)
(24382|24437) pub49  93% (15 samples sent)
(24382|24437) pub49  100% (16 samples sent)
(24382|24437) pub49  waiting for acks
(24382|24441) pub52<-match found! before write for 0103000c.297a35f2.5f3e1562.00000002(4c66a974)
(24382|24441) pub52  12% (2 samples sent)
(24382|24441) pub52  25% (4 samples sent)
(24382|24441) pub52  31% (5 samples sent)
(24382|24441) pub52  43% (7 samples sent)
(24382|24441) pub52  50% (8 samples sent)
(24382|24441) pub52  62% (10 samples sent)
(24382|24441) pub52  75% (12 samples sent)
(24382|24441) pub52  81% (13 samples sent)
(24382|24441) pub52  93% (15 samples sent)
(24382|24441) pub52  100% (16 samples sent)
(24382|24441) pub52  waiting for acks
(24382|24410) pub14  waiting for acks returned
(24382|24410) pub14<-delete_contained_entities
(24382|24396) pub8  waiting for acks returned
(24382|24396) pub8<-delete_contained_entities
(24382|24410) pub14<-delete_participant
(24382|24390) pub1<-match found! before write for 0103000c.297a35f2.5f3e1530.00000002(0eb535df)
(24382|24390) pub1  12% (2 samples sent)
(24382|24390) pub1  25% (4 samples sent)
(24382|24390) pub1  31% (5 samples sent)
(24382|24390) pub1  43% (7 samples sent)
(24382|24390) pub1  50% (8 samples sent)
(24382|24390) pub1  62% (10 samples sent)
(24382|24390) pub1  75% (12 samples sent)
(24382|24390) pub1  81% (13 samples sent)
(24382|24390) pub1  93% (15 samples sent)
(24382|24390) pub1  100% (16 samples sent)
(24382|24390) pub1  waiting for acks
(24382|24396) pub8<-delete_participant
(24382|24402) pub16  waiting for acks returned
(24382|24402) pub16<-delete_contained_entities
(24382|24402) pub16<-delete_participant
(24382|24444) pub56<-match found! before write for 0103000c.297a35f2.5f3e1566.00000002(b9e60fb4)
(24382|24444) pub56  12% (2 samples sent)
(24382|24444) pub56  25% (4 samples sent)
(24382|24444) pub56  31% (5 samples sent)
(24382|24444) pub56  43% (7 samples sent)
(24382|24444) pub56  50% (8 samples sent)
(24382|24444) pub56  62% (10 samples sent)
(24382|24444) pub56  75% (12 samples sent)
(24382|24444) pub56  81% (13 samples sent)
(24382|24444) pub56  93% (15 samples sent)
(24382|24444) pub56  100% (16 samples sent)
(24382|24444) pub56  waiting for acks
(24382|24444) pub56  waiting for acks returned
(24382|24444) pub56<-delete_contained_entities
(24382|24444) pub56<-delete_participant
(24382|24447) pub59<-match found! before write for 0103000c.297a35f2.5f3e156d.00000002(ce363ea5)
(24382|24447) pub59  12% (2 samples sent)
(24382|24447) pub59  25% (4 samples sent)
(24382|24447) pub59  31% (5 samples sent)
(24382|24447) pub59  43% (7 samples sent)
(24382|24447) pub59  50% (8 samples sent)
(24382|24447) pub59  62% (10 samples sent)
(24382|24447) pub59  75% (12 samples sent)
(24382|24387)  sub 30% (308 samples received)
(24382|24447) pub59  81% (13 samples sent)
(24382|24447) pub59  93% (15 samples sent)
(24382|24447) pub59  100% (16 samples sent)
(24382|24447) pub59  waiting for acks
(24382|24413) pub27<-match found! before write for 0103000c.297a35f2.5f3e154a.00000002(bdd7cdb1)
(24382|24413) pub27  12% (2 samples sent)
(24382|24413) pub27  25% (4 samples sent)
(24382|24413) pub27  31% (5 samples sent)
(24382|24413) pub27  43% (7 samples sent)
(24382|24413) pub27  50% (8 samples sent)
(24382|24413) pub27  62% (10 samples sent)
(24382|24413) pub27  75% (12 samples sent)
(24382|24413) pub27  81% (13 samples sent)
(24382|24413) pub27  93% (15 samples sent)
(24382|24413) pub27  100% (16 samples sent)
(24382|24413) pub27  waiting for acks
(24382|24405) pub25<-match found! before write for 0103000c.297a35f2.5f3e1548.00000002(c7179ed1)
(24382|24405) pub25  12% (2 samples sent)
(24382|24405) pub25  25% (4 samples sent)
(24382|24405) pub25  31% (5 samples sent)
(24382|24405) pub25  43% (7 samples sent)
(24382|24405) pub25  50% (8 samples sent)
(24382|24405) pub25  62% (10 samples sent)
(24382|24405) pub25  75% (12 samples sent)
(24382|24405) pub25  81% (13 samples sent)
(24382|24405) pub25  93% (15 samples sent)
(24382|24405) pub25  100% (16 samples sent)
(24382|24405) pub25  waiting for acks
(24382|24449) pub61<-match found! before write for 0103000c.297a35f2.5f3e156a.00000002(7c16e2b5)
(24382|24449) pub61  12% (2 samples sent)
(24382|24449) pub61  25% (4 samples sent)
(24382|24449) pub61  31% (5 samples sent)
(24382|24449) pub61  43% (7 samples sent)
(24382|24449) pub61  50% (8 samples sent)
(24382|24449) pub61  62% (10 samples sent)
(24382|24449) pub61  75% (12 samples sent)
(24382|24449) pub61  81% (13 samples sent)
(24382|24449) pub61  93% (15 samples sent)
(24382|24449) pub61  100% (16 samples sent)
(24382|24449) pub61  waiting for acks
(24382|24413) pub27  waiting for acks returned
(24382|24413) pub27<-delete_contained_entities
(24382|24405) pub25  waiting for acks returned
(24382|24405) pub25<-delete_contained_entities
(24382|24412) pub19<-match found! before write for 0103000c.297a35f2.5f3e1543.00000002(b0c7afc0)
(24382|24412) pub19  12% (2 samples sent)
(24382|24412) pub19  25% (4 samples sent)
(24382|24412) pub19  31% (5 samples sent)
(24382|24412) pub19  43% (7 samples sent)
(24382|24412) pub19  50% (8 samples sent)
(24382|24412) pub19  62% (10 samples sent)
(24382|24412) pub19  75% (12 samples sent)
(24382|24412) pub19  81% (13 samples sent)
(24382|24412) pub19  93% (15 samples sent)
(24382|24412) pub19  100% (16 samples sent)
(24382|24412) pub19  waiting for acks
(24382|24415) pub15<-match found! before write for 0103000c.297a35f2.5f3e153e.00000002(b1858bbe)
(24382|24415) pub15  12% (2 samples sent)
(24382|24415) pub15  25% (4 samples sent)
(24382|24415) pub15  31% (5 samples sent)
(24382|24415) pub15  43% (7 samples sent)
(24382|24415) pub15  50% (8 samples sent)
(24382|24415) pub15  62% (10 samples sent)
(24382|24415) pub15  75% (12 samples sent)
(24382|24415) pub15  81% (13 samples sent)
(24382|24415) pub15  93% (15 samples sent)
(24382|24415) pub15  100% (16 samples sent)
(24382|24415) pub15  waiting for acks
(24382|24447) pub59  waiting for acks returned
(24382|24447) pub59<-delete_contained_entities
(24382|24415) pub15  waiting for acks returned
(24382|24415) pub15<-delete_contained_entities
(24382|24413) pub27<-delete_participant
(24382|24405) pub25<-delete_participant
(24382|24419) pub31<-match found! before write for 0103000c.297a35f2.5f3e154d.00000002(0ff711a1)
(24382|24419) pub31  12% (2 samples sent)
(24382|24419) pub31  25% (4 samples sent)
(24382|24419) pub31  31% (5 samples sent)
(24382|24419) pub31  43% (7 samples sent)
(24382|24419) pub31  50% (8 samples sent)
(24382|24419) pub31  62% (10 samples sent)
(24382|24419) pub31  75% (12 samples sent)
(24382|24419) pub31  81% (13 samples sent)
(24382|24419) pub31  93% (15 samples sent)
(24382|24419) pub31  100% (16 samples sent)
(24382|24419) pub31  waiting for acks
(24382|24440) pub53  waiting for acks returned
(24382|24440) pub53<-delete_contained_entities
(24382|24447) pub59<-delete_participant
(24382|24415) pub15<-delete_participant
(24382|24440) pub53<-delete_participant
(24382|24443) pub55<-match found! before write for 0103000c.297a35f2.5f3e1564.00000002(c3265cd4)
(24382|24443) pub55  12% (2 samples sent)
(24382|24443) pub55  25% (4 samples sent)
(24382|24443) pub55  31% (5 samples sent)
(24382|24443) pub55  43% (7 samples sent)
(24382|24443) pub55  50% (8 samples sent)
(24382|24443) pub55  62% (10 samples sent)
(24382|24443) pub55  75% (12 samples sent)
(24382|24443) pub55  81% (13 samples sent)
(24382|24443) pub55  93% (15 samples sent)
(24382|24443) pub55  100% (16 samples sent)
(24382|24443) pub55  waiting for acks
(24382|24443) pub55  waiting for acks returned
(24382|24443) pub55<-delete_contained_entities
(24382|24397) pub10<-match found! before write for 0103000c.297a35f2.5f3e1538.00000002(3ec57e1e)
(24382|24397) pub10  12% (2 samples sent)
(24382|24397) pub10  25% (4 samples sent)
(24382|24397) pub10  31% (5 samples sent)
(24382|24397) pub10  43% (7 samples sent)
(24382|24397) pub10  50% (8 samples sent)
(24382|24397) pub10  62% (10 samples sent)
(24382|24397) pub10  75% (12 samples sent)
(24382|24397) pub10  81% (13 samples sent)
(24382|24397) pub10  93% (15 samples sent)
(24382|24397) pub10  100% (16 samples sent)
(24382|24397) pub10  waiting for acks
(24382|24387)  sub 40% (410 samples received)
(24382|24397) pub10  waiting for acks returned
(24382|24397) pub10<-delete_contained_entities
(24382|24420) pub32<-match found! before write for 0103000c.297a35f2.5f3e154f.00000002(753742c1)
(24382|24420) pub32  12% (2 samples sent)
(24382|24420) pub32  25% (4 samples sent)
(24382|24420) pub32  31% (5 samples sent)
(24382|24420) pub32  43% (7 samples sent)
(24382|24420) pub32  50% (8 samples sent)
(24382|24420) pub32  62% (10 samples sent)
(24382|24420) pub32  75% (12 samples sent)
(24382|24420) pub32  81% (13 samples sent)
(24382|24420) pub32  93% (15 samples sent)
(24382|24420) pub32  100% (16 samples sent)
(24382|24420) pub32  waiting for acks
(24382|24431) pub43<-match found! before write for 0103000c.297a35f2.5f3e1559.00000002(9a9720e3)
(24382|24431) pub43  12% (2 samples sent)
(24382|24431) pub43  25% (4 samples sent)
(24382|24431) pub43  31% (5 samples sent)
(24382|24431) pub43  43% (7 samples sent)
(24382|24431) pub43  50% (8 samples sent)
(24382|24431) pub43  62% (10 samples sent)
(24382|24431) pub43  75% (12 samples sent)
(24382|24431) pub43  81% (13 samples sent)
(24382|24431) pub43  93% (15 samples sent)
(24382|24431) pub43  100% (16 samples sent)
(24382|24431) pub43  waiting for acks
(24382|24450) pub62<-match found! before write for 0103000c.297a35f2.5f3e156b.00000002(4176cb05)
(24382|24450) pub62  12% (2 samples sent)
(24382|24450) pub62  25% (4 samples sent)
(24382|24450) pub62  31% (5 samples sent)
(24382|24450) pub62  43% (7 samples sent)
(24382|24450) pub62  50% (8 samples sent)
(24382|24450) pub62  62% (10 samples sent)
(24382|24450) pub62  75% (12 samples sent)
(24382|24450) pub62  81% (13 samples sent)
(24382|24450) pub62  93% (15 samples sent)
(24382|24450) pub62  100% (16 samples sent)
(24382|24450) pub62  waiting for acks
(24382|24426) pub38<-match found! before write for 0103000c.297a35f2.5f3e1556.00000002(18c7b732)
(24382|24426) pub38  12% (2 samples sent)
(24382|24426) pub38  25% (4 samples sent)
(24382|24426) pub38  31% (5 samples sent)
(24382|24426) pub38  43% (7 samples sent)
(24382|24426) pub38  50% (8 samples sent)
(24382|24426) pub38  62% (10 samples sent)
(24382|24426) pub38  75% (12 samples sent)
(24382|24426) pub38  81% (13 samples sent)
(24382|24426) pub38  93% (15 samples sent)
(24382|24426) pub38  100% (16 samples sent)
(24382|24426) pub38  waiting for acks
(24382|24420) pub32  waiting for acks returned
(24382|24420) pub32<-delete_contained_entities
(24382|24443) pub55<-delete_participant
(24382|24392) pub3<-match found! before write for 0103000c.297a35f2.5f3e1532.00000002(747566bf)
(24382|24392) pub3  12% (2 samples sent)
(24382|24392) pub3  25% (4 samples sent)
(24382|24392) pub3  31% (5 samples sent)
(24382|24392) pub3  43% (7 samples sent)
(24382|24392) pub3  50% (8 samples sent)
(24382|24392) pub3  62% (10 samples sent)
(24382|24392) pub3  75% (12 samples sent)
(24382|24392) pub3  81% (13 samples sent)
(24382|24392) pub3  93% (15 samples sent)
(24382|24392) pub3  100% (16 samples sent)
(24382|24392) pub3  waiting for acks
(24382|24450) pub62  waiting for acks returned
(24382|24450) pub62<-delete_contained_entities
(24382|24397) pub10<-delete_participant
(24382|24420) pub32<-delete_participant
(24382|24442) pub54  waiting for acks returned
(24382|24442) pub54<-delete_contained_entities
(24382|24450) pub62<-delete_participant
(24382|24439) pub51<-match found! before write for 0103000c.297a35f2.5f3e1561.00000002(0bc6d3a4)
(24382|24439) pub51  12% (2 samples sent)
(24382|24439) pub51  25% (4 samples sent)
(24382|24439) pub51  31% (5 samples sent)
(24382|24439) pub51  43% (7 samples sent)
(24382|24439) pub51  50% (8 samples sent)
(24382|24439) pub51  62% (10 samples sent)
(24382|24439) pub51  75% (12 samples sent)
(24382|24439) pub51  81% (13 samples sent)
(24382|24439) pub51  93% (15 samples sent)
(24382|24439) pub51  100% (16 samples sent)
(24382|24439) pub51  waiting for acks
(24382|24430) pub42  waiting for acks returned
(24382|24430) pub42<-delete_contained_entities
(24382|24434) pub46<-match found! before write for 0103000c.297a35f2.5f3e155b.00000002(e0577383)
(24382|24434) pub46  12% (2 samples sent)
(24382|24434) pub46  25% (4 samples sent)
(24382|24434) pub46  31% (5 samples sent)
(24382|24434) pub46  43% (7 samples sent)
(24382|24434) pub46  50% (8 samples sent)
(24382|24434) pub46  62% (10 samples sent)
(24382|24434) pub46  75% (12 samples sent)
(24382|24434) pub46  81% (13 samples sent)
(24382|24434) pub46  93% (15 samples sent)
(24382|24434) pub46  100% (16 samples sent)
(24382|24434) pub46  waiting for acks
(24382|24408) pub18<-match found! before write for 0103000c.297a35f2.5f3e1541.00000002(ca07fca0)
(24382|24408) pub18  12% (2 samples sent)
(24382|24408) pub18  25% (4 samples sent)
(24382|24408) pub18  31% (5 samples sent)
(24382|24408) pub18  43% (7 samples sent)
(24382|24408) pub18  50% (8 samples sent)
(24382|24408) pub18  62% (10 samples sent)
(24382|24408) pub18  75% (12 samples sent)
(24382|24408) pub18  81% (13 samples sent)
(24382|24408) pub18  93% (15 samples sent)
(24382|24408) pub18  100% (16 samples sent)
(24382|24408) pub18  waiting for acks
(24382|24442) pub54<-delete_participant
(24382|24424) pub34<-match found! before write for 0103000c.297a35f2.5f3e1551.00000002(aae76b22)
(24382|24424) pub34  12% (2 samples sent)
(24382|24424) pub34  25% (4 samples sent)
(24382|24424) pub34  31% (5 samples sent)
(24382|24424) pub34  43% (7 samples sent)
(24382|24424) pub34  50% (8 samples sent)
(24382|24411) pub21  waiting for acks returned
(24382|24411) pub21<-delete_contained_entities
(24382|24424) pub34  62% (10 samples sent)
(24382|24424) pub34  75% (12 samples sent)
(24382|24424) pub34  81% (13 samples sent)
(24382|24424) pub34  93% (15 samples sent)
(24382|24424) pub34  100% (16 samples sent)
(24382|24424) pub34  waiting for acks
(24382|24430) pub42<-delete_participant
(24382|24398) pub9<-match found! before write for 0103000c.297a35f2.5f3e1537.00000002(bc95e9cf)
(24382|24398) pub9  12% (2 samples sent)
(24382|24398) pub9  25% (4 samples sent)
(24382|24398) pub9  31% (5 samples sent)
(24382|24398) pub9  43% (7 samples sent)
(24382|24398) pub9  50% (8 samples sent)
(24382|24398) pub9  62% (10 samples sent)
(24382|24398) pub9  75% (12 samples sent)
(24382|24398) pub9  81% (13 samples sent)
(24382|24398) pub9  93% (15 samples sent)
(24382|24398) pub9  100% (16 samples sent)
(24382|24398) pub9  waiting for acks
(24382|24435) pub47<-match found! before write for 0103000c.297a35f2.5f3e155d.00000002(6f178623)
(24382|24435) pub47  12% (2 samples sent)
(24382|24435) pub47  25% (4 samples sent)
(24382|24435) pub47  31% (5 samples sent)
(24382|24435) pub47  43% (7 samples sent)
(24382|24435) pub47  50% (8 samples sent)
(24382|24435) pub47  62% (10 samples sent)
(24382|24435) pub47  75% (12 samples sent)
(24382|24435) pub47  81% (13 samples sent)
(24382|24435) pub47  93% (15 samples sent)
(24382|24435) pub47  100% (16 samples sent)
(24382|24435) pub47  waiting for acks
(24382|24422) pub39<-match found! before write for 0103000c.297a35f2.5f3e1554.00000002(6207e452)
(24382|24422) pub39  12% (2 samples sent)
(24382|24422) pub39  25% (4 samples sent)
(24382|24422) pub39  31% (5 samples sent)
(24382|24422) pub39  43% (7 samples sent)
(24382|24422) pub39  50% (8 samples sent)
(24382|24422) pub39  62% (10 samples sent)
(24382|24422) pub39  75% (12 samples sent)
(24382|24422) pub39  81% (13 samples sent)
(24382|24422) pub39  93% (15 samples sent)
(24382|24422) pub39  100% (16 samples sent)
(24382|24422) pub39  waiting for acks
(24382|24387)  sub 50% (512 samples received)
(24382|24412) pub19  waiting for acks returned
(24382|24412) pub19<-delete_contained_entities
(24382|24441) pub52  waiting for acks returned
(24382|24441) pub52<-delete_contained_entities
(24382|24429) pub41<-match found! before write for 0103000c.297a35f2.5f3e1557.00000002(25a79e82)
(24382|24429) pub41  12% (2 samples sent)
(24382|24429) pub41  25% (4 samples sent)
(24382|24429) pub41  31% (5 samples sent)
(24382|24429) pub41  43% (7 samples sent)
(24382|24429) pub41  50% (8 samples sent)
(24382|24429) pub41  62% (10 samples sent)
(24382|24429) pub41  75% (12 samples sent)
(24382|24429) pub41  81% (13 samples sent)
(24382|24429) pub41  93% (15 samples sent)
(24382|24429) pub41  100% (16 samples sent)
(24382|24429) pub41  waiting for acks
(24382|24398) pub9  waiting for acks returned
(24382|24398) pub9<-delete_contained_entities
(24382|24435) pub47  waiting for acks returned
(24382|24435) pub47<-delete_contained_entities
(24382|24411) pub21<-delete_participant
(24382|24422) pub39  waiting for acks returned
(24382|24422) pub39<-delete_contained_entities
(24382|24404) pub17<-match found! before write for 0103000c.297a35f2.5f3e1540.00000002(f767d510)
(24382|24404) pub17  12% (2 samples sent)
(24382|24404) pub17  25% (4 samples sent)
(24382|24404) pub17  31% (5 samples sent)
(24382|24404) pub17  43% (7 samples sent)
(24382|24404) pub17  50% (8 samples sent)
(24382|24404) pub17  62% (10 samples sent)
(24382|24404) pub17  75% (12 samples sent)
(24382|24404) pub17  81% (13 samples sent)
(24382|24404) pub17  93% (15 samples sent)
(24382|24404) pub17  100% (16 samples sent)
(24382|24404) pub17  waiting for acks
(24382|24449) pub61  waiting for acks returned
(24382|24449) pub61<-delete_contained_entities
(24382|24438) pub50<-match found! before write for 0103000c.297a35f2.5f3e1569.00000002(3bb69865)
(24382|24438) pub50  12% (2 samples sent)
(24382|24438) pub50  25% (4 samples sent)
(24382|24438) pub50  31% (5 samples sent)
(24382|24438) pub50  43% (7 samples sent)
(24382|24438) pub50  50% (8 samples sent)
(24382|24438) pub50  62% (10 samples sent)
(24382|24438) pub50  75% (12 samples sent)
(24382|24438) pub50  81% (13 samples sent)
(24382|24438) pub50  93% (15 samples sent)
(24382|24438) pub50  100% (16 samples sent)
(24382|24438) pub50  waiting for acks
(24382|24412) pub19<-delete_participant
(24382|24429) pub41  waiting for acks returned
(24382|24429) pub41<-delete_contained_entities
(24382|24441) pub52<-delete_participant
(24382|24387)  sub 60% (615 samples received)
(24382|24398) pub9<-delete_participant
(24382|24448) pub60<-match found! before write for 0103000c.297a35f2.5f3e156e.00000002(89964475)
(24382|24448) pub60  12% (2 samples sent)
(24382|24448) pub60  25% (4 samples sent)
(24382|24448) pub60  31% (5 samples sent)
(24382|24448) pub60  43% (7 samples sent)
(24382|24448) pub60  50% (8 samples sent)
(24382|24448) pub60  62% (10 samples sent)
(24382|24448) pub60  75% (12 samples sent)
(24382|24448) pub60  81% (13 samples sent)
(24382|24448) pub60  93% (15 samples sent)
(24382|24448) pub60  100% (16 samples sent)
(24382|24448) pub60  waiting for acks
(24382|24390) pub1  waiting for acks returned
(24382|24390) pub1<-delete_contained_entities
(24382|24451) pub63<-match found! before write for 0103000c.297a35f2.5f3e156c.00000002(f3561715)
(24382|24451) pub63  12% (2 samples sent)
(24382|24451) pub63  25% (4 samples sent)
(24382|24451) pub63  31% (5 samples sent)
(24382|24451) pub63  43% (7 samples sent)
(24382|24451) pub63  50% (8 samples sent)
(24382|24451) pub63  62% (10 samples sent)
(24382|24451) pub63  75% (12 samples sent)
(24382|24451) pub63  81% (13 samples sent)
(24382|24451) pub63  93% (15 samples sent)
(24382|24451) pub63  100% (16 samples sent)
(24382|24451) pub63  waiting for acks
(24382|24435) pub47<-delete_participant
(24382|24399) pub11<-match found! before write for 0103000c.297a35f2.5f3e153a.00000002(44052d7e)
(24382|24399) pub11  12% (2 samples sent)
(24382|24399) pub11  25% (4 samples sent)
(24382|24399) pub11  31% (5 samples sent)
(24382|24399) pub11  43% (7 samples sent)
(24382|24399) pub11  50% (8 samples sent)
(24382|24399) pub11  62% (10 samples sent)
(24382|24399) pub11  75% (12 samples sent)
(24382|24399) pub11  81% (13 samples sent)
(24382|24399) pub11  93% (15 samples sent)
(24382|24399) pub11  100% (16 samples sent)
(24382|24399) pub11  waiting for acks
(24382|24391) pub4  waiting for acks returned
(24382|24391) pub4<-delete_contained_entities
(24382|24422) pub39<-delete_participant
(24382|24445) pub57<-match found! before write for 0103000c.297a35f2.5f3e1567.00000002(84862604)
(24382|24445) pub57  12% (2 samples sent)
(24382|24445) pub57  25% (4 samples sent)
(24382|24445) pub57  31% (5 samples sent)
(24382|24445) pub57  43% (7 samples sent)
(24382|24445) pub57  50% (8 samples sent)
(24382|24445) pub57  62% (10 samples sent)
(24382|24445) pub57  75% (12 samples sent)
(24382|24445) pub57  81% (13 samples sent)
(24382|24445) pub57  93% (15 samples sent)
(24382|24445) pub57  100% (16 samples sent)
(24382|24445) pub57  waiting for acks
(24382|24451) pub63  waiting for acks returned
(24382|24451) pub63<-delete_contained_entities
(24382|24414) pub26<-match found! before write for 0103000c.297a35f2.5f3e1549.00000002(fa77b761)
(24382|24414) pub26  12% (2 samples sent)
(24382|24414) pub26  25% (4 samples sent)
(24382|24414) pub26  31% (5 samples sent)
(24382|24414) pub26  43% (7 samples sent)
(24382|24414) pub26  50% (8 samples sent)
(24382|24414) pub26  62% (10 samples sent)
(24382|24414) pub26  75% (12 samples sent)
(24382|24414) pub26  81% (13 samples sent)
(24382|24414) pub26  93% (15 samples sent)
(24382|24414) pub26  100% (16 samples sent)
(24382|24414) pub26  waiting for acks
(24382|24449) pub61<-delete_participant
(24382|24439) pub51  waiting for acks returned
(24382|24439) pub51<-delete_contained_entities
(24382|24437) pub49  waiting for acks returned
(24382|24437) pub49<-delete_contained_entities
(24382|24429) pub41<-delete_participant
(24382|24399) pub11  waiting for acks returned
(24382|24399) pub11<-delete_contained_entities
(24382|24436) pub48<-match found! before write for 0103000c.297a35f2.5f3e155e.00000002(28b7fcf3)
(24382|24436) pub48  12% (2 samples sent)
(24382|24436) pub48  25% (4 samples sent)
(24382|24436) pub48  31% (5 samples sent)
(24382|24436) pub48  43% (7 samples sent)
(24382|24436) pub48  50% (8 samples sent)
(24382|24436) pub48  62% (10 samples sent)
(24382|24436) pub48  75% (12 samples sent)
(24382|24436) pub48  81% (13 samples sent)
(24382|24436) pub48  93% (15 samples sent)
(24382|24436) pub48  100% (16 samples sent)
(24382|24436) pub48  waiting for acks
(24382|24387)  sub 70% (717 samples received)
(24382|24445) pub57  waiting for acks returned
(24382|24445) pub57<-delete_contained_entities
(24382|24390) pub1<-delete_participant
(24382|24391) pub4<-delete_participant
(24382|24436) pub48  waiting for acks returned
(24382|24436) pub48<-delete_contained_entities
(24382|24416) pub28<-match found! before write for 0103000c.297a35f2.5f3e154c.00000002(32973811)
(24382|24416) pub28  12% (2 samples sent)
(24382|24416) pub28  25% (4 samples sent)
(24382|24416) pub28  31% (5 samples sent)
(24382|24416) pub28  43% (7 samples sent)
(24382|24416) pub28  50% (8 samples sent)
(24382|24416) pub28  62% (10 samples sent)
(24382|24416) pub28  75% (12 samples sent)
(24382|24416) pub28  81% (13 samples sent)
(24382|24416) pub28  93% (15 samples sent)
(24382|24416) pub28  100% (16 samples sent)
(24382|24416) pub28  waiting for acks
(24382|24451) pub63<-delete_participant
(24382|24419) pub31  waiting for acks returned
(24382|24419) pub31<-delete_contained_entities
(24382|24439) pub51<-delete_participant
(24382|24437) pub49<-delete_participant
(24382|24406) pub22<-match found! before write for 0103000c.297a35f2.5f3e1545.00000002(3f875a60)
(24382|24406) pub22  12% (2 samples sent)
(24382|24406) pub22  25% (4 samples sent)
(24382|24406) pub22  31% (5 samples sent)
(24382|24406) pub22  43% (7 samples sent)
(24382|24406) pub22  50% (8 samples sent)
(24382|24406) pub22  62% (10 samples sent)
(24382|24406) pub22  75% (12 samples sent)
(24382|24406) pub22  81% (13 samples sent)
(24382|24406) pub22  93% (15 samples sent)
(24382|24406) pub22  100% (16 samples sent)
(24382|24406) pub22  waiting for acks
(24382|24399) pub11<-delete_participant
(24382|24428) pub40<-match found! before write for 0103000c.297a35f2.5f3e155f.00000002(15d7d543)
(24382|24428) pub40  12% (2 samples sent)
(24382|24428) pub40  25% (4 samples sent)
(24382|24428) pub40  31% (5 samples sent)
(24382|24428) pub40  43% (7 samples sent)
(24382|24428) pub40  50% (8 samples sent)
(24382|24428) pub40  62% (10 samples sent)
(24382|24428) pub40  75% (12 samples sent)
(24382|24428) pub40  81% (13 samples sent)
(24382|24428) pub40  93% (15 samples sent)
(24382|24428) pub40  100% (16 samples sent)
(24382|24428) pub40  waiting for acks
(24382|24393) pub5<-match found! before write for 0103000c.297a35f2.5f3e1534.00000002(fb35931f)
(24382|24393) pub5  12% (2 samples sent)
(24382|24393) pub5  25% (4 samples sent)
(24382|24393) pub5  31% (5 samples sent)
(24382|24393) pub5  43% (7 samples sent)
(24382|24393) pub5  50% (8 samples sent)
(24382|24393) pub5  62% (10 samples sent)
(24382|24393) pub5  75% (12 samples sent)
(24382|24393) pub5  81% (13 samples sent)
(24382|24393) pub5  93% (15 samples sent)
(24382|24393) pub5  100% (16 samples sent)
(24382|24393) pub5  waiting for acks
(24382|24423) pub35<-match found! before write for 0103000c.297a35f2.5f3e1553.00000002(d0273842)
(24382|24423) pub35  12% (2 samples sent)
(24382|24423) pub35  25% (4 samples sent)
(24382|24423) pub35  31% (5 samples sent)
(24382|24438) pub50  waiting for acks returned
(24382|24438) pub50<-delete_contained_entities
(24382|24431) pub43  waiting for acks returned
(24382|24431) pub43<-delete_contained_entities
(24382|24423) pub35  43% (7 samples sent)
(24382|24423) pub35  50% (8 samples sent)
(24382|24423) pub35  62% (10 samples sent)
(24382|24423) pub35  75% (12 samples sent)
(24382|24423) pub35  81% (13 samples sent)
(24382|24423) pub35  93% (15 samples sent)
(24382|24423) pub35  100% (16 samples sent)
(24382|24423) pub35  waiting for acks
(24382|24433) pub45<-match found! before write for 0103000c.297a35f2.5f3e155c.00000002(5277af93)
(24382|24433) pub45  12% (2 samples sent)
(24382|24433) pub45  25% (4 samples sent)
(24382|24433) pub45  31% (5 samples sent)
(24382|24433) pub45  43% (7 samples sent)
(24382|24433) pub45  50% (8 samples sent)
(24382|24445) pub57<-delete_participant
(24382|24433) pub45  62% (10 samples sent)
(24382|24433) pub45  75% (12 samples sent)
(24382|24433) pub45  81% (13 samples sent)
(24382|24433) pub45  93% (15 samples sent)
(24382|24433) pub45  100% (16 samples sent)
(24382|24433) pub45  waiting for acks
(24382|24392) pub3  waiting for acks returned
(24382|24392) pub3<-delete_contained_entities
(24382|24434) pub46  waiting for acks returned
(24382|24434) pub46<-delete_contained_entities
(24382|24387)  sub 80% (820 samples received)
(24382|24436) pub48<-delete_participant
(24382|24428) pub40  waiting for acks returned
(24382|24428) pub40<-delete_contained_entities
(24382|24419) pub31<-delete_participant
(24382|24393) pub5  waiting for acks returned
(24382|24393) pub5<-delete_contained_entities
(24382|24438) pub50<-delete_participant
(24382|24423) pub35  waiting for acks returned
(24382|24423) pub35<-delete_contained_entities
(24382|24401) pub23<-match found! before write for 0103000c.297a35f2.5f3e1546.00000002(782720b0)
(24382|24401) pub23  12% (2 samples sent)
(24382|24401) pub23  25% (4 samples sent)
(24382|24401) pub23  31% (5 samples sent)
(24382|24401) pub23  43% (7 samples sent)
(24382|24401) pub23  50% (8 samples sent)
(24382|24401) pub23  62% (10 samples sent)
(24382|24401) pub23  75% (12 samples sent)
(24382|24401) pub23  81% (13 samples sent)
(24382|24401) pub23  93% (15 samples sent)
(24382|24401) pub23  100% (16 samples sent)
(24382|24401) pub23  waiting for acks
(24382|24448) pub60  waiting for acks returned
(24382|24448) pub60<-delete_contained_entities
(24382|24433) pub45  waiting for acks returned
(24382|24433) pub45<-delete_contained_entities
(24382|24387)  sub 90% (922 samples received)
(24382|24424) pub34  waiting for acks returned
(24382|24424) pub34<-delete_contained_entities
(24382|24416) pub28  waiting for acks returned
(24382|24416) pub28<-delete_contained_entities
(24382|24426) pub38  waiting for acks returned
(24382|24426) pub38<-delete_contained_entities
(24382|24404) pub17  waiting for acks returned
(24382|24404) pub17<-delete_contained_entities
(24382|24431) pub43<-delete_participant
(24382|24408) pub18  waiting for acks returned
(24382|24408) pub18<-delete_contained_entities
(24382|24401) pub23  waiting for acks returned
(24382|24392) pub3<-delete_participant
(24382|24401) pub23<-delete_contained_entities
(24382|24406) pub22  waiting for acks returned
(24382|24406) pub22<-delete_contained_entities
(24382|24434) pub46<-delete_participant
(24382|24387) sub condition_.notify_all
(24382|24382) sub condition_.wait returned
(24382|24382) sub check_received
(24382|24382) sub check_received returns 0
(24382|24382) <- PublisherService::end
(24382|24387) sub condition_.notify_all
(24382|24387) sub condition_.notify_all
(24382|24387) sub condition_.notify_all
(24382|24387) sub condition_.notify_all
(24382|24387) sub condition_.notify_all
(24382|24387) sub condition_.notify_all
(24382|24387) sub condition_.notify_all
(24382|24387) sub condition_.notify_all
(24382|24387) sub condition_.notify_all
(24382|24387) sub condition_.notify_all
(24382|24387) sub condition_.notify_all
(24382|24387) sub condition_.notify_all
(24382|24387) sub condition_.notify_all
(24382|24387) sub condition_.notify_all
(24382|24387)  sub 100% (1024 samples received)
(24382|24387) sub condition_.notify_all
(24382|24414) pub26  waiting for acks returned
(24382|24414) pub26<-delete_contained_entities
(24382|24428) pub40<-delete_participant
(24382|24393) pub5<-delete_participant
(24382|24423) pub35<-delete_participant
(24382|24448) pub60<-delete_participant
(24382|24433) pub45<-delete_participant
(24382|24424) pub34<-delete_participant
(24382|24416) pub28<-delete_participant
(24382|24426) pub38<-delete_participant
(24382|24404) pub17<-delete_participant
(24382|24408) pub18<-delete_participant
(24382|24401) pub23<-delete_participant
(24382|24406) pub22<-delete_participant
(24382|24414) pub26<-delete_participant
(24382|24382) <- PublisherService::~PublisherService
(24382|24382) <- Subscriber delete_contained_entities
(24382|24382) <- Subscriber delete_participant
(24382|24382) <- Subscriber::~Subscriber
(24382|24382) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl aggressive rtps Time:102s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl single durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 24725
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1 -n 1 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 24732 started at 2023-04-28 22:45:13
(24732|24732) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(24732|24732) -> Thrasher started
(24732|24732) -> Subscriber::Subscriber
(24725|24725) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(24732|24732) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(24732|24732)    Subscriber reader id: 01030000.1978b95e.00000002.00000507(d75edcf7)
(24732|24732) -> PublisherService::PublisherService
(24732|24732) -> PublisherService::start (1 threads)
(24732|24732) sub wait_received 0:1
(24732|24741) pub0  writer id: 01030000.1978b95e.00000003.00000102(fe38c4cc)
(24732|24741) pub0->started
(24732|24741) pub0  100% (1 samples sent)
(24732|24741) pub0->wait_match() before write for 01030000.1978b95e.00000003.00000102(fe38c4cc)
(24732|24741) pub0<-match found! before write for 01030000.1978b95e.00000003.00000102(fe38c4cc)
(24732|24741) pub0  waiting for acks
(24732|24740)  sub 100% (1 samples received)
(24732|24740) sub condition_.notify_all
(24732|24732) sub condition_.wait returned
(24732|24732) sub check_received
(24732|24732) sub check_received returns 0
(24732|24732) <- PublisherService::end
(24732|24741) pub0  waiting for acks returned
(24732|24741) pub0<-delete_contained_entities
(24732|24741) pub0<-delete_participant
(24732|24732) <- PublisherService::~PublisherService
(24732|24732) <- Subscriber delete_contained_entities
(24732|24732) <- Subscriber delete_participant
(24732|24732) <- Subscriber::~Subscriber
(24732|24732) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl single durable Time:0s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl double durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 24743
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 2 -s 1 -n 2 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 24750 started at 2023-04-28 22:45:13
(24750|24750) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(24750|24750) -> Thrasher started
(24750|24750) -> Subscriber::Subscriber
(24743|24743) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(24750|24750) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(24750|24750)    Subscriber reader id: 01030000.229008e2.00000002.00000507(e077df91)
(24750|24750) -> PublisherService::PublisherService
(24750|24750) -> PublisherService::start (2 threads)
(24750|24750) sub wait_received 0:2
(24750|24759) pub0  writer id: 01030000.229008e2.00000003.00000102(c911c7aa)
(24750|24759) pub0->started
(24750|24759) pub0  100% (1 samples sent)
(24750|24759) pub0->wait_match() before write for 01030000.229008e2.00000003.00000102(c911c7aa)
(24750|24759) pub0<-match found! before write for 01030000.229008e2.00000003.00000102(c911c7aa)
(24750|24759) pub0  waiting for acks
(24750|24758)  sub 50% (1 samples received)
(24750|24759) pub0  waiting for acks returned
(24750|24759) pub0<-delete_contained_entities
(24750|24760) pub1  writer id: 01030000.229008e2.00000004.00000102(7b311bba)
(24750|24760) pub1->started
(24750|24760) pub1  100% (1 samples sent)
(24750|24760) pub1->wait_match() before write for 01030000.229008e2.00000004.00000102(7b311bba)
(24750|24758)  sub 100% (2 samples received)
(24750|24758) sub condition_.notify_all
(24750|24760) pub1<-match found! before write for 01030000.229008e2.00000004.00000102(7b311bba)
(24750|24760) pub1  waiting for acks
(24750|24760) pub1  waiting for acks returned
(24750|24760) pub1<-delete_contained_entities
(24750|24750) sub condition_.wait returned
(24750|24750) sub check_received
(24750|24750) sub check_received returns 0
(24750|24750) <- PublisherService::end
(24750|24759) pub0<-delete_participant
(24750|24760) pub1<-delete_participant
(24750|24750) <- PublisherService::~PublisherService
(24750|24750) <- Subscriber delete_contained_entities
(24750|24750) <- Subscriber delete_participant
(24750|24750) <- Subscriber::~Subscriber
(24750|24750) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl double durable Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl triangle durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 24762
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 3 -s 3 -n 9 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 24769 started at 2023-04-28 22:45:14
(24769|24769) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(24769|24769) -> Thrasher started
(24769|24769) -> Subscriber::Subscriber
(24762|24762) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(24769|24769) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(24769|24769)    Subscriber reader id: 01030000.73825e1b.00000002.00000507(3f6c3736)
(24769|24769) -> PublisherService::PublisherService
(24769|24769) -> PublisherService::start (3 threads)
(24769|24769) sub wait_received 0:3
(24769|24778) pub0  writer id: 01030000.73825e1b.00000004.00000102(a42af31d)
(24769|24778) pub0->started
(24769|24778) pub0  33% (1 samples sent)
(24769|24778) pub0  66% (2 samples sent)
(24769|24778) pub0  100% (3 samples sent)
(24769|24778) pub0->wait_match() before write for 01030000.73825e1b.00000004.00000102(a42af31d)
(24769|24778) pub0<-match found! before write for 01030000.73825e1b.00000004.00000102(a42af31d)
(24769|24778) pub0  waiting for acks
(24769|24777)  sub 11% (1 samples received)
(24769|24778) pub0  waiting for acks returned
(24769|24778) pub0<-delete_contained_entities
(24769|24779) pub1  writer id: 01030000.73825e1b.00000003.00000102(160a2f0d)
(24769|24779) pub1->started
(24769|24779) pub1  33% (1 samples sent)
(24769|24779) pub1  66% (2 samples sent)
(24769|24779) pub1  100% (3 samples sent)
(24769|24779) pub1->wait_match() before write for 01030000.73825e1b.00000003.00000102(160a2f0d)
(24769|24777)  sub 22% (2 samples received)
(24769|24779) pub1<-match found! before write for 01030000.73825e1b.00000003.00000102(160a2f0d)
(24769|24779) pub1  waiting for acks
(24769|24777)  sub 33% (3 samples received)
(24769|24777)  sub 44% (4 samples received)
(24769|24779) pub1  waiting for acks returned
(24769|24779) pub1<-delete_contained_entities
(24769|24780) pub2  writer id: 01030000.73825e1b.00000005.00000102(994adaad)
(24769|24780) pub2->started
(24769|24780) pub2  33% (1 samples sent)
(24769|24780) pub2  66% (2 samples sent)
(24769|24780) pub2  100% (3 samples sent)
(24769|24780) pub2->wait_match() before write for 01030000.73825e1b.00000005.00000102(994adaad)
(24769|24780) pub2<-match found! before write for 01030000.73825e1b.00000005.00000102(994adaad)
(24769|24780) pub2  waiting for acks
(24769|24777)  sub 55% (5 samples received)
(24769|24777) sub condition_.notify_all
(24769|24769) sub condition_.wait returned
(24769|24769) sub check_received
(24769|24769) sub check_received returns 0
(24769|24769) <- PublisherService::end
(24769|24780) pub2  waiting for acks returned
(24769|24780) pub2<-delete_contained_entities
(24769|24778) pub0<-delete_participant
(24769|24779) pub1<-delete_participant
(24769|24780) pub2<-delete_participant
(24769|24769) <- PublisherService::~PublisherService
(24769|24769) <- Subscriber delete_contained_entities
(24769|24769) <- Subscriber delete_participant
(24769|24769) <- Subscriber::~Subscriber
(24769|24769) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl triangle durable Time:0s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl default durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 24782
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1024 -n 1024 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 24789 started at 2023-04-28 22:45:14
(24789|24789) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(24789|24789) -> Thrasher started
(24789|24789) -> Subscriber::Subscriber
(24782|24782) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(24789|24789) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(24789|24789)    Subscriber reader id: 01030000.315a1a2b.00000002.00000507(efc870af)
(24789|24789) -> PublisherService::PublisherService
(24789|24789) -> PublisherService::start (1 threads)
(24789|24789) sub wait_received 0:1
(24789|24798) pub0  writer id: 01030000.315a1a2b.00000003.00000102(c6ae6894)
(24789|24798) pub0->started
(24789|24797) sub condition_.notify_all
(24789|24789) sub condition_.wait returned
(24789|24789) sub check_received
(24789|24789) sub check_received returns 0
(24789|24789) <- PublisherService::end
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24798) pub0  10% (103 samples sent)
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797)  sub 10% (103 samples received)
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24798) pub0  20% (205 samples sent)
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24798) pub0  30% (308 samples sent)
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24798) pub0  40% (410 samples sent)
(24789|24797) sub condition_.notify_all
(24789|24797)  sub 20% (205 samples received)
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24798) pub0  50% (512 samples sent)
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24798) pub0  60% (615 samples sent)
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797)  sub 30% (308 samples received)
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24798) pub0  70% (717 samples sent)
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24798) pub0  80% (820 samples sent)
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797)  sub 40% (410 samples received)
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24798) pub0  90% (922 samples sent)
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24798) pub0  100% (1024 samples sent)
(24789|24798) pub0->wait_match() before write for 01030000.315a1a2b.00000003.00000102(c6ae6894)
(24789|24797) sub condition_.notify_all
(24789|24798) pub0<-match found! before write for 01030000.315a1a2b.00000003.00000102(c6ae6894)
(24789|24798) pub0  waiting for acks
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797)  sub 50% (512 samples received)
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797)  sub 60% (615 samples received)
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797)  sub 70% (717 samples received)
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797)  sub 80% (820 samples received)
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797)  sub 90% (922 samples received)
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797) sub condition_.notify_all
(24789|24797)  sub 100% (1024 samples received)
(24789|24797) sub condition_.notify_all
(24789|24798) pub0  waiting for acks returned
(24789|24798) pub0<-delete_contained_entities
(24789|24798) pub0<-delete_participant
(24789|24789) <- PublisherService::~PublisherService
(24789|24789) <- Subscriber delete_contained_entities
(24789|24789) <- Subscriber delete_participant
(24789|24789) <- Subscriber::~Subscriber
(24789|24789) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl default durable Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl low durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 24800
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 8 -s 128 -n 1024 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 24807 started at 2023-04-28 22:45:15
(24807|24807) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(24807|24807) -> Thrasher started
(24807|24807) -> Subscriber::Subscriber
(24800|24800) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(24807|24807) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(24807|24807)    Subscriber reader id: 01030000.57cf35f8.00000002.00000507(133bc1f0)
(24807|24807) -> PublisherService::PublisherService
(24807|24807) -> PublisherService::start (8 threads)
(24807|24807) sub wait_received 0:8
(24807|24820) pub0  writer id: 01030000.57cf35f8.00000004.00000102(887d05db)
(24807|24820) pub0->started
(24807|24820) pub0  10% (13 samples sent)
(24807|24820) pub0  20% (26 samples sent)
(24807|24820) pub0  30% (39 samples sent)
(24807|24820) pub0  40% (52 samples sent)
(24807|24820) pub0  50% (64 samples sent)
(24807|24820) pub0  60% (77 samples sent)
(24807|24821) pub2  writer id: 01030000.57cf35f8.00000007.00000102(cfdd7f0b)
(24807|24821) pub2->started
(24807|24821) pub2  10% (13 samples sent)
(24807|24821) pub2  20% (26 samples sent)
(24807|24820) pub0  70% (90 samples sent)
(24807|24821) pub2  30% (39 samples sent)
(24807|24821) pub2  40% (52 samples sent)
(24807|24821) pub2  50% (64 samples sent)
(24807|24821) pub2  60% (77 samples sent)
(24807|24821) pub2  70% (90 samples sent)
(24807|24821) pub2  80% (103 samples sent)
(24807|24821) pub2  90% (116 samples sent)
(24807|24820) pub0  80% (103 samples sent)
(24807|24820) pub0  90% (116 samples sent)
(24807|24821) pub2  100% (128 samples sent)
(24807|24821) pub2->wait_match() before write for 01030000.57cf35f8.00000007.00000102(cfdd7f0b)
(24807|24820) pub0  100% (128 samples sent)
(24807|24820) pub0->wait_match() before write for 01030000.57cf35f8.00000004.00000102(887d05db)
(24807|24820) pub0<-match found! before write for 01030000.57cf35f8.00000004.00000102(887d05db)
(24807|24820) pub0  waiting for acks
(24807|24819) pub1  writer id: 01030000.57cf35f8.00000003.00000102(3a5dd9cb)
(24807|24819) pub1->started
(24807|24819) pub1  10% (13 samples sent)
(24807|24819) pub1  20% (26 samples sent)
(24807|24819) pub1  30% (39 samples sent)
(24807|24819) pub1  40% (52 samples sent)
(24807|24819) pub1  50% (64 samples sent)
(24807|24819) pub1  60% (77 samples sent)
(24807|24819) pub1  70% (90 samples sent)
(24807|24819) pub1  80% (103 samples sent)
(24807|24819) pub1  90% (116 samples sent)
(24807|24819) pub1  100% (128 samples sent)
(24807|24819) pub1->wait_match() before write for 01030000.57cf35f8.00000003.00000102(3a5dd9cb)
(24807|24817) pub3  writer id: 01030000.57cf35f8.00000005.00000102(b51d2c6b)
(24807|24817) pub3->started
(24807|24817) pub3  10% (13 samples sent)
(24807|24817) pub3  20% (26 samples sent)
(24807|24817) pub3  30% (39 samples sent)
(24807|24821) pub2<-match found! before write for 01030000.57cf35f8.00000007.00000102(cfdd7f0b)
(24807|24821) pub2  waiting for acks
(24807|24819) pub1<-match found! before write for 01030000.57cf35f8.00000003.00000102(3a5dd9cb)
(24807|24819) pub1  waiting for acks
(24807|24817) pub3  40% (52 samples sent)
(24807|24817) pub3  50% (64 samples sent)
(24807|24817) pub3  60% (77 samples sent)
(24807|24817) pub3  70% (90 samples sent)
(24807|24817) pub3  80% (103 samples sent)
(24807|24817) pub3  90% (116 samples sent)
(24807|24817) pub3  100% (128 samples sent)
(24807|24817) pub3->wait_match() before write for 01030000.57cf35f8.00000005.00000102(b51d2c6b)
(24807|24818) pub5  writer id: 01030000.57cf35f8.00000009.00000102(70edc16a)
(24807|24818) pub5->started
(24807|24815)  sub 10% (103 samples received)
(24807|24818) pub5  10% (13 samples sent)
(24807|24818) pub5  20% (26 samples sent)
(24807|24818) pub5  30% (39 samples sent)
(24807|24818) pub5  40% (52 samples sent)
(24807|24817) pub3<-match found! before write for 01030000.57cf35f8.00000005.00000102(b51d2c6b)
(24807|24817) pub3  waiting for acks
(24807|24820) pub0  waiting for acks returned
(24807|24820) pub0<-delete_contained_entities
(24807|24818) pub5  50% (64 samples sent)
(24807|24821) pub2  waiting for acks returned
(24807|24821) pub2<-delete_contained_entities
(24807|24818) pub5  60% (77 samples sent)
(24807|24818) pub5  70% (90 samples sent)
(24807|24818) pub5  80% (103 samples sent)
(24807|24819) pub1  waiting for acks returned
(24807|24819) pub1<-delete_contained_entities
(24807|24817) pub3  waiting for acks returned
(24807|24817) pub3<-delete_contained_entities
(24807|24816) pub4  writer id: 01030000.57cf35f8.00000006.00000102(f2bd56bb)
(24807|24816) pub4->started
(24807|24816) pub4  10% (13 samples sent)
(24807|24816) pub4  20% (26 samples sent)
(24807|24816) pub4  30% (39 samples sent)
(24807|24816) pub4  40% (52 samples sent)
(24807|24816) pub4  50% (64 samples sent)
(24807|24818) pub5  90% (116 samples sent)
(24807|24816) pub4  60% (77 samples sent)
(24807|24816) pub4  70% (90 samples sent)
(24807|24818) pub5  100% (128 samples sent)
(24807|24818) pub5->wait_match() before write for 01030000.57cf35f8.00000009.00000102(70edc16a)
(24807|24818) pub5<-match found! before write for 01030000.57cf35f8.00000009.00000102(70edc16a)
(24807|24818) pub5  waiting for acks
(24807|24816) pub4  80% (103 samples sent)
(24807|24822) pub6  writer id: 01030000.57cf35f8.00000008.00000102(4d8de8da)
(24807|24822) pub6->started
(24807|24822) pub6  10% (13 samples sent)
(24807|24822) pub6  20% (26 samples sent)
(24807|24822) pub6  30% (39 samples sent)
(24807|24822) pub6  40% (52 samples sent)
(24807|24822) pub6  50% (64 samples sent)
(24807|24822) pub6  60% (77 samples sent)
(24807|24822) pub6  70% (90 samples sent)
(24807|24822) pub6  80% (103 samples sent)
(24807|24822) pub6  90% (116 samples sent)
(24807|24822) pub6  100% (128 samples sent)
(24807|24822) pub6->wait_match() before write for 01030000.57cf35f8.00000008.00000102(4d8de8da)
(24807|24816) pub4  90% (116 samples sent)
(24807|24823) pub7  writer id: 01030000.57cf35f8.0000000a.00000102(374dbbba)
(24807|24823) pub7->started
(24807|24823) pub7  10% (13 samples sent)
(24807|24823) pub7  20% (26 samples sent)
(24807|24823) pub7  30% (39 samples sent)
(24807|24823) pub7  40% (52 samples sent)
(24807|24823) pub7  50% (64 samples sent)
(24807|24823) pub7  60% (77 samples sent)
(24807|24816) pub4  100% (128 samples sent)
(24807|24816) pub4->wait_match() before write for 01030000.57cf35f8.00000006.00000102(f2bd56bb)
(24807|24816) pub4<-match found! before write for 01030000.57cf35f8.00000006.00000102(f2bd56bb)
(24807|24816) pub4  waiting for acks
(24807|24823) pub7  70% (90 samples sent)
(24807|24823) pub7  80% (103 samples sent)
(24807|24823) pub7  90% (116 samples sent)
(24807|24822) pub6<-match found! before write for 01030000.57cf35f8.00000008.00000102(4d8de8da)
(24807|24822) pub6  waiting for acks
(24807|24818) pub5  waiting for acks returned
(24807|24818) pub5<-delete_contained_entities
(24807|24823) pub7  100% (128 samples sent)
(24807|24823) pub7->wait_match() before write for 01030000.57cf35f8.0000000a.00000102(374dbbba)
(24807|24823) pub7<-match found! before write for 01030000.57cf35f8.0000000a.00000102(374dbbba)
(24807|24823) pub7  waiting for acks
(24807|24815)  sub 20% (205 samples received)
(24807|24815) sub condition_.notify_all
(24807|24807) sub condition_.wait returned
(24807|24807) sub check_received
(24807|24807) sub check_received returns 0
(24807|24807) <- PublisherService::end
(24807|24816) pub4  waiting for acks returned
(24807|24816) pub4<-delete_contained_entities
(24807|24822) pub6  waiting for acks returned
(24807|24822) pub6<-delete_contained_entities
(24807|24823) pub7  waiting for acks returned
(24807|24823) pub7<-delete_contained_entities
(24807|24820) pub0<-delete_participant
(24807|24821) pub2<-delete_participant
(24807|24819) pub1<-delete_participant
(24807|24817) pub3<-delete_participant
(24807|24818) pub5<-delete_participant
(24807|24816) pub4<-delete_participant
(24807|24822) pub6<-delete_participant
(24807|24823) pub7<-delete_participant
(24807|24807) <- PublisherService::~PublisherService
(24807|24807) <- Subscriber delete_contained_entities
(24807|24807) <- Subscriber delete_participant
(24807|24807) <- Subscriber::~Subscriber
(24807|24807) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl low durable Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl medium durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 24825
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 16 -s 64 -n 1024 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 24832 started at 2023-04-28 22:45:16
(24832|24832) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(24832|24832) -> Thrasher started
(24832|24832) -> Subscriber::Subscriber
(24825|24825) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(24832|24832) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(24832|24832)    Subscriber reader id: 01030000.5044f3c7.00000002.00000507(b74aeb41)
(24832|24832) -> PublisherService::PublisherService
(24832|24832) -> PublisherService::start (16 threads)
(24832|24832) sub wait_received 0:16
(24832|24841) pub0  writer id: 01030000.5044f3c7.00000003.00000102(9e2cf37a)
(24832|24841) pub0->started
(24832|24841) pub0  10% (7 samples sent)
(24832|24841) pub0  20% (13 samples sent)
(24832|24841) pub0  31% (20 samples sent)
(24832|24841) pub0  40% (26 samples sent)
(24832|24841) pub0  50% (32 samples sent)
(24832|24841) pub0  60% (39 samples sent)
(24832|24841) pub0  70% (45 samples sent)
(24832|24841) pub0  81% (52 samples sent)
(24832|24841) pub0  90% (58 samples sent)
(24832|24841) pub0  100% (64 samples sent)
(24832|24841) pub0->wait_match() before write for 01030000.5044f3c7.00000003.00000102(9e2cf37a)
(24832|24841) pub0<-match found! before write for 01030000.5044f3c7.00000003.00000102(9e2cf37a)
(24832|24841) pub0  waiting for acks
(24832|24841) pub0  waiting for acks returned
(24832|24841) pub0<-delete_contained_entities
(24832|24850) pub9  writer id: 01030000.5044f3c7.00000007.00000102(6bac55ba)
(24832|24850) pub9->started
(24832|24850) pub9  10% (7 samples sent)
(24832|24850) pub9  20% (13 samples sent)
(24832|24850) pub9  31% (20 samples sent)
(24832|24850) pub9  40% (26 samples sent)
(24832|24850) pub9  50% (32 samples sent)
(24832|24850) pub9  60% (39 samples sent)
(24832|24850) pub9  70% (45 samples sent)
(24832|24850) pub9  81% (52 samples sent)
(24832|24850) pub9  90% (58 samples sent)
(24832|24850) pub9  100% (64 samples sent)
(24832|24850) pub9->wait_match() before write for 01030000.5044f3c7.00000007.00000102(6bac55ba)
(24832|24850) pub9<-match found! before write for 01030000.5044f3c7.00000007.00000102(6bac55ba)
(24832|24850) pub9  waiting for acks
(24832|24850) pub9  waiting for acks returned
(24832|24850) pub9<-delete_contained_entities
(24832|24853) pub11  writer id: 01030000.5044f3c7.0000000e.00000102(66bc37cb)
(24832|24853) pub11->started
(24832|24853) pub11  10% (7 samples sent)
(24832|24853) pub11  20% (13 samples sent)
(24832|24853) pub11  31% (20 samples sent)
(24832|24853) pub11  40% (26 samples sent)
(24832|24853) pub11  50% (32 samples sent)
(24832|24853) pub11  60% (39 samples sent)
(24832|24853) pub11  70% (45 samples sent)
(24832|24853) pub11  81% (52 samples sent)
(24832|24853) pub11  90% (58 samples sent)
(24832|24853) pub11  100% (64 samples sent)
(24832|24853) pub11->wait_match() before write for 01030000.5044f3c7.0000000e.00000102(66bc37cb)
(24832|24853) pub11<-match found! before write for 01030000.5044f3c7.0000000e.00000102(66bc37cb)
(24832|24853) pub11  waiting for acks
(24832|24853) pub11  waiting for acks returned
(24832|24853) pub11<-delete_contained_entities
(24832|24843) pub14  writer id: 01030000.5044f3c7.00000008.00000102(e9fcc26b)
(24832|24843) pub14->started
(24832|24843) pub14  10% (7 samples sent)
(24832|24843) pub14  20% (13 samples sent)
(24832|24843) pub14  31% (20 samples sent)
(24832|24843) pub14  40% (26 samples sent)
(24832|24843) pub14  50% (32 samples sent)
(24832|24843) pub14  60% (39 samples sent)
(24832|24843) pub14  70% (45 samples sent)
(24832|24843) pub14  81% (52 samples sent)
(24832|24843) pub14  90% (58 samples sent)
(24832|24843) pub14  100% (64 samples sent)
(24832|24843) pub14->wait_match() before write for 01030000.5044f3c7.00000008.00000102(e9fcc26b)
(24832|24843) pub14<-match found! before write for 01030000.5044f3c7.00000008.00000102(e9fcc26b)
(24832|24843) pub14  waiting for acks
(24832|24843) pub14  waiting for acks returned
(24832|24843) pub14<-delete_contained_entities
(24832|24842) pub1  writer id: 01030000.5044f3c7.0000000a.00000102(933c910b)
(24832|24842) pub1->started
(24832|24842) pub1  10% (7 samples sent)
(24832|24842) pub1  20% (13 samples sent)
(24832|24842) pub1  31% (20 samples sent)
(24832|24842) pub1  40% (26 samples sent)
(24832|24842) pub1  50% (32 samples sent)
(24832|24842) pub1  60% (39 samples sent)
(24832|24842) pub1  70% (45 samples sent)
(24832|24842) pub1  81% (52 samples sent)
(24832|24842) pub1  90% (58 samples sent)
(24832|24842) pub1  100% (64 samples sent)
(24832|24842) pub1->wait_match() before write for 01030000.5044f3c7.0000000a.00000102(933c910b)
(24832|24842) pub1<-match found! before write for 01030000.5044f3c7.0000000a.00000102(933c910b)
(24832|24842) pub1  waiting for acks
(24832|24842) pub1  waiting for acks returned
(24832|24842) pub1<-delete_contained_entities
(24832|24849) pub4  writer id: 01030000.5044f3c7.00000005.00000102(116c06da)
(24832|24849) pub4->started
(24832|24849) pub4  10% (7 samples sent)
(24832|24849) pub4  20% (13 samples sent)
(24832|24849) pub4  31% (20 samples sent)
(24832|24849) pub4  40% (26 samples sent)
(24832|24849) pub4  50% (32 samples sent)
(24832|24849) pub4  60% (39 samples sent)
(24832|24849) pub4  70% (45 samples sent)
(24832|24849) pub4  81% (52 samples sent)
(24832|24849) pub4  90% (58 samples sent)
(24832|24849) pub4  100% (64 samples sent)
(24832|24849) pub4->wait_match() before write for 01030000.5044f3c7.00000005.00000102(116c06da)
(24832|24849) pub4<-match found! before write for 01030000.5044f3c7.00000005.00000102(116c06da)
(24832|24849) pub4  waiting for acks
(24832|24849) pub4  waiting for acks returned
(24832|24849) pub4<-delete_contained_entities
(24832|24845) pub13  writer id: 01030000.5044f3c7.0000000c.00000102(1c7c64ab)
(24832|24845) pub13->started
(24832|24845) pub13  10% (7 samples sent)
(24832|24845) pub13  20% (13 samples sent)
(24832|24845) pub13  31% (20 samples sent)
(24832|24845) pub13  40% (26 samples sent)
(24832|24845) pub13  50% (32 samples sent)
(24832|24845) pub13  60% (39 samples sent)
(24832|24845) pub13  70% (45 samples sent)
(24832|24845) pub13  81% (52 samples sent)
(24832|24845) pub13  90% (58 samples sent)
(24832|24845) pub13  100% (64 samples sent)
(24832|24845) pub13->wait_match() before write for 01030000.5044f3c7.0000000c.00000102(1c7c64ab)
(24832|24845) pub13<-match found! before write for 01030000.5044f3c7.0000000c.00000102(1c7c64ab)
(24832|24845) pub13  waiting for acks
(24832|24845) pub13  waiting for acks returned
(24832|24845) pub13<-delete_contained_entities
(24832|24856) pub10  writer id: 01030000.5044f3c7.00000009.00000102(d49cebdb)
(24832|24856) pub10->started
(24832|24856) pub10  10% (7 samples sent)
(24832|24856) pub10  20% (13 samples sent)
(24832|24856) pub10  31% (20 samples sent)
(24832|24856) pub10  40% (26 samples sent)
(24832|24856) pub10  50% (32 samples sent)
(24832|24856) pub10  60% (39 samples sent)
(24832|24856) pub10  70% (45 samples sent)
(24832|24856) pub10  81% (52 samples sent)
(24832|24856) pub10  90% (58 samples sent)
(24832|24856) pub10  100% (64 samples sent)
(24832|24856) pub10->wait_match() before write for 01030000.5044f3c7.00000009.00000102(d49cebdb)
(24832|24856) pub10<-match found! before write for 01030000.5044f3c7.00000009.00000102(d49cebdb)
(24832|24856) pub10  waiting for acks
(24832|24856) pub10  waiting for acks returned
(24832|24856) pub10<-delete_contained_entities
(24832|24854) pub6  writer id: 01030000.5044f3c7.0000000d.00000102(211c4d1b)
(24832|24854) pub6->started
(24832|24854) pub6  10% (7 samples sent)
(24832|24854) pub6  20% (13 samples sent)
(24832|24854) pub6  31% (20 samples sent)
(24832|24854) pub6  40% (26 samples sent)
(24832|24854) pub6  50% (32 samples sent)
(24832|24854) pub6  60% (39 samples sent)
(24832|24854) pub6  70% (45 samples sent)
(24832|24854) pub6  81% (52 samples sent)
(24832|24854) pub6  90% (58 samples sent)
(24832|24854) pub6  100% (64 samples sent)
(24832|24854) pub6->wait_match() before write for 01030000.5044f3c7.0000000d.00000102(211c4d1b)
(24832|24854) pub6<-match found! before write for 01030000.5044f3c7.0000000d.00000102(211c4d1b)
(24832|24854) pub6  waiting for acks
(24832|24854) pub6  waiting for acks returned
(24832|24854) pub6<-delete_contained_entities
(24832|24847) pub2  writer id: 01030000.5044f3c7.00000004.00000102(2c0c2f6a)
(24832|24847) pub2->started
(24832|24847) pub2  10% (7 samples sent)
(24832|24847) pub2  20% (13 samples sent)
(24832|24847) pub2  31% (20 samples sent)
(24832|24847) pub2  40% (26 samples sent)
(24832|24847) pub2  50% (32 samples sent)
(24832|24847) pub2  60% (39 samples sent)
(24832|24847) pub2  70% (45 samples sent)
(24832|24847) pub2  81% (52 samples sent)
(24832|24847) pub2  90% (58 samples sent)
(24832|24847) pub2  100% (64 samples sent)
(24832|24847) pub2->wait_match() before write for 01030000.5044f3c7.00000004.00000102(2c0c2f6a)
(24832|24847) pub2<-match found! before write for 01030000.5044f3c7.00000004.00000102(2c0c2f6a)
(24832|24847) pub2  waiting for acks
(24832|24847) pub2  waiting for acks returned
(24832|24847) pub2<-delete_contained_entities
(24832|24848) pub12  writer id: 01030000.5044f3c7.00000010.00000102(b96c1e28)
(24832|24848) pub12->started
(24832|24848) pub12  10% (7 samples sent)
(24832|24848) pub12  20% (13 samples sent)
(24832|24848) pub12  31% (20 samples sent)
(24832|24848) pub12  40% (26 samples sent)
(24832|24848) pub12  50% (32 samples sent)
(24832|24848) pub12  60% (39 samples sent)
(24832|24848) pub12  70% (45 samples sent)
(24832|24848) pub12  81% (52 samples sent)
(24832|24848) pub12  90% (58 samples sent)
(24832|24848) pub12  100% (64 samples sent)
(24832|24848) pub12->wait_match() before write for 01030000.5044f3c7.00000010.00000102(b96c1e28)
(24832|24848) pub12<-match found! before write for 01030000.5044f3c7.00000010.00000102(b96c1e28)
(24832|24848) pub12  waiting for acks
(24832|24848) pub12  waiting for acks returned
(24832|24848) pub12<-delete_contained_entities
(24832|24846) pub3  writer id: 01030000.5044f3c7.00000006.00000102(56cc7c0a)
(24832|24846) pub3->started
(24832|24846) pub3  10% (7 samples sent)
(24832|24846) pub3  20% (13 samples sent)
(24832|24846) pub3  31% (20 samples sent)
(24832|24846) pub3  40% (26 samples sent)
(24832|24846) pub3  50% (32 samples sent)
(24832|24846) pub3  60% (39 samples sent)
(24832|24846) pub3  70% (45 samples sent)
(24832|24846) pub3  81% (52 samples sent)
(24832|24846) pub3  90% (58 samples sent)
(24832|24846) pub3  100% (64 samples sent)
(24832|24846) pub3->wait_match() before write for 01030000.5044f3c7.00000006.00000102(56cc7c0a)
(24832|24846) pub3<-match found! before write for 01030000.5044f3c7.00000006.00000102(56cc7c0a)
(24832|24846) pub3  waiting for acks
(24832|24846) pub3  waiting for acks returned
(24832|24846) pub3<-delete_contained_entities
(24832|24855) pub8  writer id: 01030000.5044f3c7.0000000f.00000102(5bdc1e7b)
(24832|24855) pub8->started
(24832|24855) pub8  10% (7 samples sent)
(24832|24855) pub8  20% (13 samples sent)
(24832|24855) pub8  31% (20 samples sent)
(24832|24855) pub8  40% (26 samples sent)
(24832|24855) pub8  50% (32 samples sent)
(24832|24855) pub8  60% (39 samples sent)
(24832|24855) pub8  70% (45 samples sent)
(24832|24855) pub8  81% (52 samples sent)
(24832|24855) pub8  90% (58 samples sent)
(24832|24855) pub8  100% (64 samples sent)
(24832|24855) pub8->wait_match() before write for 01030000.5044f3c7.0000000f.00000102(5bdc1e7b)
(24832|24852) pub7  writer id: 01030000.5044f3c7.0000000b.00000102(ae5cb8bb)
(24832|24852) pub7->started
(24832|24852) pub7  10% (7 samples sent)
(24832|24855) pub8<-match found! before write for 01030000.5044f3c7.0000000f.00000102(5bdc1e7b)
(24832|24855) pub8  waiting for acks
(24832|24852) pub7  20% (13 samples sent)
(24832|24852) pub7  31% (20 samples sent)
(24832|24852) pub7  40% (26 samples sent)
(24832|24852) pub7  50% (32 samples sent)
(24832|24844) pub15  writer id: 01030000.5044f3c7.00000012.00000102(c3ac4d48)
(24832|24844) pub15->started
(24832|24844) pub15  10% (7 samples sent)
(24832|24844) pub15  20% (13 samples sent)
(24832|24844) pub15  31% (20 samples sent)
(24832|24844) pub15  40% (26 samples sent)
(24832|24844) pub15  50% (32 samples sent)
(24832|24844) pub15  60% (39 samples sent)
(24832|24844) pub15  70% (45 samples sent)
(24832|24844) pub15  81% (52 samples sent)
(24832|24852) pub7  60% (39 samples sent)
(24832|24844) pub15  90% (58 samples sent)
(24832|24844) pub15  100% (64 samples sent)
(24832|24844) pub15->wait_match() before write for 01030000.5044f3c7.00000012.00000102(c3ac4d48)
(24832|24852) pub7  70% (45 samples sent)
(24832|24852) pub7  81% (52 samples sent)
(24832|24852) pub7  90% (58 samples sent)
(24832|24852) pub7  100% (64 samples sent)
(24832|24852) pub7->wait_match() before write for 01030000.5044f3c7.0000000b.00000102(ae5cb8bb)
(24832|24852) pub7<-match found! before write for 01030000.5044f3c7.0000000b.00000102(ae5cb8bb)
(24832|24852) pub7  waiting for acks
(24832|24855) pub8  waiting for acks returned
(24832|24855) pub8<-delete_contained_entities
(24832|24851) pub5  writer id: 01030000.5044f3c7.00000011.00000102(840c3798)
(24832|24851) pub5->started
(24832|24851) pub5  10% (7 samples sent)
(24832|24851) pub5  20% (13 samples sent)
(24832|24851) pub5  31% (20 samples sent)
(24832|24851) pub5  40% (26 samples sent)
(24832|24851) pub5  50% (32 samples sent)
(24832|24851) pub5  60% (39 samples sent)
(24832|24851) pub5  70% (45 samples sent)
(24832|24851) pub5  81% (52 samples sent)
(24832|24851) pub5  90% (58 samples sent)
(24832|24851) pub5  100% (64 samples sent)
(24832|24851) pub5->wait_match() before write for 01030000.5044f3c7.00000011.00000102(840c3798)
(24832|24844) pub15<-match found! before write for 01030000.5044f3c7.00000012.00000102(c3ac4d48)
(24832|24844) pub15  waiting for acks
(24832|24851) pub5<-match found! before write for 01030000.5044f3c7.00000011.00000102(840c3798)
(24832|24851) pub5  waiting for acks
(24832|24852) pub7  waiting for acks returned
(24832|24852) pub7<-delete_contained_entities
(24832|24841) pub0<-delete_participant
(24832|24840) sub condition_.notify_all
(24832|24832) sub condition_.wait returned
(24832|24832) sub check_received
(24832|24832) sub check_received returns 0
(24832|24832) <- PublisherService::end
(24832|24844) pub15  waiting for acks returned
(24832|24844) pub15<-delete_contained_entities
(24832|24851) pub5  waiting for acks returned
(24832|24851) pub5<-delete_contained_entities
(24832|24850) pub9<-delete_participant
(24832|24853) pub11<-delete_participant
(24832|24843) pub14<-delete_participant
(24832|24842) pub1<-delete_participant
(24832|24849) pub4<-delete_participant
(24832|24845) pub13<-delete_participant
(24832|24856) pub10<-delete_participant
(24832|24854) pub6<-delete_participant
(24832|24847) pub2<-delete_participant
(24832|24848) pub12<-delete_participant
(24832|24846) pub3<-delete_participant
(24832|24855) pub8<-delete_participant
(24832|24852) pub7<-delete_participant
(24832|24844) pub15<-delete_participant
(24832|24851) pub5<-delete_participant
(24832|24832) <- PublisherService::~PublisherService
(24832|24832) <- Subscriber delete_contained_entities
(24832|24832) <- Subscriber delete_participant
(24832|24832) <- Subscriber::~Subscriber
(24832|24832) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl medium durable Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl high durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 24858
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 32 -s 32 -n 1024 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 24865 started at 2023-04-28 22:45:17
(24865|24865) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(24865|24865) -> Thrasher started
(24865|24865) -> Subscriber::Subscriber
(24858|24858) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(24865|24865) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(24865|24865)    Subscriber reader id: 01030000.64c988e1.00000002.00000507(e3521be4)
(24865|24865) -> PublisherService::PublisherService
(24865|24865) -> PublisherService::start (32 threads)
(24865|24865) sub wait_received 0:32
(24865|24874) pub0  writer id: 01030000.64c988e1.00000003.00000102(ca3403df)
(24865|24874) pub0->started
(24865|24874) pub0  12% (4 samples sent)
(24865|24874) pub0  21% (7 samples sent)
(24865|24874) pub0  31% (10 samples sent)
(24865|24874) pub0  40% (13 samples sent)
(24865|24874) pub0  50% (16 samples sent)
(24865|24874) pub0  62% (20 samples sent)
(24865|24874) pub0  71% (23 samples sent)
(24865|24874) pub0  81% (26 samples sent)
(24865|24874) pub0  90% (29 samples sent)
(24865|24874) pub0  100% (32 samples sent)
(24865|24874) pub0->wait_match() before write for 01030000.64c988e1.00000003.00000102(ca3403df)
(24865|24874) pub0<-match found! before write for 01030000.64c988e1.00000003.00000102(ca3403df)
(24865|24874) pub0  waiting for acks
(24865|24874) pub0  waiting for acks returned
(24865|24874) pub0<-delete_contained_entities
(24865|24883) pub8  writer id: 01030000.64c988e1.00000008.00000102(bde432ce)
(24865|24883) pub8->started
(24865|24883) pub8  12% (4 samples sent)
(24865|24883) pub8  21% (7 samples sent)
(24865|24883) pub8  31% (10 samples sent)
(24865|24883) pub8  40% (13 samples sent)
(24865|24883) pub8  50% (16 samples sent)
(24865|24883) pub8  62% (20 samples sent)
(24865|24883) pub8  71% (23 samples sent)
(24865|24883) pub8  81% (26 samples sent)
(24865|24883) pub8  90% (29 samples sent)
(24865|24883) pub8  100% (32 samples sent)
(24865|24883) pub8->wait_match() before write for 01030000.64c988e1.00000008.00000102(bde432ce)
(24865|24883) pub8<-match found! before write for 01030000.64c988e1.00000008.00000102(bde432ce)
(24865|24883) pub8  waiting for acks
(24865|24883) pub8  waiting for acks returned
(24865|24883) pub8<-delete_contained_entities
(24865|24878) pub3  writer id: 01030000.64c988e1.00000004.00000102(7814dfcf)
(24865|24878) pub3->started
(24865|24878) pub3  12% (4 samples sent)
(24865|24878) pub3  21% (7 samples sent)
(24865|24878) pub3  31% (10 samples sent)
(24865|24878) pub3  40% (13 samples sent)
(24865|24878) pub3  50% (16 samples sent)
(24865|24878) pub3  62% (20 samples sent)
(24865|24878) pub3  71% (23 samples sent)
(24865|24878) pub3  81% (26 samples sent)
(24865|24878) pub3  90% (29 samples sent)
(24865|24878) pub3  100% (32 samples sent)
(24865|24878) pub3->wait_match() before write for 01030000.64c988e1.00000004.00000102(7814dfcf)
(24865|24878) pub3<-match found! before write for 01030000.64c988e1.00000004.00000102(7814dfcf)
(24865|24878) pub3  waiting for acks
(24865|24878) pub3  waiting for acks returned
(24865|24878) pub3<-delete_contained_entities
(24865|24884) pub10  writer id: 01030000.64c988e1.0000000a.00000102(c72461ae)
(24865|24884) pub10->started
(24865|24884) pub10  12% (4 samples sent)
(24865|24884) pub10  21% (7 samples sent)
(24865|24884) pub10  31% (10 samples sent)
(24865|24884) pub10  40% (13 samples sent)
(24865|24884) pub10  50% (16 samples sent)
(24865|24884) pub10  62% (20 samples sent)
(24865|24884) pub10  71% (23 samples sent)
(24865|24884) pub10  81% (26 samples sent)
(24865|24884) pub10  90% (29 samples sent)
(24865|24884) pub10  100% (32 samples sent)
(24865|24884) pub10->wait_match() before write for 01030000.64c988e1.0000000a.00000102(c72461ae)
(24865|24884) pub10<-match found! before write for 01030000.64c988e1.0000000a.00000102(c72461ae)
(24865|24884) pub10  waiting for acks
(24865|24884) pub10  waiting for acks returned
(24865|24884) pub10<-delete_contained_entities
(24865|24877) pub4  writer id: 01030000.64c988e1.00000006.00000102(02d48caf)
(24865|24877) pub4->started
(24865|24877) pub4  12% (4 samples sent)
(24865|24877) pub4  21% (7 samples sent)
(24865|24877) pub4  31% (10 samples sent)
(24865|24877) pub4  40% (13 samples sent)
(24865|24877) pub4  50% (16 samples sent)
(24865|24877) pub4  62% (20 samples sent)
(24865|24877) pub4  71% (23 samples sent)
(24865|24877) pub4  81% (26 samples sent)
(24865|24877) pub4  90% (29 samples sent)
(24865|24877) pub4  100% (32 samples sent)
(24865|24877) pub4->wait_match() before write for 01030000.64c988e1.00000006.00000102(02d48caf)
(24865|24877) pub4<-match found! before write for 01030000.64c988e1.00000006.00000102(02d48caf)
(24865|24877) pub4  waiting for acks
(24865|24877) pub4  waiting for acks returned
(24865|24877) pub4<-delete_contained_entities
(24865|24882) pub9  writer id: 01030000.64c988e1.00000007.00000102(3fb4a51f)
(24865|24882) pub9->started
(24865|24882) pub9  12% (4 samples sent)
(24865|24882) pub9  21% (7 samples sent)
(24865|24882) pub9  31% (10 samples sent)
(24865|24882) pub9  40% (13 samples sent)
(24865|24882) pub9  50% (16 samples sent)
(24865|24882) pub9  62% (20 samples sent)
(24865|24882) pub9  71% (23 samples sent)
(24865|24882) pub9  81% (26 samples sent)
(24865|24882) pub9  90% (29 samples sent)
(24865|24882) pub9  100% (32 samples sent)
(24865|24882) pub9->wait_match() before write for 01030000.64c988e1.00000007.00000102(3fb4a51f)
(24865|24882) pub9<-match found! before write for 01030000.64c988e1.00000007.00000102(3fb4a51f)
(24865|24882) pub9  waiting for acks
(24865|24882) pub9  waiting for acks returned
(24865|24882) pub9<-delete_contained_entities
(24865|24880) pub6  writer id: 01030000.64c988e1.00000005.00000102(4574f67f)
(24865|24880) pub6->started
(24865|24880) pub6  12% (4 samples sent)
(24865|24880) pub6  21% (7 samples sent)
(24865|24880) pub6  31% (10 samples sent)
(24865|24880) pub6  40% (13 samples sent)
(24865|24880) pub6  50% (16 samples sent)
(24865|24880) pub6  62% (20 samples sent)
(24865|24880) pub6  71% (23 samples sent)
(24865|24880) pub6  81% (26 samples sent)
(24865|24880) pub6  90% (29 samples sent)
(24865|24880) pub6  100% (32 samples sent)
(24865|24880) pub6->wait_match() before write for 01030000.64c988e1.00000005.00000102(4574f67f)
(24865|24880) pub6<-match found! before write for 01030000.64c988e1.00000005.00000102(4574f67f)
(24865|24880) pub6  waiting for acks
(24865|24880) pub6  waiting for acks returned
(24865|24880) pub6<-delete_contained_entities
(24865|24875) pub1  writer id: 01030000.64c988e1.00000009.00000102(80841b7e)
(24865|24875) pub1->started
(24865|24875) pub1  12% (4 samples sent)
(24865|24875) pub1  21% (7 samples sent)
(24865|24875) pub1  31% (10 samples sent)
(24865|24875) pub1  40% (13 samples sent)
(24865|24875) pub1  50% (16 samples sent)
(24865|24875) pub1  62% (20 samples sent)
(24865|24875) pub1  71% (23 samples sent)
(24865|24875) pub1  81% (26 samples sent)
(24865|24875) pub1  90% (29 samples sent)
(24865|24875) pub1  100% (32 samples sent)
(24865|24875) pub1->wait_match() before write for 01030000.64c988e1.00000009.00000102(80841b7e)
(24865|24875) pub1<-match found! before write for 01030000.64c988e1.00000009.00000102(80841b7e)
(24865|24875) pub1  waiting for acks
(24865|24875) pub1  waiting for acks returned
(24865|24875) pub1<-delete_contained_entities
(24865|24902) pub25  writer id: 01030000.64c988e1.0000001c.00000102(2884038c)
(24865|24902) pub25->started
(24865|24902) pub25  12% (4 samples sent)
(24865|24902) pub25  21% (7 samples sent)
(24865|24902) pub25  31% (10 samples sent)
(24865|24902) pub25  40% (13 samples sent)
(24865|24902) pub25  50% (16 samples sent)
(24865|24902) pub25  62% (20 samples sent)
(24865|24902) pub25  71% (23 samples sent)
(24865|24902) pub25  81% (26 samples sent)
(24865|24902) pub25  90% (29 samples sent)
(24865|24902) pub25  100% (32 samples sent)
(24865|24902) pub25->wait_match() before write for 01030000.64c988e1.0000001c.00000102(2884038c)
(24865|24902) pub25<-match found! before write for 01030000.64c988e1.0000001c.00000102(2884038c)
(24865|24902) pub25  waiting for acks
(24865|24902) pub25  waiting for acks returned
(24865|24902) pub25<-delete_contained_entities
(24865|24885) pub11  writer id: 01030000.64c988e1.0000000b.00000102(fa44481e)
(24865|24885) pub11->started
(24865|24885) pub11  12% (4 samples sent)
(24865|24885) pub11  21% (7 samples sent)
(24865|24885) pub11  31% (10 samples sent)
(24865|24885) pub11  40% (13 samples sent)
(24865|24885) pub11  50% (16 samples sent)
(24865|24885) pub11  62% (20 samples sent)
(24865|24885) pub11  71% (23 samples sent)
(24865|24885) pub11  81% (26 samples sent)
(24865|24885) pub11  90% (29 samples sent)
(24865|24885) pub11  100% (32 samples sent)
(24865|24885) pub11->wait_match() before write for 01030000.64c988e1.0000000b.00000102(fa44481e)
(24865|24885) pub11<-match found! before write for 01030000.64c988e1.0000000b.00000102(fa44481e)
(24865|24885) pub11  waiting for acks
(24865|24885) pub11  waiting for acks returned
(24865|24885) pub11<-delete_contained_entities
(24865|24879) pub5  writer id: 01030000.64c988e1.0000000c.00000102(4864940e)
(24865|24879) pub5->started
(24865|24879) pub5  12% (4 samples sent)
(24865|24879) pub5  21% (7 samples sent)
(24865|24879) pub5  31% (10 samples sent)
(24865|24879) pub5  40% (13 samples sent)
(24865|24879) pub5  50% (16 samples sent)
(24865|24879) pub5  62% (20 samples sent)
(24865|24879) pub5  71% (23 samples sent)
(24865|24879) pub5  81% (26 samples sent)
(24865|24879) pub5  90% (29 samples sent)
(24865|24879) pub5  100% (32 samples sent)
(24865|24879) pub5->wait_match() before write for 01030000.64c988e1.0000000c.00000102(4864940e)
(24865|24879) pub5<-match found! before write for 01030000.64c988e1.0000000c.00000102(4864940e)
(24865|24879) pub5  waiting for acks
(24865|24879) pub5  waiting for acks returned
(24865|24879) pub5<-delete_contained_entities
(24865|24886) pub12  writer id: 01030000.64c988e1.0000000d.00000102(7504bdbe)
(24865|24886) pub12->started
(24865|24886) pub12  12% (4 samples sent)
(24865|24886) pub12  21% (7 samples sent)
(24865|24886) pub12  31% (10 samples sent)
(24865|24886) pub12  40% (13 samples sent)
(24865|24886) pub12  50% (16 samples sent)
(24865|24886) pub12  62% (20 samples sent)
(24865|24886) pub12  71% (23 samples sent)
(24865|24886) pub12  81% (26 samples sent)
(24865|24886) pub12  90% (29 samples sent)
(24865|24886) pub12  100% (32 samples sent)
(24865|24886) pub12->wait_match() before write for 01030000.64c988e1.0000000d.00000102(7504bdbe)
(24865|24886) pub12<-match found! before write for 01030000.64c988e1.0000000d.00000102(7504bdbe)
(24865|24886) pub12  waiting for acks
(24865|24886) pub12  waiting for acks returned
(24865|24886) pub12<-delete_contained_entities
(24865|24876) pub2  writer id: 01030000.64c988e1.0000000e.00000102(32a4c76e)
(24865|24876) pub2->started
(24865|24876) pub2  12% (4 samples sent)
(24865|24876) pub2  21% (7 samples sent)
(24865|24876) pub2  31% (10 samples sent)
(24865|24876) pub2  40% (13 samples sent)
(24865|24876) pub2  50% (16 samples sent)
(24865|24876) pub2  62% (20 samples sent)
(24865|24876) pub2  71% (23 samples sent)
(24865|24876) pub2  81% (26 samples sent)
(24865|24876) pub2  90% (29 samples sent)
(24865|24876) pub2  100% (32 samples sent)
(24865|24876) pub2->wait_match() before write for 01030000.64c988e1.0000000e.00000102(32a4c76e)
(24865|24876) pub2<-match found! before write for 01030000.64c988e1.0000000e.00000102(32a4c76e)
(24865|24876) pub2  waiting for acks
(24865|24876) pub2  waiting for acks returned
(24865|24876) pub2<-delete_contained_entities
(24865|24881) pub7  writer id: 01030000.64c988e1.00000010.00000102(ed74ee8d)
(24865|24881) pub7->started
(24865|24881) pub7  12% (4 samples sent)
(24865|24881) pub7  21% (7 samples sent)
(24865|24881) pub7  31% (10 samples sent)
(24865|24881) pub7  40% (13 samples sent)
(24865|24881) pub7  50% (16 samples sent)
(24865|24881) pub7  62% (20 samples sent)
(24865|24881) pub7  71% (23 samples sent)
(24865|24881) pub7  81% (26 samples sent)
(24865|24881) pub7  90% (29 samples sent)
(24865|24881) pub7  100% (32 samples sent)
(24865|24881) pub7->wait_match() before write for 01030000.64c988e1.00000010.00000102(ed74ee8d)
(24865|24881) pub7<-match found! before write for 01030000.64c988e1.00000010.00000102(ed74ee8d)
(24865|24881) pub7  waiting for acks
(24865|24881) pub7  waiting for acks returned
(24865|24881) pub7<-delete_contained_entities
(24865|24893) pub13  writer id: 01030000.64c988e1.0000000f.00000102(0fc4eede)
(24865|24893) pub13->started
(24865|24893) pub13  12% (4 samples sent)
(24865|24893) pub13  21% (7 samples sent)
(24865|24893) pub13  31% (10 samples sent)
(24865|24893) pub13  40% (13 samples sent)
(24865|24893) pub13  50% (16 samples sent)
(24865|24893) pub13  62% (20 samples sent)
(24865|24893) pub13  71% (23 samples sent)
(24865|24893) pub13  81% (26 samples sent)
(24865|24893) pub13  90% (29 samples sent)
(24865|24893) pub13  100% (32 samples sent)
(24865|24893) pub13->wait_match() before write for 01030000.64c988e1.0000000f.00000102(0fc4eede)
(24865|24893) pub13<-match found! before write for 01030000.64c988e1.0000000f.00000102(0fc4eede)
(24865|24893) pub13  waiting for acks
(24865|24893) pub13  waiting for acks returned
(24865|24893) pub13<-delete_contained_entities
(24865|24894) pub19  writer id: 01030000.64c988e1.00000011.00000102(d014c73d)
(24865|24894) pub19->started
(24865|24894) pub19  12% (4 samples sent)
(24865|24894) pub19  21% (7 samples sent)
(24865|24894) pub19  31% (10 samples sent)
(24865|24894) pub19  40% (13 samples sent)
(24865|24894) pub19  50% (16 samples sent)
(24865|24894) pub19  62% (20 samples sent)
(24865|24894) pub19  71% (23 samples sent)
(24865|24894) pub19  81% (26 samples sent)
(24865|24894) pub19  90% (29 samples sent)
(24865|24894) pub19  100% (32 samples sent)
(24865|24894) pub19->wait_match() before write for 01030000.64c988e1.00000011.00000102(d014c73d)
(24865|24894) pub19<-match found! before write for 01030000.64c988e1.00000011.00000102(d014c73d)
(24865|24894) pub19  waiting for acks
(24865|24894) pub19  waiting for acks returned
(24865|24894) pub19<-delete_contained_entities
(24865|24891) pub14  writer id: 01030000.64c988e1.00000012.00000102(97b4bded)
(24865|24891) pub14->started
(24865|24891) pub14  12% (4 samples sent)
(24865|24891) pub14  21% (7 samples sent)
(24865|24891) pub14  31% (10 samples sent)
(24865|24891) pub14  40% (13 samples sent)
(24865|24891) pub14  50% (16 samples sent)
(24865|24891) pub14  62% (20 samples sent)
(24865|24891) pub14  71% (23 samples sent)
(24865|24891) pub14  81% (26 samples sent)
(24865|24891) pub14  90% (29 samples sent)
(24865|24891) pub14  100% (32 samples sent)
(24865|24891) pub14->wait_match() before write for 01030000.64c988e1.00000012.00000102(97b4bded)
(24865|24891) pub14<-match found! before write for 01030000.64c988e1.00000012.00000102(97b4bded)
(24865|24891) pub14  waiting for acks
(24865|24891) pub14  waiting for acks returned
(24865|24891) pub14<-delete_contained_entities
(24865|24892) pub15  writer id: 01030000.64c988e1.00000013.00000102(aad4945d)
(24865|24892) pub15->started
(24865|24892) pub15  12% (4 samples sent)
(24865|24892) pub15  21% (7 samples sent)
(24865|24892) pub15  31% (10 samples sent)
(24865|24892) pub15  40% (13 samples sent)
(24865|24892) pub15  50% (16 samples sent)
(24865|24892) pub15  62% (20 samples sent)
(24865|24892) pub15  71% (23 samples sent)
(24865|24892) pub15  81% (26 samples sent)
(24865|24892) pub15  90% (29 samples sent)
(24865|24892) pub15  100% (32 samples sent)
(24865|24892) pub15->wait_match() before write for 01030000.64c988e1.00000013.00000102(aad4945d)
(24865|24892) pub15<-match found! before write for 01030000.64c988e1.00000013.00000102(aad4945d)
(24865|24892) pub15  waiting for acks
(24865|24892) pub15  waiting for acks returned
(24865|24892) pub15<-delete_contained_entities
(24865|24895) pub21  writer id: 01030000.64c988e1.00000014.00000102(18f4484d)
(24865|24895) pub21->started
(24865|24895) pub21  12% (4 samples sent)
(24865|24895) pub21  21% (7 samples sent)
(24865|24895) pub21  31% (10 samples sent)
(24865|24895) pub21  40% (13 samples sent)
(24865|24895) pub21  50% (16 samples sent)
(24865|24895) pub21  62% (20 samples sent)
(24865|24895) pub21  71% (23 samples sent)
(24865|24895) pub21  81% (26 samples sent)
(24865|24895) pub21  90% (29 samples sent)
(24865|24895) pub21  100% (32 samples sent)
(24865|24895) pub21->wait_match() before write for 01030000.64c988e1.00000014.00000102(18f4484d)
(24865|24895) pub21<-match found! before write for 01030000.64c988e1.00000014.00000102(18f4484d)
(24865|24895) pub21  waiting for acks
(24865|24895) pub21  waiting for acks returned
(24865|24895) pub21<-delete_contained_entities
(24865|24890) pub18  writer id: 01030000.64c988e1.00000015.00000102(259461fd)
(24865|24890) pub18->started
(24865|24890) pub18  12% (4 samples sent)
(24865|24890) pub18  21% (7 samples sent)
(24865|24890) pub18  31% (10 samples sent)
(24865|24890) pub18  40% (13 samples sent)
(24865|24890) pub18  50% (16 samples sent)
(24865|24890) pub18  62% (20 samples sent)
(24865|24890) pub18  71% (23 samples sent)
(24865|24890) pub18  81% (26 samples sent)
(24865|24890) pub18  90% (29 samples sent)
(24865|24890) pub18  100% (32 samples sent)
(24865|24890) pub18->wait_match() before write for 01030000.64c988e1.00000015.00000102(259461fd)
(24865|24890) pub18<-match found! before write for 01030000.64c988e1.00000015.00000102(259461fd)
(24865|24890) pub18  waiting for acks
(24865|24890) pub18  waiting for acks returned
(24865|24890) pub18<-delete_contained_entities
(24865|24905) pub29  writer id: 01030000.64c988e1.00000018.00000102(dd04a54c)
(24865|24905) pub29->started
(24865|24905) pub29  12% (4 samples sent)
(24865|24905) pub29  21% (7 samples sent)
(24865|24905) pub29  31% (10 samples sent)
(24865|24905) pub29  40% (13 samples sent)
(24865|24905) pub29  50% (16 samples sent)
(24865|24905) pub29  62% (20 samples sent)
(24865|24905) pub29  71% (23 samples sent)
(24865|24905) pub29  81% (26 samples sent)
(24865|24905) pub29  90% (29 samples sent)
(24865|24905) pub29  100% (32 samples sent)
(24865|24905) pub29->wait_match() before write for 01030000.64c988e1.00000018.00000102(dd04a54c)
(24865|24905) pub29<-match found! before write for 01030000.64c988e1.00000018.00000102(dd04a54c)
(24865|24905) pub29  waiting for acks
(24865|24905) pub29  waiting for acks returned
(24865|24905) pub29<-delete_contained_entities
(24865|24896) pub23  writer id: 01030000.64c988e1.0000001a.00000102(a7c4f62c)
(24865|24896) pub23->started
(24865|24896) pub23  12% (4 samples sent)
(24865|24896) pub23  21% (7 samples sent)
(24865|24896) pub23  31% (10 samples sent)
(24865|24896) pub23  40% (13 samples sent)
(24865|24896) pub23  50% (16 samples sent)
(24865|24896) pub23  62% (20 samples sent)
(24865|24896) pub23  71% (23 samples sent)
(24865|24896) pub23  81% (26 samples sent)
(24865|24896) pub23  90% (29 samples sent)
(24865|24896) pub23  100% (32 samples sent)
(24865|24896) pub23->wait_match() before write for 01030000.64c988e1.0000001a.00000102(a7c4f62c)
(24865|24896) pub23<-match found! before write for 01030000.64c988e1.0000001a.00000102(a7c4f62c)
(24865|24896) pub23  waiting for acks
(24865|24896) pub23  waiting for acks returned
(24865|24896) pub23<-delete_contained_entities
(24865|24888) pub17  writer id: 01030000.64c988e1.00000016.00000102(62341b2d)
(24865|24888) pub17->started
(24865|24888) pub17  12% (4 samples sent)
(24865|24888) pub17  21% (7 samples sent)
(24865|24888) pub17  31% (10 samples sent)
(24865|24888) pub17  40% (13 samples sent)
(24865|24888) pub17  50% (16 samples sent)
(24865|24888) pub17  62% (20 samples sent)
(24865|24888) pub17  71% (23 samples sent)
(24865|24888) pub17  81% (26 samples sent)
(24865|24888) pub17  90% (29 samples sent)
(24865|24888) pub17  100% (32 samples sent)
(24865|24888) pub17->wait_match() before write for 01030000.64c988e1.00000016.00000102(62341b2d)
(24865|24888) pub17<-match found! before write for 01030000.64c988e1.00000016.00000102(62341b2d)
(24865|24888) pub17  waiting for acks
(24865|24888) pub17  waiting for acks returned
(24865|24888) pub17<-delete_contained_entities
(24865|24897) pub24  writer id: 01030000.64c988e1.0000001b.00000102(9aa4df9c)
(24865|24897) pub24->started
(24865|24897) pub24  12% (4 samples sent)
(24865|24897) pub24  21% (7 samples sent)
(24865|24897) pub24  31% (10 samples sent)
(24865|24897) pub24  40% (13 samples sent)
(24865|24897) pub24  50% (16 samples sent)
(24865|24897) pub24  62% (20 samples sent)
(24865|24897) pub24  71% (23 samples sent)
(24865|24897) pub24  81% (26 samples sent)
(24865|24897) pub24  90% (29 samples sent)
(24865|24897) pub24  100% (32 samples sent)
(24865|24897) pub24->wait_match() before write for 01030000.64c988e1.0000001b.00000102(9aa4df9c)
(24865|24897) pub24<-match found! before write for 01030000.64c988e1.0000001b.00000102(9aa4df9c)
(24865|24897) pub24  waiting for acks
(24865|24897) pub24  waiting for acks returned
(24865|24897) pub24<-delete_contained_entities
(24865|24898) pub22  writer id: 01030000.64c988e1.00000019.00000102(e0648cfc)
(24865|24898) pub22->started
(24865|24898) pub22  12% (4 samples sent)
(24865|24898) pub22  21% (7 samples sent)
(24865|24898) pub22  31% (10 samples sent)
(24865|24898) pub22  40% (13 samples sent)
(24865|24898) pub22  50% (16 samples sent)
(24865|24898) pub22  62% (20 samples sent)
(24865|24898) pub22  71% (23 samples sent)
(24865|24898) pub22  81% (26 samples sent)
(24865|24898) pub22  90% (29 samples sent)
(24865|24898) pub22  100% (32 samples sent)
(24865|24898) pub22->wait_match() before write for 01030000.64c988e1.00000019.00000102(e0648cfc)
(24865|24898) pub22<-match found! before write for 01030000.64c988e1.00000019.00000102(e0648cfc)
(24865|24898) pub22  waiting for acks
(24865|24898) pub22  waiting for acks returned
(24865|24898) pub22<-delete_contained_entities
(24865|24887) pub16  writer id: 01030000.64c988e1.0000001d.00000102(15e42a3c)
(24865|24887) pub16->started
(24865|24887) pub16  12% (4 samples sent)
(24865|24887) pub16  21% (7 samples sent)
(24865|24887) pub16  31% (10 samples sent)
(24865|24887) pub16  40% (13 samples sent)
(24865|24887) pub16  50% (16 samples sent)
(24865|24887) pub16  62% (20 samples sent)
(24865|24887) pub16  71% (23 samples sent)
(24865|24887) pub16  81% (26 samples sent)
(24865|24887) pub16  90% (29 samples sent)
(24865|24887) pub16  100% (32 samples sent)
(24865|24887) pub16->wait_match() before write for 01030000.64c988e1.0000001d.00000102(15e42a3c)
(24865|24887) pub16<-match found! before write for 01030000.64c988e1.0000001d.00000102(15e42a3c)
(24865|24887) pub16  waiting for acks
(24865|24887) pub16  waiting for acks returned
(24865|24887) pub16<-delete_contained_entities
(24865|24899) pub26  writer id: 01030000.64c988e1.0000001e.00000102(524450ec)
(24865|24899) pub26->started
(24865|24899) pub26  12% (4 samples sent)
(24865|24899) pub26  21% (7 samples sent)
(24865|24899) pub26  31% (10 samples sent)
(24865|24899) pub26  40% (13 samples sent)
(24865|24899) pub26  50% (16 samples sent)
(24865|24899) pub26  62% (20 samples sent)
(24865|24899) pub26  71% (23 samples sent)
(24865|24899) pub26  81% (26 samples sent)
(24865|24899) pub26  90% (29 samples sent)
(24865|24899) pub26  100% (32 samples sent)
(24865|24899) pub26->wait_match() before write for 01030000.64c988e1.0000001e.00000102(524450ec)
(24865|24899) pub26<-match found! before write for 01030000.64c988e1.0000001e.00000102(524450ec)
(24865|24899) pub26  waiting for acks
(24865|24899) pub26  waiting for acks returned
(24865|24899) pub26<-delete_contained_entities
(24865|24889) pub20  writer id: 01030000.64c988e1.00000017.00000102(5f54329d)
(24865|24889) pub20->started
(24865|24889) pub20  12% (4 samples sent)
(24865|24889) pub20  21% (7 samples sent)
(24865|24889) pub20  31% (10 samples sent)
(24865|24889) pub20  40% (13 samples sent)
(24865|24889) pub20  50% (16 samples sent)
(24865|24889) pub20  62% (20 samples sent)
(24865|24889) pub20  71% (23 samples sent)
(24865|24889) pub20  81% (26 samples sent)
(24865|24889) pub20  90% (29 samples sent)
(24865|24889) pub20  100% (32 samples sent)
(24865|24889) pub20->wait_match() before write for 01030000.64c988e1.00000017.00000102(5f54329d)
(24865|24889) pub20<-match found! before write for 01030000.64c988e1.00000017.00000102(5f54329d)
(24865|24889) pub20  waiting for acks
(24865|24889) pub20  waiting for acks returned
(24865|24889) pub20<-delete_contained_entities
(24865|24904) pub27  writer id: 01030000.64c988e1.0000001f.00000102(6f24795c)
(24865|24904) pub27->started
(24865|24904) pub27  12% (4 samples sent)
(24865|24904) pub27  21% (7 samples sent)
(24865|24904) pub27  31% (10 samples sent)
(24865|24904) pub27  40% (13 samples sent)
(24865|24904) pub27  50% (16 samples sent)
(24865|24904) pub27  62% (20 samples sent)
(24865|24904) pub27  71% (23 samples sent)
(24865|24904) pub27  81% (26 samples sent)
(24865|24904) pub27  90% (29 samples sent)
(24865|24904) pub27  100% (32 samples sent)
(24865|24904) pub27->wait_match() before write for 01030000.64c988e1.0000001f.00000102(6f24795c)
(24865|24904) pub27<-match found! before write for 01030000.64c988e1.0000001f.00000102(6f24795c)
(24865|24904) pub27  waiting for acks
(24865|24904) pub27  waiting for acks returned
(24865|24904) pub27<-delete_contained_entities
(24865|24901) pub28  writer id: 01030000.64c988e1.00000020.00000102(4c55560b)
(24865|24901) pub28->started
(24865|24901) pub28  12% (4 samples sent)
(24865|24901) pub28  21% (7 samples sent)
(24865|24901) pub28  31% (10 samples sent)
(24865|24901) pub28  40% (13 samples sent)
(24865|24901) pub28  50% (16 samples sent)
(24865|24901) pub28  62% (20 samples sent)
(24865|24901) pub28  71% (23 samples sent)
(24865|24901) pub28  81% (26 samples sent)
(24865|24901) pub28  90% (29 samples sent)
(24865|24901) pub28  100% (32 samples sent)
(24865|24901) pub28->wait_match() before write for 01030000.64c988e1.00000020.00000102(4c55560b)
(24865|24901) pub28<-match found! before write for 01030000.64c988e1.00000020.00000102(4c55560b)
(24865|24901) pub28  waiting for acks
(24865|24901) pub28  waiting for acks returned
(24865|24901) pub28<-delete_contained_entities
(24865|24900) pub30  writer id: 01030000.64c988e1.00000021.00000102(71357fbb)
(24865|24900) pub30->started
(24865|24900) pub30  12% (4 samples sent)
(24865|24900) pub30  21% (7 samples sent)
(24865|24900) pub30  31% (10 samples sent)
(24865|24900) pub30  40% (13 samples sent)
(24865|24900) pub30  50% (16 samples sent)
(24865|24900) pub30  62% (20 samples sent)
(24865|24900) pub30  71% (23 samples sent)
(24865|24900) pub30  81% (26 samples sent)
(24865|24900) pub30  90% (29 samples sent)
(24865|24900) pub30  100% (32 samples sent)
(24865|24900) pub30->wait_match() before write for 01030000.64c988e1.00000021.00000102(71357fbb)
(24865|24900) pub30<-match found! before write for 01030000.64c988e1.00000021.00000102(71357fbb)
(24865|24900) pub30  waiting for acks
(24865|24900) pub30  waiting for acks returned
(24865|24900) pub30<-delete_contained_entities
(24865|24903) pub31  writer id: 01030000.64c988e1.00000022.00000102(3695056b)
(24865|24903) pub31->started
(24865|24903) pub31  12% (4 samples sent)
(24865|24903) pub31  21% (7 samples sent)
(24865|24903) pub31  31% (10 samples sent)
(24865|24903) pub31  40% (13 samples sent)
(24865|24903) pub31  50% (16 samples sent)
(24865|24903) pub31  62% (20 samples sent)
(24865|24903) pub31  71% (23 samples sent)
(24865|24903) pub31  81% (26 samples sent)
(24865|24903) pub31  90% (29 samples sent)
(24865|24903) pub31  100% (32 samples sent)
(24865|24903) pub31->wait_match() before write for 01030000.64c988e1.00000022.00000102(3695056b)
(24865|24873) sub condition_.notify_all
(24865|24865) sub condition_.wait returned
(24865|24865) sub check_received
(24865|24865) sub check_received returns 0
(24865|24865) <- PublisherService::end
(24865|24903) pub31<-match found! before write for 01030000.64c988e1.00000022.00000102(3695056b)
(24865|24903) pub31  waiting for acks
(24865|24903) pub31  waiting for acks returned
(24865|24903) pub31<-delete_contained_entities
(24865|24874) pub0<-delete_participant
(24865|24883) pub8<-delete_participant
(24865|24878) pub3<-delete_participant
(24865|24884) pub10<-delete_participant
(24865|24877) pub4<-delete_participant
(24865|24882) pub9<-delete_participant
(24865|24880) pub6<-delete_participant
(24865|24875) pub1<-delete_participant
(24865|24902) pub25<-delete_participant
(24865|24885) pub11<-delete_participant
(24865|24879) pub5<-delete_participant
(24865|24886) pub12<-delete_participant
(24865|24876) pub2<-delete_participant
(24865|24881) pub7<-delete_participant
(24865|24893) pub13<-delete_participant
(24865|24894) pub19<-delete_participant
(24865|24891) pub14<-delete_participant
(24865|24892) pub15<-delete_participant
(24865|24895) pub21<-delete_participant
(24865|24890) pub18<-delete_participant
(24865|24905) pub29<-delete_participant
(24865|24896) pub23<-delete_participant
(24865|24888) pub17<-delete_participant
(24865|24897) pub24<-delete_participant
(24865|24898) pub22<-delete_participant
(24865|24887) pub16<-delete_participant
(24865|24899) pub26<-delete_participant
(24865|24889) pub20<-delete_participant
(24865|24904) pub27<-delete_participant
(24865|24901) pub28<-delete_participant
(24865|24900) pub30<-delete_participant
(24865|24903) pub31<-delete_participant
(24865|24865) <- PublisherService::~PublisherService
(24865|24865) <- Subscriber delete_contained_entities
(24865|24865) <- Subscriber delete_participant
(24865|24865) <- Subscriber::~Subscriber
(24865|24865) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl high durable Time:2s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl aggressive durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 24907
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 64 -s 16 -n 1024 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 24914 started at 2023-04-28 22:45:19
(24914|24914) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(24914|24914) -> Thrasher started
(24914|24914) -> Subscriber::Subscriber
(24907|24907) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(24914|24914) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(24914|24914)    Subscriber reader id: 01030000.565139e3.00000002.00000507(37566bef)
(24914|24914) -> PublisherService::PublisherService
(24914|24914) -> PublisherService::start (64 threads)
(24914|24914) sub wait_received 0:64
(24914|24924) pub0  writer id: 01030000.565139e3.00000003.00000102(1e3073d4)
(24914|24924) pub0->started
(24914|24924) pub0  12% (2 samples sent)
(24914|24924) pub0  25% (4 samples sent)
(24914|24924) pub0  31% (5 samples sent)
(24914|24924) pub0  43% (7 samples sent)
(24914|24924) pub0  50% (8 samples sent)
(24914|24924) pub0  62% (10 samples sent)
(24914|24924) pub0  75% (12 samples sent)
(24914|24924) pub0  81% (13 samples sent)
(24914|24924) pub0  93% (15 samples sent)
(24914|24924) pub0  100% (16 samples sent)
(24914|24924) pub0->wait_match() before write for 01030000.565139e3.00000003.00000102(1e3073d4)
(24914|24924) pub0<-match found! before write for 01030000.565139e3.00000003.00000102(1e3073d4)
(24914|24924) pub0  waiting for acks
(24914|24924) pub0  waiting for acks returned
(24914|24924) pub0<-delete_contained_entities
(24914|24936) pub1  writer id: 01030000.565139e3.00000007.00000102(ebb0d514)
(24914|24936) pub1->started
(24914|24936) pub1  12% (2 samples sent)
(24914|24936) pub1  25% (4 samples sent)
(24914|24936) pub1  31% (5 samples sent)
(24914|24936) pub1  43% (7 samples sent)
(24914|24936) pub1  50% (8 samples sent)
(24914|24936) pub1  62% (10 samples sent)
(24914|24936) pub1  75% (12 samples sent)
(24914|24936) pub1  81% (13 samples sent)
(24914|24936) pub1  93% (15 samples sent)
(24914|24936) pub1  100% (16 samples sent)
(24914|24936) pub1->wait_match() before write for 01030000.565139e3.00000007.00000102(ebb0d514)
(24914|24936) pub1<-match found! before write for 01030000.565139e3.00000007.00000102(ebb0d514)
(24914|24936) pub1  waiting for acks
(24914|24936) pub1  waiting for acks returned
(24914|24936) pub1<-delete_contained_entities
(24914|24927) pub48  writer id: 01030000.565139e3.00000034.00000102(0d311742)
(24914|24927) pub48->started
(24914|24927) pub48  12% (2 samples sent)
(24914|24927) pub48  25% (4 samples sent)
(24914|24927) pub48  31% (5 samples sent)
(24914|24927) pub48  43% (7 samples sent)
(24914|24927) pub48  50% (8 samples sent)
(24914|24927) pub48  62% (10 samples sent)
(24914|24927) pub48  75% (12 samples sent)
(24914|24927) pub48  81% (13 samples sent)
(24914|24927) pub48  93% (15 samples sent)
(24914|24927) pub48  100% (16 samples sent)
(24914|24927) pub48->wait_match() before write for 01030000.565139e3.00000034.00000102(0d311742)
(24914|24927) pub48<-match found! before write for 01030000.565139e3.00000034.00000102(0d311742)
(24914|24927) pub48  waiting for acks
(24914|24927) pub48  waiting for acks returned
(24914|24927) pub48<-delete_contained_entities
(24914|24972) pub41  writer id: 01030000.565139e3.0000002d.00000102(60c1e2b1)
(24914|24972) pub41->started
(24914|24972) pub41  12% (2 samples sent)
(24914|24972) pub41  25% (4 samples sent)
(24914|24972) pub41  31% (5 samples sent)
(24914|24972) pub41  43% (7 samples sent)
(24914|24972) pub41  50% (8 samples sent)
(24914|24972) pub41  62% (10 samples sent)
(24914|24972) pub41  75% (12 samples sent)
(24914|24972) pub41  81% (13 samples sent)
(24914|24972) pub41  93% (15 samples sent)
(24914|24972) pub41  100% (16 samples sent)
(24914|24972) pub41->wait_match() before write for 01030000.565139e3.0000002d.00000102(60c1e2b1)
(24914|24972) pub41<-match found! before write for 01030000.565139e3.0000002d.00000102(60c1e2b1)
(24914|24972) pub41  waiting for acks
(24914|24972) pub41  waiting for acks returned
(24914|24972) pub41<-delete_contained_entities
(24914|24942) pub4  writer id: 01030000.565139e3.00000004.00000102(ac10afc4)
(24914|24942) pub4->started
(24914|24942) pub4  12% (2 samples sent)
(24914|24942) pub4  25% (4 samples sent)
(24914|24942) pub4  31% (5 samples sent)
(24914|24942) pub4  43% (7 samples sent)
(24914|24942) pub4  50% (8 samples sent)
(24914|24942) pub4  62% (10 samples sent)
(24914|24942) pub4  75% (12 samples sent)
(24914|24942) pub4  81% (13 samples sent)
(24914|24942) pub4  93% (15 samples sent)
(24914|24942) pub4  100% (16 samples sent)
(24914|24942) pub4->wait_match() before write for 01030000.565139e3.00000004.00000102(ac10afc4)
(24914|24942) pub4<-match found! before write for 01030000.565139e3.00000004.00000102(ac10afc4)
(24914|24942) pub4  waiting for acks
(24914|24942) pub4  waiting for acks returned
(24914|24942) pub4<-delete_contained_entities
(24914|24938) pub5  writer id: 01030000.565139e3.00000005.00000102(91708674)
(24914|24938) pub5->started
(24914|24938) pub5  12% (2 samples sent)
(24914|24938) pub5  25% (4 samples sent)
(24914|24938) pub5  31% (5 samples sent)
(24914|24938) pub5  43% (7 samples sent)
(24914|24938) pub5  50% (8 samples sent)
(24914|24938) pub5  62% (10 samples sent)
(24914|24938) pub5  75% (12 samples sent)
(24914|24938) pub5  81% (13 samples sent)
(24914|24938) pub5  93% (15 samples sent)
(24914|24938) pub5  100% (16 samples sent)
(24914|24938) pub5->wait_match() before write for 01030000.565139e3.00000005.00000102(91708674)
(24914|24938) pub5<-match found! before write for 01030000.565139e3.00000005.00000102(91708674)
(24914|24938) pub5  waiting for acks
(24914|24938) pub5  waiting for acks returned
(24914|24938) pub5<-delete_contained_entities
(24914|24934) pub8  writer id: 01030000.565139e3.0000000f.00000102(dbc09ed5)
(24914|24934) pub8->started
(24914|24934) pub8  12% (2 samples sent)
(24914|24934) pub8  25% (4 samples sent)
(24914|24934) pub8  31% (5 samples sent)
(24914|24934) pub8  43% (7 samples sent)
(24914|24934) pub8  50% (8 samples sent)
(24914|24934) pub8  62% (10 samples sent)
(24914|24934) pub8  75% (12 samples sent)
(24914|24934) pub8  81% (13 samples sent)
(24914|24934) pub8  93% (15 samples sent)
(24914|24934) pub8  100% (16 samples sent)
(24914|24934) pub8->wait_match() before write for 01030000.565139e3.0000000f.00000102(dbc09ed5)
(24914|24934) pub8<-match found! before write for 01030000.565139e3.0000000f.00000102(dbc09ed5)
(24914|24934) pub8  waiting for acks
(24914|24934) pub8  waiting for acks returned
(24914|24934) pub8<-delete_contained_entities
(24914|24971) pub17  writer id: 01030000.565139e3.00000015.00000102(f19011f6)
(24914|24971) pub17->started
(24914|24971) pub17  12% (2 samples sent)
(24914|24971) pub17  25% (4 samples sent)
(24914|24971) pub17  31% (5 samples sent)
(24914|24971) pub17  43% (7 samples sent)
(24914|24971) pub17  50% (8 samples sent)
(24914|24971) pub17  62% (10 samples sent)
(24914|24971) pub17  75% (12 samples sent)
(24914|24971) pub17  81% (13 samples sent)
(24914|24971) pub17  93% (15 samples sent)
(24914|24971) pub17  100% (16 samples sent)
(24914|24971) pub17->wait_match() before write for 01030000.565139e3.00000015.00000102(f19011f6)
(24914|24971) pub17<-match found! before write for 01030000.565139e3.00000015.00000102(f19011f6)
(24914|24971) pub17  waiting for acks
(24914|24971) pub17  waiting for acks returned
(24914|24971) pub17<-delete_contained_entities
(24914|24967) pub9  writer id: 01030000.565139e3.00000010.00000102(39709e86)
(24914|24967) pub9->started
(24914|24967) pub9  12% (2 samples sent)
(24914|24967) pub9  25% (4 samples sent)
(24914|24967) pub9  31% (5 samples sent)
(24914|24967) pub9  43% (7 samples sent)
(24914|24967) pub9  50% (8 samples sent)
(24914|24967) pub9  62% (10 samples sent)
(24914|24967) pub9  75% (12 samples sent)
(24914|24967) pub9  81% (13 samples sent)
(24914|24967) pub9  93% (15 samples sent)
(24914|24967) pub9  100% (16 samples sent)
(24914|24967) pub9->wait_match() before write for 01030000.565139e3.00000010.00000102(39709e86)
(24914|24967) pub9<-match found! before write for 01030000.565139e3.00000010.00000102(39709e86)
(24914|24967) pub9  waiting for acks
(24914|24967) pub9  waiting for acks returned
(24914|24967) pub9<-delete_contained_entities
(24914|24948) pub32  writer id: 01030000.565139e3.00000024.00000102(6dd180c0)
(24914|24948) pub32->started
(24914|24948) pub32  12% (2 samples sent)
(24914|24948) pub32  25% (4 samples sent)
(24914|24948) pub32  31% (5 samples sent)
(24914|24948) pub32  43% (7 samples sent)
(24914|24948) pub32  50% (8 samples sent)
(24914|24948) pub32  62% (10 samples sent)
(24914|24948) pub32  75% (12 samples sent)
(24914|24948) pub32  81% (13 samples sent)
(24914|24948) pub32  93% (15 samples sent)
(24914|24948) pub32  100% (16 samples sent)
(24914|24948) pub32->wait_match() before write for 01030000.565139e3.00000024.00000102(6dd180c0)
(24914|24948) pub32<-match found! before write for 01030000.565139e3.00000024.00000102(6dd180c0)
(24914|24948) pub32  waiting for acks
(24914|24948) pub32  waiting for acks returned
(24914|24948) pub32<-delete_contained_entities
(24914|24966) pub38  writer id: 01030000.565139e3.0000002a.00000102(d2e13ea1)
(24914|24966) pub38->started
(24914|24966) pub38  12% (2 samples sent)
(24914|24966) pub38  25% (4 samples sent)
(24914|24966) pub38  31% (5 samples sent)
(24914|24966) pub38  43% (7 samples sent)
(24914|24966) pub38  50% (8 samples sent)
(24914|24966) pub38  62% (10 samples sent)
(24914|24966) pub38  75% (12 samples sent)
(24914|24966) pub38  81% (13 samples sent)
(24914|24966) pub38  93% (15 samples sent)
(24914|24966) pub38  100% (16 samples sent)
(24914|24966) pub38->wait_match() before write for 01030000.565139e3.0000002a.00000102(d2e13ea1)
(24914|24966) pub38<-match found! before write for 01030000.565139e3.0000002a.00000102(d2e13ea1)
(24914|24966) pub38  waiting for acks
(24914|24966) pub38  waiting for acks returned
(24914|24966) pub38<-delete_contained_entities
(24914|24974) pub43  writer id: 01030000.565139e3.0000002f.00000102(1a01b1d1)
(24914|24974) pub43->started
(24914|24974) pub43  12% (2 samples sent)
(24914|24974) pub43  25% (4 samples sent)
(24914|24974) pub43  31% (5 samples sent)
(24914|24974) pub43  43% (7 samples sent)
(24914|24974) pub43  50% (8 samples sent)
(24914|24974) pub43  62% (10 samples sent)
(24914|24974) pub43  75% (12 samples sent)
(24914|24974) pub43  81% (13 samples sent)
(24914|24974) pub43  93% (15 samples sent)
(24914|24974) pub43  100% (16 samples sent)
(24914|24974) pub43->wait_match() before write for 01030000.565139e3.0000002f.00000102(1a01b1d1)
(24914|24974) pub43<-match found! before write for 01030000.565139e3.0000002f.00000102(1a01b1d1)
(24914|24974) pub43  waiting for acks
(24914|24974) pub43  waiting for acks returned
(24914|24974) pub43<-delete_contained_entities
(24914|24949) pub46  writer id: 01030000.565139e3.00000032.00000102(8271e2e2)
(24914|24949) pub46->started
(24914|24949) pub46  12% (2 samples sent)
(24914|24949) pub46  25% (4 samples sent)
(24914|24949) pub46  31% (5 samples sent)
(24914|24949) pub46  43% (7 samples sent)
(24914|24949) pub46  50% (8 samples sent)
(24914|24949) pub46  62% (10 samples sent)
(24914|24949) pub46  75% (12 samples sent)
(24914|24949) pub46  81% (13 samples sent)
(24914|24949) pub46  93% (15 samples sent)
(24914|24949) pub46  100% (16 samples sent)
(24914|24949) pub46->wait_match() before write for 01030000.565139e3.00000032.00000102(8271e2e2)
(24914|24949) pub46<-match found! before write for 01030000.565139e3.00000032.00000102(8271e2e2)
(24914|24949) pub46  waiting for acks
(24914|24949) pub46  waiting for acks returned
(24914|24949) pub46<-delete_contained_entities
(24914|24957) pub44  writer id: 01030000.565139e3.00000030.00000102(f8b1b182)
(24914|24957) pub44->started
(24914|24957) pub44  12% (2 samples sent)
(24914|24957) pub44  25% (4 samples sent)
(24914|24957) pub44  31% (5 samples sent)
(24914|24957) pub44  43% (7 samples sent)
(24914|24957) pub44  50% (8 samples sent)
(24914|24957) pub44  62% (10 samples sent)
(24914|24957) pub44  75% (12 samples sent)
(24914|24957) pub44  81% (13 samples sent)
(24914|24957) pub44  93% (15 samples sent)
(24914|24957) pub44  100% (16 samples sent)
(24914|24957) pub44->wait_match() before write for 01030000.565139e3.00000030.00000102(f8b1b182)
(24914|24957) pub44<-match found! before write for 01030000.565139e3.00000030.00000102(f8b1b182)
(24914|24957) pub44  waiting for acks
(24914|24957) pub44  waiting for acks returned
(24914|24957) pub44<-delete_contained_entities
(24914|24930) pub47  writer id: 01030000.565139e3.00000033.00000102(bf11cb52)
(24914|24930) pub47->started
(24914|24930) pub47  12% (2 samples sent)
(24914|24930) pub47  25% (4 samples sent)
(24914|24930) pub47  31% (5 samples sent)
(24914|24930) pub47  43% (7 samples sent)
(24914|24930) pub47  50% (8 samples sent)
(24914|24930) pub47  62% (10 samples sent)
(24914|24930) pub47  75% (12 samples sent)
(24914|24930) pub47  81% (13 samples sent)
(24914|24930) pub47  93% (15 samples sent)
(24914|24930) pub47  100% (16 samples sent)
(24914|24930) pub47->wait_match() before write for 01030000.565139e3.00000033.00000102(bf11cb52)
(24914|24930) pub47<-match found! before write for 01030000.565139e3.00000033.00000102(bf11cb52)
(24914|24930) pub47  waiting for acks
(24914|24930) pub47  waiting for acks returned
(24914|24930) pub47<-delete_contained_entities
(24914|24975) pub58  writer id: 01030000.565139e3.0000003d.00000102(00217533)
(24914|24975) pub58->started
(24914|24975) pub58  12% (2 samples sent)
(24914|24975) pub58  25% (4 samples sent)
(24914|24975) pub58  31% (5 samples sent)
(24914|24975) pub58  43% (7 samples sent)
(24914|24975) pub58  50% (8 samples sent)
(24914|24975) pub58  62% (10 samples sent)
(24914|24975) pub58  75% (12 samples sent)
(24914|24975) pub58  81% (13 samples sent)
(24914|24975) pub58  93% (15 samples sent)
(24914|24975) pub58  100% (16 samples sent)
(24914|24975) pub58->wait_match() before write for 01030000.565139e3.0000003d.00000102(00217533)
(24914|24975) pub58<-match found! before write for 01030000.565139e3.0000003d.00000102(00217533)
(24914|24975) pub58  waiting for acks
(24914|24975) pub58  waiting for acks returned
(24914|24975) pub58<-delete_contained_entities
(24914|24982) pub55  writer id: 01030000.565139e3.0000003a.00000102(b201a923)
(24914|24982) pub55->started
(24914|24982) pub55  12% (2 samples sent)
(24914|24982) pub55  25% (4 samples sent)
(24914|24982) pub55  31% (5 samples sent)
(24914|24982) pub55  43% (7 samples sent)
(24914|24982) pub55  50% (8 samples sent)
(24914|24982) pub55  62% (10 samples sent)
(24914|24982) pub55  75% (12 samples sent)
(24914|24982) pub55  81% (13 samples sent)
(24914|24982) pub55  93% (15 samples sent)
(24914|24982) pub55  100% (16 samples sent)
(24914|24982) pub55->wait_match() before write for 01030000.565139e3.0000003a.00000102(b201a923)
(24914|24982) pub55<-match found! before write for 01030000.565139e3.0000003a.00000102(b201a923)
(24914|24982) pub55  waiting for acks
(24914|24982) pub55  waiting for acks returned
(24914|24982) pub55<-delete_contained_entities
(24914|24980) pub56  writer id: 01030000.565139e3.0000003b.00000102(8f618093)
(24914|24980) pub56->started
(24914|24980) pub56  12% (2 samples sent)
(24914|24980) pub56  25% (4 samples sent)
(24914|24980) pub56  31% (5 samples sent)
(24914|24980) pub56  43% (7 samples sent)
(24914|24980) pub56  50% (8 samples sent)
(24914|24980) pub56  62% (10 samples sent)
(24914|24980) pub56  75% (12 samples sent)
(24914|24980) pub56  81% (13 samples sent)
(24914|24980) pub56  93% (15 samples sent)
(24914|24980) pub56  100% (16 samples sent)
(24914|24980) pub56->wait_match() before write for 01030000.565139e3.0000003b.00000102(8f618093)
(24914|24980) pub56<-match found! before write for 01030000.565139e3.0000003b.00000102(8f618093)
(24914|24980) pub56  waiting for acks
(24914|24980) pub56  waiting for acks returned
(24914|24980) pub56<-delete_contained_entities
(24914|24933) pub10  writer id: 01030000.565139e3.00000006.00000102(d6d0fca4)
(24914|24933) pub10->started
(24914|24933) pub10  12% (2 samples sent)
(24914|24933) pub10  25% (4 samples sent)
(24914|24933) pub10  31% (5 samples sent)
(24914|24933) pub10  43% (7 samples sent)
(24914|24933) pub10  50% (8 samples sent)
(24914|24933) pub10  62% (10 samples sent)
(24914|24933) pub10  75% (12 samples sent)
(24914|24933) pub10  81% (13 samples sent)
(24914|24933) pub10  93% (15 samples sent)
(24914|24933) pub10  100% (16 samples sent)
(24914|24933) pub10->wait_match() before write for 01030000.565139e3.00000006.00000102(d6d0fca4)
(24914|24933) pub10<-match found! before write for 01030000.565139e3.00000006.00000102(d6d0fca4)
(24914|24933) pub10  waiting for acks
(24914|24933) pub10  waiting for acks returned
(24914|24933) pub10<-delete_contained_entities
(24914|24951) pub14  writer id: 01030000.565139e3.00000008.00000102(69e042c5)
(24914|24951) pub14->started
(24914|24951) pub14  12% (2 samples sent)
(24914|24951) pub14  25% (4 samples sent)
(24914|24951) pub14  31% (5 samples sent)
(24914|24951) pub14  43% (7 samples sent)
(24914|24951) pub14  50% (8 samples sent)
(24914|24951) pub14  62% (10 samples sent)
(24914|24951) pub14  75% (12 samples sent)
(24914|24951) pub14  81% (13 samples sent)
(24914|24951) pub14  93% (15 samples sent)
(24914|24951) pub14  100% (16 samples sent)
(24914|24951) pub14->wait_match() before write for 01030000.565139e3.00000008.00000102(69e042c5)
(24914|24951) pub14<-match found! before write for 01030000.565139e3.00000008.00000102(69e042c5)
(24914|24951) pub14  waiting for acks
(24914|24951) pub14  waiting for acks returned
(24914|24951) pub14<-delete_contained_entities
(24914|24931) pub57  writer id: 01030000.565139e3.0000003c.00000102(3d415c83)
(24914|24931) pub57->started
(24914|24931) pub57  12% (2 samples sent)
(24914|24931) pub57  25% (4 samples sent)
(24914|24931) pub57  31% (5 samples sent)
(24914|24931) pub57  43% (7 samples sent)
(24914|24931) pub57  50% (8 samples sent)
(24914|24931) pub57  62% (10 samples sent)
(24914|24931) pub57  75% (12 samples sent)
(24914|24931) pub57  81% (13 samples sent)
(24914|24931) pub57  93% (15 samples sent)
(24914|24931) pub57  100% (16 samples sent)
(24914|24931) pub57->wait_match() before write for 01030000.565139e3.0000003c.00000102(3d415c83)
(24914|24931) pub57<-match found! before write for 01030000.565139e3.0000003c.00000102(3d415c83)
(24914|24931) pub57  waiting for acks
(24914|24931) pub57  waiting for acks returned
(24914|24931) pub57<-delete_contained_entities
(24914|24944) pub19  writer id: 01030000.565139e3.00000009.00000102(54806b75)
(24914|24944) pub19->started
(24914|24944) pub19  12% (2 samples sent)
(24914|24944) pub19  25% (4 samples sent)
(24914|24944) pub19  31% (5 samples sent)
(24914|24944) pub19  43% (7 samples sent)
(24914|24944) pub19  50% (8 samples sent)
(24914|24944) pub19  62% (10 samples sent)
(24914|24944) pub19  75% (12 samples sent)
(24914|24944) pub19  81% (13 samples sent)
(24914|24944) pub19  93% (15 samples sent)
(24914|24944) pub19  100% (16 samples sent)
(24914|24944) pub19->wait_match() before write for 01030000.565139e3.00000009.00000102(54806b75)
(24914|24944) pub19<-match found! before write for 01030000.565139e3.00000009.00000102(54806b75)
(24914|24944) pub19  waiting for acks
(24914|24944) pub19  waiting for acks returned
(24914|24944) pub19<-delete_contained_entities
(24914|24946) pub6  writer id: 01030000.565139e3.0000000d.00000102(a100cdb5)
(24914|24946) pub6->started
(24914|24946) pub6  12% (2 samples sent)
(24914|24946) pub6  25% (4 samples sent)
(24914|24946) pub6  31% (5 samples sent)
(24914|24946) pub6  43% (7 samples sent)
(24914|24946) pub6  50% (8 samples sent)
(24914|24946) pub6  62% (10 samples sent)
(24914|24946) pub6  75% (12 samples sent)
(24914|24946) pub6  81% (13 samples sent)
(24914|24946) pub6  93% (15 samples sent)
(24914|24946) pub6  100% (16 samples sent)
(24914|24946) pub6->wait_match() before write for 01030000.565139e3.0000000d.00000102(a100cdb5)
(24914|24946) pub6<-match found! before write for 01030000.565139e3.0000000d.00000102(a100cdb5)
(24914|24946) pub6  waiting for acks
(24914|24946) pub6  waiting for acks returned
(24914|24946) pub6<-delete_contained_entities
(24914|24956) pub13  writer id: 01030000.565139e3.00000011.00000102(0410b736)
(24914|24956) pub13->started
(24914|24956) pub13  12% (2 samples sent)
(24914|24956) pub13  25% (4 samples sent)
(24914|24956) pub13  31% (5 samples sent)
(24914|24956) pub13  43% (7 samples sent)
(24914|24956) pub13  50% (8 samples sent)
(24914|24956) pub13  62% (10 samples sent)
(24914|24956) pub13  75% (12 samples sent)
(24914|24956) pub13  81% (13 samples sent)
(24914|24956) pub13  93% (15 samples sent)
(24914|24956) pub13  100% (16 samples sent)
(24914|24956) pub13->wait_match() before write for 01030000.565139e3.00000011.00000102(0410b736)
(24914|24956) pub13<-match found! before write for 01030000.565139e3.00000011.00000102(0410b736)
(24914|24956) pub13  waiting for acks
(24914|24956) pub13  waiting for acks returned
(24914|24956) pub13<-delete_contained_entities
(24914|24932) pub18  writer id: 01030000.565139e3.00000016.00000102(b6306b26)
(24914|24932) pub18->started
(24914|24932) pub18  12% (2 samples sent)
(24914|24932) pub18  25% (4 samples sent)
(24914|24932) pub18  31% (5 samples sent)
(24914|24932) pub18  43% (7 samples sent)
(24914|24932) pub18  50% (8 samples sent)
(24914|24932) pub18  62% (10 samples sent)
(24914|24932) pub18  75% (12 samples sent)
(24914|24932) pub18  81% (13 samples sent)
(24914|24932) pub18  93% (15 samples sent)
(24914|24932) pub18  100% (16 samples sent)
(24914|24932) pub18->wait_match() before write for 01030000.565139e3.00000016.00000102(b6306b26)
(24914|24932) pub18<-match found! before write for 01030000.565139e3.00000016.00000102(b6306b26)
(24914|24932) pub18  waiting for acks
(24914|24932) pub18  waiting for acks returned
(24914|24932) pub18<-delete_contained_entities
(24914|24952) pub3  writer id: 01030000.565139e3.0000000c.00000102(9c60e405)
(24914|24952) pub3->started
(24914|24952) pub3  12% (2 samples sent)
(24914|24952) pub3  25% (4 samples sent)
(24914|24952) pub3  31% (5 samples sent)
(24914|24952) pub3  43% (7 samples sent)
(24914|24952) pub3  50% (8 samples sent)
(24914|24952) pub3  62% (10 samples sent)
(24914|24952) pub3  75% (12 samples sent)
(24914|24952) pub3  81% (13 samples sent)
(24914|24952) pub3  93% (15 samples sent)
(24914|24952) pub3  100% (16 samples sent)
(24914|24952) pub3->wait_match() before write for 01030000.565139e3.0000000c.00000102(9c60e405)
(24914|24952) pub3<-match found! before write for 01030000.565139e3.0000000c.00000102(9c60e405)
(24914|24952) pub3  waiting for acks
(24914|24952) pub3  waiting for acks returned
(24914|24952) pub3<-delete_contained_entities
(24914|24965) pub7  writer id: 01030000.565139e3.0000000e.00000102(e6a0b765)
(24914|24965) pub7->started
(24914|24965) pub7  12% (2 samples sent)
(24914|24965) pub7  25% (4 samples sent)
(24914|24965) pub7  31% (5 samples sent)
(24914|24965) pub7  43% (7 samples sent)
(24914|24965) pub7  50% (8 samples sent)
(24914|24965) pub7  62% (10 samples sent)
(24914|24965) pub7  75% (12 samples sent)
(24914|24965) pub7  81% (13 samples sent)
(24914|24965) pub7  93% (15 samples sent)
(24914|24965) pub7  100% (16 samples sent)
(24914|24965) pub7->wait_match() before write for 01030000.565139e3.0000000e.00000102(e6a0b765)
(24914|24965) pub7<-match found! before write for 01030000.565139e3.0000000e.00000102(e6a0b765)
(24914|24965) pub7  waiting for acks
(24914|24965) pub7  waiting for acks returned
(24914|24965) pub7<-delete_contained_entities
(24914|24976) pub54  writer id: 01030000.565139e3.0000000a.00000102(132011a5)
(24914|24976) pub54->started
(24914|24976) pub54  12% (2 samples sent)
(24914|24976) pub54  25% (4 samples sent)
(24914|24976) pub54  31% (5 samples sent)
(24914|24976) pub54  43% (7 samples sent)
(24914|24976) pub54  50% (8 samples sent)
(24914|24976) pub54  62% (10 samples sent)
(24914|24976) pub54  75% (12 samples sent)
(24914|24976) pub54  81% (13 samples sent)
(24914|24976) pub54  93% (15 samples sent)
(24914|24976) pub54  100% (16 samples sent)
(24914|24976) pub54->wait_match() before write for 01030000.565139e3.0000000a.00000102(132011a5)
(24914|24976) pub54<-match found! before write for 01030000.565139e3.0000000a.00000102(132011a5)
(24914|24976) pub54  waiting for acks
(24914|24976) pub54  waiting for acks returned
(24914|24976) pub54<-delete_contained_entities
(24914|24923) pub15  writer id: 01030000.565139e3.00000012.00000102(43b0cde6)
(24914|24923) pub15->started
(24914|24923) pub15  12% (2 samples sent)
(24914|24923) pub15  25% (4 samples sent)
(24914|24923) pub15  31% (5 samples sent)
(24914|24923) pub15  43% (7 samples sent)
(24914|24923) pub15  50% (8 samples sent)
(24914|24923) pub15  62% (10 samples sent)
(24914|24923) pub15  75% (12 samples sent)
(24914|24923) pub15  81% (13 samples sent)
(24914|24923) pub15  93% (15 samples sent)
(24914|24923) pub15  100% (16 samples sent)
(24914|24923) pub15->wait_match() before write for 01030000.565139e3.00000012.00000102(43b0cde6)
(24914|24923) pub15<-match found! before write for 01030000.565139e3.00000012.00000102(43b0cde6)
(24914|24923) pub15  waiting for acks
(24914|24923) pub15  waiting for acks returned
(24914|24923) pub15<-delete_contained_entities
(24914|24926) pub16  writer id: 01030000.565139e3.00000013.00000102(7ed0e456)
(24914|24926) pub16->started
(24914|24926) pub16  12% (2 samples sent)
(24914|24926) pub16  25% (4 samples sent)
(24914|24926) pub16  31% (5 samples sent)
(24914|24926) pub16  43% (7 samples sent)
(24914|24926) pub16  50% (8 samples sent)
(24914|24926) pub16  62% (10 samples sent)
(24914|24926) pub16  75% (12 samples sent)
(24914|24926) pub16  81% (13 samples sent)
(24914|24926) pub16  93% (15 samples sent)
(24914|24926) pub16  100% (16 samples sent)
(24914|24926) pub16->wait_match() before write for 01030000.565139e3.00000013.00000102(7ed0e456)
(24914|24926) pub16<-match found! before write for 01030000.565139e3.00000013.00000102(7ed0e456)
(24914|24926) pub16  waiting for acks
(24914|24926) pub16  waiting for acks returned
(24914|24926) pub16<-delete_contained_entities
(24914|24959) pub20  writer id: 01030000.565139e3.00000017.00000102(8b504296)
(24914|24959) pub20->started
(24914|24959) pub20  12% (2 samples sent)
(24914|24959) pub20  25% (4 samples sent)
(24914|24959) pub20  31% (5 samples sent)
(24914|24959) pub20  43% (7 samples sent)
(24914|24959) pub20  50% (8 samples sent)
(24914|24959) pub20  62% (10 samples sent)
(24914|24959) pub20  75% (12 samples sent)
(24914|24959) pub20  81% (13 samples sent)
(24914|24959) pub20  93% (15 samples sent)
(24914|24959) pub20  100% (16 samples sent)
(24914|24959) pub20->wait_match() before write for 01030000.565139e3.00000017.00000102(8b504296)
(24914|24959) pub20<-match found! before write for 01030000.565139e3.00000017.00000102(8b504296)
(24914|24959) pub20  waiting for acks
(24914|24959) pub20  waiting for acks returned
(24914|24959) pub20<-delete_contained_entities
(24914|24940) pub2  writer id: 01030000.565139e3.0000000b.00000102(2e403815)
(24914|24940) pub2->started
(24914|24940) pub2  12% (2 samples sent)
(24914|24940) pub2  25% (4 samples sent)
(24914|24940) pub2  31% (5 samples sent)
(24914|24940) pub2  43% (7 samples sent)
(24914|24940) pub2  50% (8 samples sent)
(24914|24940) pub2  62% (10 samples sent)
(24914|24940) pub2  75% (12 samples sent)
(24914|24940) pub2  81% (13 samples sent)
(24914|24940) pub2  93% (15 samples sent)
(24914|24940) pub2  100% (16 samples sent)
(24914|24940) pub2->wait_match() before write for 01030000.565139e3.0000000b.00000102(2e403815)
(24914|24940) pub2<-match found! before write for 01030000.565139e3.0000000b.00000102(2e403815)
(24914|24940) pub2  waiting for acks
(24914|24940) pub2  waiting for acks returned
(24914|24940) pub2<-delete_contained_entities
(24914|24986) pub12  writer id: 01030000.565139e3.00000014.00000102(ccf03846)
(24914|24986) pub12->started
(24914|24986) pub12  12% (2 samples sent)
(24914|24986) pub12  25% (4 samples sent)
(24914|24986) pub12  31% (5 samples sent)
(24914|24986) pub12  43% (7 samples sent)
(24914|24986) pub12  50% (8 samples sent)
(24914|24986) pub12  62% (10 samples sent)
(24914|24986) pub12  75% (12 samples sent)
(24914|24986) pub12  81% (13 samples sent)
(24914|24986) pub12  93% (15 samples sent)
(24914|24986) pub12  100% (16 samples sent)
(24914|24986) pub12->wait_match() before write for 01030000.565139e3.00000014.00000102(ccf03846)
(24914|24986) pub12<-match found! before write for 01030000.565139e3.00000014.00000102(ccf03846)
(24914|24986) pub12  waiting for acks
(24914|24986) pub12  waiting for acks returned
(24914|24986) pub12<-delete_contained_entities
(24914|24925) pub21  writer id: 01030000.565139e3.00000018.00000102(0900d547)
(24914|24925) pub21->started
(24914|24925) pub21  12% (2 samples sent)
(24914|24925) pub21  25% (4 samples sent)
(24914|24925) pub21  31% (5 samples sent)
(24914|24925) pub21  43% (7 samples sent)
(24914|24925) pub21  50% (8 samples sent)
(24914|24925) pub21  62% (10 samples sent)
(24914|24925) pub21  75% (12 samples sent)
(24914|24925) pub21  81% (13 samples sent)
(24914|24925) pub21  93% (15 samples sent)
(24914|24925) pub21  100% (16 samples sent)
(24914|24925) pub21->wait_match() before write for 01030000.565139e3.00000018.00000102(0900d547)
(24914|24925) pub21<-match found! before write for 01030000.565139e3.00000018.00000102(0900d547)
(24914|24925) pub21  waiting for acks
(24914|24925) pub21  waiting for acks returned
(24914|24925) pub21<-delete_contained_entities
(24914|24969) pub11  writer id: 01030000.565139e3.00000019.00000102(3460fcf7)
(24914|24969) pub11->started
(24914|24969) pub11  12% (2 samples sent)
(24914|24969) pub11  25% (4 samples sent)
(24914|24969) pub11  31% (5 samples sent)
(24914|24969) pub11  43% (7 samples sent)
(24914|24969) pub11  50% (8 samples sent)
(24914|24969) pub11  62% (10 samples sent)
(24914|24969) pub11  75% (12 samples sent)
(24914|24969) pub11  81% (13 samples sent)
(24914|24969) pub11  93% (15 samples sent)
(24914|24969) pub11  100% (16 samples sent)
(24914|24969) pub11->wait_match() before write for 01030000.565139e3.00000019.00000102(3460fcf7)
(24914|24969) pub11<-match found! before write for 01030000.565139e3.00000019.00000102(3460fcf7)
(24914|24969) pub11  waiting for acks
(24914|24969) pub11  waiting for acks returned
(24914|24969) pub11<-delete_contained_entities
(24914|24947) pub22  writer id: 01030000.565139e3.0000001a.00000102(73c08627)
(24914|24947) pub22->started
(24914|24947) pub22  12% (2 samples sent)
(24914|24947) pub22  25% (4 samples sent)
(24914|24947) pub22  31% (5 samples sent)
(24914|24947) pub22  43% (7 samples sent)
(24914|24947) pub22  50% (8 samples sent)
(24914|24947) pub22  62% (10 samples sent)
(24914|24947) pub22  75% (12 samples sent)
(24914|24947) pub22  81% (13 samples sent)
(24914|24947) pub22  93% (15 samples sent)
(24914|24947) pub22  100% (16 samples sent)
(24914|24947) pub22->wait_match() before write for 01030000.565139e3.0000001a.00000102(73c08627)
(24914|24947) pub22<-match found! before write for 01030000.565139e3.0000001a.00000102(73c08627)
(24914|24947) pub22  waiting for acks
(24914|24947) pub22  waiting for acks returned
(24914|24947) pub22<-delete_contained_entities
(24914|24953) pub25  writer id: 01030000.565139e3.0000001d.00000102(c1e05a37)
(24914|24953) pub25->started
(24914|24953) pub25  12% (2 samples sent)
(24914|24953) pub25  25% (4 samples sent)
(24914|24953) pub25  31% (5 samples sent)
(24914|24953) pub25  43% (7 samples sent)
(24914|24953) pub25  50% (8 samples sent)
(24914|24953) pub25  62% (10 samples sent)
(24914|24953) pub25  75% (12 samples sent)
(24914|24953) pub25  81% (13 samples sent)
(24914|24953) pub25  93% (15 samples sent)
(24914|24953) pub25  100% (16 samples sent)
(24914|24953) pub25->wait_match() before write for 01030000.565139e3.0000001d.00000102(c1e05a37)
(24914|24953) pub25<-match found! before write for 01030000.565139e3.0000001d.00000102(c1e05a37)
(24914|24953) pub25  waiting for acks
(24914|24953) pub25  waiting for acks returned
(24914|24953) pub25<-delete_contained_entities
(24914|24937) pub24  writer id: 01030000.565139e3.0000001c.00000102(fc807387)
(24914|24937) pub24->started
(24914|24937) pub24  12% (2 samples sent)
(24914|24937) pub24  25% (4 samples sent)
(24914|24937) pub24  31% (5 samples sent)
(24914|24937) pub24  43% (7 samples sent)
(24914|24937) pub24  50% (8 samples sent)
(24914|24937) pub24  62% (10 samples sent)
(24914|24937) pub24  75% (12 samples sent)
(24914|24937) pub24  81% (13 samples sent)
(24914|24937) pub24  93% (15 samples sent)
(24914|24937) pub24  100% (16 samples sent)
(24914|24937) pub24->wait_match() before write for 01030000.565139e3.0000001c.00000102(fc807387)
(24914|24937) pub24<-match found! before write for 01030000.565139e3.0000001c.00000102(fc807387)
(24914|24937) pub24  waiting for acks
(24914|24937) pub24  waiting for acks returned
(24914|24937) pub24<-delete_contained_entities
(24914|24954) pub31  writer id: 01030000.565139e3.00000023.00000102(dff15cd0)
(24914|24954) pub31->started
(24914|24954) pub31  12% (2 samples sent)
(24914|24954) pub31  25% (4 samples sent)
(24914|24954) pub31  31% (5 samples sent)
(24914|24954) pub31  43% (7 samples sent)
(24914|24954) pub31  50% (8 samples sent)
(24914|24954) pub31  62% (10 samples sent)
(24914|24954) pub31  75% (12 samples sent)
(24914|24954) pub31  81% (13 samples sent)
(24914|24954) pub31  93% (15 samples sent)
(24914|24954) pub31  100% (16 samples sent)
(24914|24954) pub31->wait_match() before write for 01030000.565139e3.00000023.00000102(dff15cd0)
(24914|24954) pub31<-match found! before write for 01030000.565139e3.00000023.00000102(dff15cd0)
(24914|24954) pub31  waiting for acks
(24914|24954) pub31  waiting for acks returned
(24914|24954) pub31<-delete_contained_entities
(24914|24945) pub28  writer id: 01030000.565139e3.00000020.00000102(98512600)
(24914|24945) pub28->started
(24914|24945) pub28  12% (2 samples sent)
(24914|24945) pub28  25% (4 samples sent)
(24914|24945) pub28  31% (5 samples sent)
(24914|24945) pub28  43% (7 samples sent)
(24914|24945) pub28  50% (8 samples sent)
(24914|24945) pub28  62% (10 samples sent)
(24914|24945) pub28  75% (12 samples sent)
(24914|24945) pub28  81% (13 samples sent)
(24914|24945) pub28  93% (15 samples sent)
(24914|24945) pub28  100% (16 samples sent)
(24914|24945) pub28->wait_match() before write for 01030000.565139e3.00000020.00000102(98512600)
(24914|24945) pub28<-match found! before write for 01030000.565139e3.00000020.00000102(98512600)
(24914|24945) pub28  waiting for acks
(24914|24945) pub28  waiting for acks returned
(24914|24945) pub28<-delete_contained_entities
(24914|24943) pub23  writer id: 01030000.565139e3.0000001b.00000102(4ea0af97)
(24914|24943) pub23->started
(24914|24943) pub23  12% (2 samples sent)
(24914|24943) pub23  25% (4 samples sent)
(24914|24943) pub23  31% (5 samples sent)
(24914|24943) pub23  43% (7 samples sent)
(24914|24943) pub23  50% (8 samples sent)
(24914|24943) pub23  62% (10 samples sent)
(24914|24943) pub23  75% (12 samples sent)
(24914|24943) pub23  81% (13 samples sent)
(24914|24943) pub23  93% (15 samples sent)
(24914|24943) pub23  100% (16 samples sent)
(24914|24943) pub23->wait_match() before write for 01030000.565139e3.0000001b.00000102(4ea0af97)
(24914|24943) pub23<-match found! before write for 01030000.565139e3.0000001b.00000102(4ea0af97)
(24914|24943) pub23  waiting for acks
(24914|24943) pub23  waiting for acks returned
(24914|24943) pub23<-delete_contained_entities
(24914|24950) pub29  writer id: 01030000.565139e3.00000021.00000102(a5310fb0)
(24914|24950) pub29->started
(24914|24950) pub29  12% (2 samples sent)
(24914|24950) pub29  25% (4 samples sent)
(24914|24950) pub29  31% (5 samples sent)
(24914|24950) pub29  43% (7 samples sent)
(24914|24950) pub29  50% (8 samples sent)
(24914|24950) pub29  62% (10 samples sent)
(24914|24950) pub29  75% (12 samples sent)
(24914|24950) pub29  81% (13 samples sent)
(24914|24950) pub29  93% (15 samples sent)
(24914|24950) pub29  100% (16 samples sent)
(24914|24950) pub29->wait_match() before write for 01030000.565139e3.00000021.00000102(a5310fb0)
(24914|24950) pub29<-match found! before write for 01030000.565139e3.00000021.00000102(a5310fb0)
(24914|24950) pub29  waiting for acks
(24914|24950) pub29  waiting for acks returned
(24914|24950) pub29<-delete_contained_entities
(24914|24958) pub27  writer id: 01030000.565139e3.0000001f.00000102(bb200957)
(24914|24958) pub27->started
(24914|24958) pub27  12% (2 samples sent)
(24914|24958) pub27  25% (4 samples sent)
(24914|24958) pub27  31% (5 samples sent)
(24914|24958) pub27  43% (7 samples sent)
(24914|24958) pub27  50% (8 samples sent)
(24914|24958) pub27  62% (10 samples sent)
(24914|24958) pub27  75% (12 samples sent)
(24914|24958) pub27  81% (13 samples sent)
(24914|24958) pub27  93% (15 samples sent)
(24914|24958) pub27  100% (16 samples sent)
(24914|24958) pub27->wait_match() before write for 01030000.565139e3.0000001f.00000102(bb200957)
(24914|24958) pub27<-match found! before write for 01030000.565139e3.0000001f.00000102(bb200957)
(24914|24958) pub27  waiting for acks
(24914|24958) pub27  waiting for acks returned
(24914|24958) pub27<-delete_contained_entities
(24914|24941) pub26  writer id: 01030000.565139e3.0000001e.00000102(864020e7)
(24914|24941) pub26->started
(24914|24941) pub26  12% (2 samples sent)
(24914|24941) pub26  25% (4 samples sent)
(24914|24941) pub26  31% (5 samples sent)
(24914|24941) pub26  43% (7 samples sent)
(24914|24941) pub26  50% (8 samples sent)
(24914|24941) pub26  62% (10 samples sent)
(24914|24941) pub26  75% (12 samples sent)
(24914|24941) pub26  81% (13 samples sent)
(24914|24941) pub26  93% (15 samples sent)
(24914|24941) pub26  100% (16 samples sent)
(24914|24941) pub26->wait_match() before write for 01030000.565139e3.0000001e.00000102(864020e7)
(24914|24941) pub26<-match found! before write for 01030000.565139e3.0000001e.00000102(864020e7)
(24914|24941) pub26  waiting for acks
(24914|24941) pub26  waiting for acks returned
(24914|24941) pub26<-delete_contained_entities
(24914|24960) pub30  writer id: 01030000.565139e3.00000022.00000102(e2917560)
(24914|24960) pub30->started
(24914|24960) pub30  12% (2 samples sent)
(24914|24960) pub30  25% (4 samples sent)
(24914|24960) pub30  31% (5 samples sent)
(24914|24960) pub30  43% (7 samples sent)
(24914|24960) pub30  50% (8 samples sent)
(24914|24960) pub30  62% (10 samples sent)
(24914|24960) pub30  75% (12 samples sent)
(24914|24960) pub30  81% (13 samples sent)
(24914|24960) pub30  93% (15 samples sent)
(24914|24960) pub30  100% (16 samples sent)
(24914|24960) pub30->wait_match() before write for 01030000.565139e3.00000022.00000102(e2917560)
(24914|24960) pub30<-match found! before write for 01030000.565139e3.00000022.00000102(e2917560)
(24914|24960) pub30  waiting for acks
(24914|24960) pub30  waiting for acks returned
(24914|24960) pub30<-delete_contained_entities
(24914|24935) pub33  writer id: 01030000.565139e3.00000025.00000102(50b1a970)
(24914|24935) pub33->started
(24914|24935) pub33  12% (2 samples sent)
(24914|24935) pub33  25% (4 samples sent)
(24914|24935) pub33  31% (5 samples sent)
(24914|24935) pub33  43% (7 samples sent)
(24914|24935) pub33  50% (8 samples sent)
(24914|24935) pub33  62% (10 samples sent)
(24914|24935) pub33  75% (12 samples sent)
(24914|24935) pub33  81% (13 samples sent)
(24914|24935) pub33  93% (15 samples sent)
(24914|24935) pub33  100% (16 samples sent)
(24914|24935) pub33->wait_match() before write for 01030000.565139e3.00000025.00000102(50b1a970)
(24914|24935) pub33<-match found! before write for 01030000.565139e3.00000025.00000102(50b1a970)
(24914|24935) pub33  waiting for acks
(24914|24935) pub33  waiting for acks returned
(24914|24935) pub33<-delete_contained_entities
(24914|24939) pub34  writer id: 01030000.565139e3.00000026.00000102(1711d3a0)
(24914|24939) pub34->started
(24914|24939) pub34  12% (2 samples sent)
(24914|24939) pub34  25% (4 samples sent)
(24914|24939) pub34  31% (5 samples sent)
(24914|24939) pub34  43% (7 samples sent)
(24914|24939) pub34  50% (8 samples sent)
(24914|24939) pub34  62% (10 samples sent)
(24914|24939) pub34  75% (12 samples sent)
(24914|24939) pub34  81% (13 samples sent)
(24914|24939) pub34  93% (15 samples sent)
(24914|24939) pub34  100% (16 samples sent)
(24914|24939) pub34->wait_match() before write for 01030000.565139e3.00000026.00000102(1711d3a0)
(24914|24939) pub34<-match found! before write for 01030000.565139e3.00000026.00000102(1711d3a0)
(24914|24939) pub34  waiting for acks
(24914|24939) pub34  waiting for acks returned
(24914|24939) pub34<-delete_contained_entities
(24914|24955) pub35  writer id: 01030000.565139e3.00000027.00000102(2a71fa10)
(24914|24955) pub35->started
(24914|24955) pub35  12% (2 samples sent)
(24914|24955) pub35  25% (4 samples sent)
(24914|24955) pub35  31% (5 samples sent)
(24914|24955) pub35  43% (7 samples sent)
(24914|24955) pub35  50% (8 samples sent)
(24914|24955) pub35  62% (10 samples sent)
(24914|24955) pub35  75% (12 samples sent)
(24914|24955) pub35  81% (13 samples sent)
(24914|24955) pub35  93% (15 samples sent)
(24914|24955) pub35  100% (16 samples sent)
(24914|24955) pub35->wait_match() before write for 01030000.565139e3.00000027.00000102(2a71fa10)
(24914|24955) pub35<-match found! before write for 01030000.565139e3.00000027.00000102(2a71fa10)
(24914|24955) pub35  waiting for acks
(24914|24955) pub35  waiting for acks returned
(24914|24955) pub35<-delete_contained_entities
(24914|24962) pub36  writer id: 01030000.565139e3.00000028.00000102(a8216dc1)
(24914|24962) pub36->started
(24914|24962) pub36  12% (2 samples sent)
(24914|24962) pub36  25% (4 samples sent)
(24914|24962) pub36  31% (5 samples sent)
(24914|24962) pub36  43% (7 samples sent)
(24914|24962) pub36  50% (8 samples sent)
(24914|24962) pub36  62% (10 samples sent)
(24914|24962) pub36  75% (12 samples sent)
(24914|24962) pub36  81% (13 samples sent)
(24914|24962) pub36  93% (15 samples sent)
(24914|24962) pub36  100% (16 samples sent)
(24914|24962) pub36->wait_match() before write for 01030000.565139e3.00000028.00000102(a8216dc1)
(24914|24962) pub36<-match found! before write for 01030000.565139e3.00000028.00000102(a8216dc1)
(24914|24962) pub36  waiting for acks
(24914|24962) pub36  waiting for acks returned
(24914|24962) pub36<-delete_contained_entities
(24914|24964) pub37  writer id: 01030000.565139e3.00000029.00000102(95414471)
(24914|24964) pub37->started
(24914|24964) pub37  12% (2 samples sent)
(24914|24964) pub37  25% (4 samples sent)
(24914|24964) pub37  31% (5 samples sent)
(24914|24964) pub37  43% (7 samples sent)
(24914|24964) pub37  50% (8 samples sent)
(24914|24964) pub37  62% (10 samples sent)
(24914|24964) pub37  75% (12 samples sent)
(24914|24964) pub37  81% (13 samples sent)
(24914|24964) pub37  93% (15 samples sent)
(24914|24964) pub37  100% (16 samples sent)
(24914|24964) pub37->wait_match() before write for 01030000.565139e3.00000029.00000102(95414471)
(24914|24964) pub37<-match found! before write for 01030000.565139e3.00000029.00000102(95414471)
(24914|24964) pub37  waiting for acks
(24914|24964) pub37  waiting for acks returned
(24914|24964) pub37<-delete_contained_entities
(24914|24968) pub39  writer id: 01030000.565139e3.0000002b.00000102(ef811711)
(24914|24968) pub39->started
(24914|24968) pub39  12% (2 samples sent)
(24914|24968) pub39  25% (4 samples sent)
(24914|24968) pub39  31% (5 samples sent)
(24914|24968) pub39  43% (7 samples sent)
(24914|24968) pub39  50% (8 samples sent)
(24914|24968) pub39  62% (10 samples sent)
(24914|24968) pub39  75% (12 samples sent)
(24914|24968) pub39  81% (13 samples sent)
(24914|24968) pub39  93% (15 samples sent)
(24914|24968) pub39  100% (16 samples sent)
(24914|24968) pub39->wait_match() before write for 01030000.565139e3.0000002b.00000102(ef811711)
(24914|24968) pub39<-match found! before write for 01030000.565139e3.0000002b.00000102(ef811711)
(24914|24968) pub39  waiting for acks
(24914|24968) pub39  waiting for acks returned
(24914|24968) pub39<-delete_contained_entities
(24914|24970) pub40  writer id: 01030000.565139e3.0000002c.00000102(5da1cb01)
(24914|24970) pub40->started
(24914|24970) pub40  12% (2 samples sent)
(24914|24970) pub40  25% (4 samples sent)
(24914|24970) pub40  31% (5 samples sent)
(24914|24970) pub40  43% (7 samples sent)
(24914|24970) pub40  50% (8 samples sent)
(24914|24970) pub40  62% (10 samples sent)
(24914|24970) pub40  75% (12 samples sent)
(24914|24970) pub40  81% (13 samples sent)
(24914|24970) pub40  93% (15 samples sent)
(24914|24970) pub40  100% (16 samples sent)
(24914|24970) pub40->wait_match() before write for 01030000.565139e3.0000002c.00000102(5da1cb01)
(24914|24970) pub40<-match found! before write for 01030000.565139e3.0000002c.00000102(5da1cb01)
(24914|24970) pub40  waiting for acks
(24914|24970) pub40  waiting for acks returned
(24914|24970) pub40<-delete_contained_entities
(24914|24973) pub42  writer id: 01030000.565139e3.0000002e.00000102(27619861)
(24914|24973) pub42->started
(24914|24973) pub42  12% (2 samples sent)
(24914|24973) pub42  25% (4 samples sent)
(24914|24973) pub42  31% (5 samples sent)
(24914|24973) pub42  43% (7 samples sent)
(24914|24973) pub42  50% (8 samples sent)
(24914|24973) pub42  62% (10 samples sent)
(24914|24973) pub42  75% (12 samples sent)
(24914|24973) pub42  81% (13 samples sent)
(24914|24973) pub42  93% (15 samples sent)
(24914|24973) pub42  100% (16 samples sent)
(24914|24973) pub42->wait_match() before write for 01030000.565139e3.0000002e.00000102(27619861)
(24914|24973) pub42<-match found! before write for 01030000.565139e3.0000002e.00000102(27619861)
(24914|24973) pub42  waiting for acks
(24914|24973) pub42  waiting for acks returned
(24914|24973) pub42<-delete_contained_entities
(24914|24977) pub45  writer id: 01030000.565139e3.00000031.00000102(c5d19832)
(24914|24977) pub45->started
(24914|24977) pub45  12% (2 samples sent)
(24914|24977) pub45  25% (4 samples sent)
(24914|24977) pub45  31% (5 samples sent)
(24914|24977) pub45  43% (7 samples sent)
(24914|24977) pub45  50% (8 samples sent)
(24914|24977) pub45  62% (10 samples sent)
(24914|24977) pub45  75% (12 samples sent)
(24914|24977) pub45  81% (13 samples sent)
(24914|24977) pub45  93% (15 samples sent)
(24914|24977) pub45  100% (16 samples sent)
(24914|24977) pub45->wait_match() before write for 01030000.565139e3.00000031.00000102(c5d19832)
(24914|24977) pub45<-match found! before write for 01030000.565139e3.00000031.00000102(c5d19832)
(24914|24977) pub45  waiting for acks
(24914|24977) pub45  waiting for acks returned
(24914|24977) pub45<-delete_contained_entities
(24914|24961) pub50  writer id: 01030000.565139e3.00000036.00000102(77f14422)
(24914|24961) pub50->started
(24914|24961) pub50  12% (2 samples sent)
(24914|24961) pub50  25% (4 samples sent)
(24914|24961) pub50  31% (5 samples sent)
(24914|24961) pub50  43% (7 samples sent)
(24914|24961) pub50  50% (8 samples sent)
(24914|24961) pub50  62% (10 samples sent)
(24914|24961) pub50  75% (12 samples sent)
(24914|24961) pub50  81% (13 samples sent)
(24914|24961) pub50  93% (15 samples sent)
(24914|24961) pub50  100% (16 samples sent)
(24914|24961) pub50->wait_match() before write for 01030000.565139e3.00000036.00000102(77f14422)
(24914|24961) pub50<-match found! before write for 01030000.565139e3.00000036.00000102(77f14422)
(24914|24961) pub50  waiting for acks
(24914|24961) pub50  waiting for acks returned
(24914|24961) pub50<-delete_contained_entities
(24914|24929) pub49  writer id: 01030000.565139e3.00000035.00000102(30513ef2)
(24914|24929) pub49->started
(24914|24929) pub49  12% (2 samples sent)
(24914|24929) pub49  25% (4 samples sent)
(24914|24929) pub49  31% (5 samples sent)
(24914|24929) pub49  43% (7 samples sent)
(24914|24929) pub49  50% (8 samples sent)
(24914|24929) pub49  62% (10 samples sent)
(24914|24929) pub49  75% (12 samples sent)
(24914|24929) pub49  81% (13 samples sent)
(24914|24929) pub49  93% (15 samples sent)
(24914|24929) pub49  100% (16 samples sent)
(24914|24929) pub49->wait_match() before write for 01030000.565139e3.00000035.00000102(30513ef2)
(24914|24929) pub49<-match found! before write for 01030000.565139e3.00000035.00000102(30513ef2)
(24914|24929) pub49  waiting for acks
(24914|24929) pub49  waiting for acks returned
(24914|24929) pub49<-delete_contained_entities
(24914|24979) pub52  writer id: 01030000.565139e3.00000038.00000102(c8c1fa43)
(24914|24979) pub52->started
(24914|24979) pub52  12% (2 samples sent)
(24914|24979) pub52  25% (4 samples sent)
(24914|24979) pub52  31% (5 samples sent)
(24914|24979) pub52  43% (7 samples sent)
(24914|24979) pub52  50% (8 samples sent)
(24914|24979) pub52  62% (10 samples sent)
(24914|24979) pub52  75% (12 samples sent)
(24914|24979) pub52  81% (13 samples sent)
(24914|24979) pub52  93% (15 samples sent)
(24914|24979) pub52  100% (16 samples sent)
(24914|24979) pub52->wait_match() before write for 01030000.565139e3.00000038.00000102(c8c1fa43)
(24914|24979) pub52<-match found! before write for 01030000.565139e3.00000038.00000102(c8c1fa43)
(24914|24979) pub52  waiting for acks
(24914|24979) pub52  waiting for acks returned
(24914|24979) pub52<-delete_contained_entities
(24914|24981) pub51  writer id: 01030000.565139e3.00000037.00000102(4a916d92)
(24914|24981) pub51->started
(24914|24981) pub51  12% (2 samples sent)
(24914|24981) pub51  25% (4 samples sent)
(24914|24981) pub51  31% (5 samples sent)
(24914|24981) pub51  43% (7 samples sent)
(24914|24981) pub51  50% (8 samples sent)
(24914|24981) pub51  62% (10 samples sent)
(24914|24981) pub51  75% (12 samples sent)
(24914|24981) pub51  81% (13 samples sent)
(24914|24981) pub51  93% (15 samples sent)
(24914|24981) pub51  100% (16 samples sent)
(24914|24981) pub51->wait_match() before write for 01030000.565139e3.00000037.00000102(4a916d92)
(24914|24981) pub51<-match found! before write for 01030000.565139e3.00000037.00000102(4a916d92)
(24914|24981) pub51  waiting for acks
(24914|24981) pub51  waiting for acks returned
(24914|24981) pub51<-delete_contained_entities
(24914|24985) pub53  writer id: 01030000.565139e3.00000039.00000102(f5a1d3f3)
(24914|24985) pub53->started
(24914|24985) pub53  12% (2 samples sent)
(24914|24985) pub53  25% (4 samples sent)
(24914|24985) pub53  31% (5 samples sent)
(24914|24985) pub53  43% (7 samples sent)
(24914|24985) pub53  50% (8 samples sent)
(24914|24985) pub53  62% (10 samples sent)
(24914|24985) pub53  75% (12 samples sent)
(24914|24985) pub53  81% (13 samples sent)
(24914|24985) pub53  93% (15 samples sent)
(24914|24985) pub53  100% (16 samples sent)
(24914|24985) pub53->wait_match() before write for 01030000.565139e3.00000039.00000102(f5a1d3f3)
(24914|24985) pub53<-match found! before write for 01030000.565139e3.00000039.00000102(f5a1d3f3)
(24914|24985) pub53  waiting for acks
(24914|24985) pub53  waiting for acks returned
(24914|24985) pub53<-delete_contained_entities
(24914|24984) pub59  writer id: 01030000.565139e3.0000003e.00000102(47810fe3)
(24914|24984) pub59->started
(24914|24984) pub59  12% (2 samples sent)
(24914|24984) pub59  25% (4 samples sent)
(24914|24984) pub59  31% (5 samples sent)
(24914|24984) pub59  43% (7 samples sent)
(24914|24984) pub59  50% (8 samples sent)
(24914|24984) pub59  62% (10 samples sent)
(24914|24984) pub59  75% (12 samples sent)
(24914|24984) pub59  81% (13 samples sent)
(24914|24984) pub59  93% (15 samples sent)
(24914|24984) pub59  100% (16 samples sent)
(24914|24984) pub59->wait_match() before write for 01030000.565139e3.0000003e.00000102(47810fe3)
(24914|24984) pub59<-match found! before write for 01030000.565139e3.0000003e.00000102(47810fe3)
(24914|24984) pub59  waiting for acks
(24914|24984) pub59  waiting for acks returned
(24914|24984) pub59<-delete_contained_entities
(24914|24983) pub61  writer id: 01030000.565139e3.00000040.00000102(0163514d)
(24914|24983) pub61->started
(24914|24983) pub61  12% (2 samples sent)
(24914|24983) pub61  25% (4 samples sent)
(24914|24983) pub61  31% (5 samples sent)
(24914|24983) pub61  43% (7 samples sent)
(24914|24983) pub61  50% (8 samples sent)
(24914|24983) pub61  62% (10 samples sent)
(24914|24983) pub61  75% (12 samples sent)
(24914|24983) pub61  81% (13 samples sent)
(24914|24983) pub61  93% (15 samples sent)
(24914|24983) pub61  100% (16 samples sent)
(24914|24983) pub61->wait_match() before write for 01030000.565139e3.00000040.00000102(0163514d)
(24914|24983) pub61<-match found! before write for 01030000.565139e3.00000040.00000102(0163514d)
(24914|24983) pub61  waiting for acks
(24914|24983) pub61  waiting for acks returned
(24914|24983) pub61<-delete_contained_entities
(24914|24978) pub60  writer id: 01030000.565139e3.0000003f.00000102(7ae12653)
(24914|24978) pub60->started
(24914|24978) pub60  12% (2 samples sent)
(24914|24978) pub60  25% (4 samples sent)
(24914|24978) pub60  31% (5 samples sent)
(24914|24978) pub60  43% (7 samples sent)
(24914|24978) pub60  50% (8 samples sent)
(24914|24978) pub60  62% (10 samples sent)
(24914|24978) pub60  75% (12 samples sent)
(24914|24978) pub60  81% (13 samples sent)
(24914|24978) pub60  93% (15 samples sent)
(24914|24978) pub60  100% (16 samples sent)
(24914|24978) pub60->wait_match() before write for 01030000.565139e3.0000003f.00000102(7ae12653)
(24914|24978) pub60<-match found! before write for 01030000.565139e3.0000003f.00000102(7ae12653)
(24914|24978) pub60  waiting for acks
(24914|24978) pub60  waiting for acks returned
(24914|24978) pub60<-delete_contained_entities
(24914|24928) pub62  writer id: 01030000.565139e3.00000041.00000102(3c0378fd)
(24914|24928) pub62->started
(24914|24928) pub62  12% (2 samples sent)
(24914|24928) pub62  25% (4 samples sent)
(24914|24928) pub62  31% (5 samples sent)
(24914|24928) pub62  43% (7 samples sent)
(24914|24928) pub62  50% (8 samples sent)
(24914|24928) pub62  62% (10 samples sent)
(24914|24928) pub62  75% (12 samples sent)
(24914|24928) pub62  81% (13 samples sent)
(24914|24928) pub62  93% (15 samples sent)
(24914|24928) pub62  100% (16 samples sent)
(24914|24928) pub62->wait_match() before write for 01030000.565139e3.00000041.00000102(3c0378fd)
(24914|24928) pub62<-match found! before write for 01030000.565139e3.00000041.00000102(3c0378fd)
(24914|24928) pub62  waiting for acks
(24914|24928) pub62  waiting for acks returned
(24914|24928) pub62<-delete_contained_entities
(24914|24963) pub63  writer id: 01030000.565139e3.00000042.00000102(7ba3022d)
(24914|24963) pub63->started
(24914|24963) pub63  12% (2 samples sent)
(24914|24963) pub63  25% (4 samples sent)
(24914|24963) pub63  31% (5 samples sent)
(24914|24963) pub63  43% (7 samples sent)
(24914|24963) pub63  50% (8 samples sent)
(24914|24963) pub63  62% (10 samples sent)
(24914|24963) pub63  75% (12 samples sent)
(24914|24963) pub63  81% (13 samples sent)
(24914|24963) pub63  93% (15 samples sent)
(24914|24963) pub63  100% (16 samples sent)
(24914|24963) pub63->wait_match() before write for 01030000.565139e3.00000042.00000102(7ba3022d)
(24914|24922) sub condition_.notify_all
(24914|24914) sub condition_.wait returned
(24914|24914) sub check_received
(24914|24914) sub check_received returns 0
(24914|24963) pub63<-match found! before write for 01030000.565139e3.00000042.00000102(7ba3022d)
(24914|24914) <- PublisherService::end
(24914|24963) pub63  waiting for acks
(24914|24963) pub63  waiting for acks returned
(24914|24963) pub63<-delete_contained_entities
(24914|24924) pub0<-delete_participant
(24914|24936) pub1<-delete_participant
(24914|24927) pub48<-delete_participant
(24914|24972) pub41<-delete_participant
(24914|24942) pub4<-delete_participant
(24914|24938) pub5<-delete_participant
(24914|24934) pub8<-delete_participant
(24914|24971) pub17<-delete_participant
(24914|24967) pub9<-delete_participant
(24914|24948) pub32<-delete_participant
(24914|24966) pub38<-delete_participant
(24914|24974) pub43<-delete_participant
(24914|24949) pub46<-delete_participant
(24914|24957) pub44<-delete_participant
(24914|24930) pub47<-delete_participant
(24914|24975) pub58<-delete_participant
(24914|24982) pub55<-delete_participant
(24914|24980) pub56<-delete_participant
(24914|24933) pub10<-delete_participant
(24914|24951) pub14<-delete_participant
(24914|24931) pub57<-delete_participant
(24914|24944) pub19<-delete_participant
(24914|24946) pub6<-delete_participant
(24914|24956) pub13<-delete_participant
(24914|24932) pub18<-delete_participant
(24914|24952) pub3<-delete_participant
(24914|24965) pub7<-delete_participant
(24914|24976) pub54<-delete_participant
(24914|24923) pub15<-delete_participant
(24914|24926) pub16<-delete_participant
(24914|24959) pub20<-delete_participant
(24914|24940) pub2<-delete_participant
(24914|24986) pub12<-delete_participant
(24914|24925) pub21<-delete_participant
(24914|24969) pub11<-delete_participant
(24914|24947) pub22<-delete_participant
(24914|24953) pub25<-delete_participant
(24914|24937) pub24<-delete_participant
(24914|24954) pub31<-delete_participant
(24914|24945) pub28<-delete_participant
(24914|24943) pub23<-delete_participant
(24914|24950) pub29<-delete_participant
(24914|24958) pub27<-delete_participant
(24914|24941) pub26<-delete_participant
(24914|24960) pub30<-delete_participant
(24914|24935) pub33<-delete_participant
(24914|24939) pub34<-delete_participant
(24914|24955) pub35<-delete_participant
(24914|24962) pub36<-delete_participant
(24914|24964) pub37<-delete_participant
(24914|24968) pub39<-delete_participant
(24914|24970) pub40<-delete_participant
(24914|24973) pub42<-delete_participant
(24914|24977) pub45<-delete_participant
(24914|24961) pub50<-delete_participant
(24914|24929) pub49<-delete_participant
(24914|24979) pub52<-delete_participant
(24914|24981) pub51<-delete_participant
(24914|24985) pub53<-delete_participant
(24914|24984) pub59<-delete_participant
(24914|24983) pub61<-delete_participant
(24914|24978) pub60<-delete_participant
(24914|24928) pub62<-delete_participant
(24914|24963) pub63<-delete_participant
(24914|24914) <- PublisherService::~PublisherService
(24914|24914) <- Subscriber delete_contained_entities
(24914|24914) <- Subscriber delete_participant
(24914|24914) <- Subscriber::~Subscriber
(24914|24914) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl aggressive durable Time:3s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl single rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1 -n 1 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 24988 started at 2023-04-28 22:45:22
(24988|24988) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(24988|24988) -> Thrasher started
(24988|24988) -> Subscriber::Subscriber
(24988|24988)    Subscriber reader id: 0103000c.297a35f2.619c1ce1.00000007(ad364579)
(24988|24988) -> PublisherService::PublisherService
(24988|24988) -> PublisherService::start (1 threads)
(24988|24988) sub wait_received 0:1
(24988|24994) pub0->transport cfg_0
(24988|24994) pub0  writer id: 0103000c.297a35f2.619c1ce2.00000002(9afccb26)
(24988|24994) pub0->started
(24988|24994) pub0  100% (1 samples sent)
(24988|24994) pub0->wait_match() before write for 0103000c.297a35f2.619c1ce2.00000002(9afccb26)
(24988|24994) pub0<-match found! before write for 0103000c.297a35f2.619c1ce2.00000002(9afccb26)
(24988|24994) pub0  waiting for acks
(24988|24993)  sub 100% (1 samples received)
(24988|24993) sub condition_.notify_all
(24988|24988) sub condition_.wait returned
(24988|24988) sub check_received
(24988|24988) sub check_received returns 0
(24988|24988) <- PublisherService::end
(24988|24994) pub0  waiting for acks returned
(24988|24994) pub0<-delete_contained_entities
(24988|24994) pub0<-delete_participant
(24988|24988) <- PublisherService::~PublisherService
(24988|24988) <- Subscriber delete_contained_entities
(24988|24988) <- Subscriber delete_participant
(24988|24988) <- Subscriber::~Subscriber
(24988|24988) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl single rtps durable Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl double rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 2 -s 1 -n 2 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 25000 started at 2023-04-28 22:45:24
(25000|25000) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(25000|25000) -> Thrasher started
(25000|25000) -> Subscriber::Subscriber
(25000|25000)    Subscriber reader id: 0103000c.297a35f2.61a87f41.00000007(0f5d265a)
(25000|25000) -> PublisherService::PublisherService
(25000|25000) -> PublisherService::start (2 threads)
(25000|25000) sub wait_received 0:2
(25000|25007) pub1->transport cfg_1
(25000|25007) pub1  writer id: 0103000c.297a35f2.61a87f43.00000002(05f781b5)
(25000|25007) pub1->started
(25000|25007) pub1  100% (1 samples sent)
(25000|25007) pub1->wait_match() before write for 0103000c.297a35f2.61a87f43.00000002(05f781b5)
(25000|25006) pub0->transport cfg_0
(25000|25006) pub0  writer id: 0103000c.297a35f2.61a87f42.00000002(3897a805)
(25000|25006) pub0->started
(25000|25006) pub0  100% (1 samples sent)
(25000|25006) pub0->wait_match() before write for 0103000c.297a35f2.61a87f42.00000002(3897a805)
(25000|25006) pub0<-match found! before write for 0103000c.297a35f2.61a87f42.00000002(3897a805)
(25000|25006) pub0  waiting for acks
(25000|25007) pub1<-match found! before write for 0103000c.297a35f2.61a87f43.00000002(05f781b5)
(25000|25007) pub1  waiting for acks
(25000|25005)  sub 50% (1 samples received)
(25000|25005)  sub 100% (2 samples received)
(25000|25005) sub condition_.notify_all
(25000|25000) sub condition_.wait returned
(25000|25000) sub check_received
(25000|25000) sub check_received returns 0
(25000|25000) <- PublisherService::end
(25000|25006) pub0  waiting for acks returned
(25000|25006) pub0<-delete_contained_entities
(25000|25007) pub1  waiting for acks returned
(25000|25007) pub1<-delete_contained_entities
(25000|25007) pub1<-delete_participant
(25000|25006) pub0<-delete_participant
(25000|25000) <- PublisherService::~PublisherService
(25000|25000) <- Subscriber delete_contained_entities
(25000|25000) <- Subscriber delete_participant
(25000|25000) <- Subscriber::~Subscriber
(25000|25000) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl double rtps durable Time:2s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl triangle rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 3 -s 3 -n 9 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 25017 started at 2023-04-28 22:45:25
(25017|25017) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(25017|25017) -> Thrasher started
(25017|25017) -> Subscriber::Subscriber
(25017|25017)    Subscriber reader id: 0103000c.297a35f2.61b9eadf.00000007(ed69afa1)
(25017|25017) -> PublisherService::PublisherService
(25017|25017) -> PublisherService::start (3 threads)
(25017|25017) sub wait_received 0:3
(25017|25023) pub0->transport cfg_0
(25017|25024) pub1->transport cfg_1
(25017|25025) pub2->transport cfg_2
(25017|25024) pub1  writer id: 0103000c.297a35f2.61b9eae1.00000002(83125dc9)
(25017|25024) pub1->started
(25017|25024) pub1  33% (1 samples sent)
(25017|25024) pub1  66% (2 samples sent)
(25017|25024) pub1  100% (3 samples sent)
(25017|25024) pub1->wait_match() before write for 0103000c.297a35f2.61b9eae1.00000002(83125dc9)
(25017|25023) pub0  writer id: 0103000c.297a35f2.61b9eae0.00000002(be727479)
(25017|25023) pub0->started
(25017|25023) pub0  33% (1 samples sent)
(25017|25023) pub0  66% (2 samples sent)
(25017|25023) pub0  100% (3 samples sent)
(25017|25023) pub0->wait_match() before write for 0103000c.297a35f2.61b9eae0.00000002(be727479)
(25017|25025) pub2  writer id: 0103000c.297a35f2.61b9eae2.00000002(c4b22719)
(25017|25025) pub2->started
(25017|25025) pub2  33% (1 samples sent)
(25017|25025) pub2  66% (2 samples sent)
(25017|25025) pub2  100% (3 samples sent)
(25017|25025) pub2->wait_match() before write for 0103000c.297a35f2.61b9eae2.00000002(c4b22719)
(25017|25023) pub0<-match found! before write for 0103000c.297a35f2.61b9eae0.00000002(be727479)
(25017|25023) pub0  waiting for acks
(25017|25024) pub1<-match found! before write for 0103000c.297a35f2.61b9eae1.00000002(83125dc9)
(25017|25024) pub1  waiting for acks
(25017|25025) pub2<-match found! before write for 0103000c.297a35f2.61b9eae2.00000002(c4b22719)
(25017|25025) pub2  waiting for acks
(25017|25022)  sub 11% (1 samples received)
(25017|25022)  sub 22% (2 samples received)
(25017|25022)  sub 33% (3 samples received)
(25017|25022) sub condition_.notify_all
(25017|25017) sub condition_.wait returned
(25017|25017) sub check_received
(25017|25017) sub check_received returns 0
(25017|25017) <- PublisherService::end
(25017|25024) pub1  waiting for acks returned
(25017|25024) pub1<-delete_contained_entities
(25017|25025) pub2  waiting for acks returned
(25017|25025) pub2<-delete_contained_entities
(25017|25023) pub0  waiting for acks returned
(25017|25023) pub0<-delete_contained_entities
(25017|25025) pub2<-delete_participant
(25017|25024) pub1<-delete_participant
(25017|25023) pub0<-delete_participant
(25017|25017) <- PublisherService::~PublisherService
(25017|25017) <- Subscriber delete_contained_entities
(25017|25017) <- Subscriber delete_participant
(25017|25017) <- Subscriber::~Subscriber
(25017|25017) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl triangle rtps durable Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl default rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1024 -n 1024 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 25047 started at 2023-04-28 22:45:26
(25047|25047) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(25047|25047) -> Thrasher started
(25047|25047) -> Subscriber::Subscriber
(25047|25047)    Subscriber reader id: 0103000c.297a35f2.61d7dce4.00000007(3232f53e)
(25047|25047) -> PublisherService::PublisherService
(25047|25047) -> PublisherService::start (1 threads)
(25047|25047) sub wait_received 0:1
(25047|25053) pub0->transport cfg_0
(25047|25053) pub0  writer id: 0103000c.297a35f2.61d7dce5.00000002(7f382801)
(25047|25053) pub0->started
(25047|25053) pub0  10% (103 samples sent)
(25047|25053) pub0  20% (205 samples sent)
(25047|25053) pub0  30% (308 samples sent)
(25047|25053) pub0  40% (410 samples sent)
(25047|25053) pub0  50% (512 samples sent)
(25047|25053) pub0  60% (615 samples sent)
(25047|25053) pub0  70% (717 samples sent)
(25047|25053) pub0  80% (820 samples sent)
(25047|25053) pub0  90% (922 samples sent)
(25047|25053) pub0  100% (1024 samples sent)
(25047|25053) pub0->wait_match() before write for 0103000c.297a35f2.61d7dce5.00000002(7f382801)
(25047|25053) pub0<-match found! before write for 0103000c.297a35f2.61d7dce5.00000002(7f382801)
(25047|25053) pub0  waiting for acks
(25047|25052) sub condition_.notify_all
(25047|25047) sub condition_.wait returned
(25047|25047) sub check_received
(25047|25047) sub check_received returns 0
(25047|25047) <- PublisherService::end
(25047|25053) pub0  waiting for acks returned
(25047|25053) pub0<-delete_contained_entities
(25047|25053) pub0<-delete_participant
(25047|25047) <- PublisherService::~PublisherService
(25047|25047) <- Subscriber delete_contained_entities
(25047|25047) <- Subscriber delete_participant
(25047|25047) <- Subscriber::~Subscriber
(25047|25047) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl default rtps durable Time:2s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl low rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 8 -s 128 -n 1024 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 25059 started at 2023-04-28 22:45:28
(25059|25059) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(25059|25059) -> Thrasher started
(25059|25059) -> Subscriber::Subscriber
(25059|25059)    Subscriber reader id: 0103000c.297a35f2.61e35989.00000007(41c142e8)
(25059|25059) -> PublisherService::PublisherService
(25059|25059) -> PublisherService::start (8 threads)
(25059|25059) sub wait_received 0:8
(25059|25070) pub1->transport cfg_1
(25059|25071) pub4->transport cfg_4
(25059|25066) pub2->transport cfg_2
(25059|25067) pub6->transport cfg_6
(25059|25065) pub0->transport cfg_0
(25059|25072) pub5->transport cfg_5
(25059|25068) pub7->transport cfg_7
(25059|25069) pub3->transport cfg_3
(25059|25067) pub6  writer id: 0103000c.297a35f2.61e35990.00000002(5c5b4394)
(25059|25067) pub6->started
(25059|25065) pub0  writer id: 0103000c.297a35f2.61e3598a.00000002(760bccb7)
(25059|25065) pub0->started
(25059|25072) pub5  writer id: 0103000c.297a35f2.61e3598e.00000002(838b6a77)
(25059|25072) pub5->started
(25059|25072) pub5  10% (13 samples sent)
(25059|25070) pub1  writer id: 0103000c.297a35f2.61e3598b.00000002(4b6be507)
(25059|25070) pub1->started
(25059|25072) pub5  20% (26 samples sent)
(25059|25070) pub1  10% (13 samples sent)
(25059|25072) pub5  30% (39 samples sent)
(25059|25070) pub1  20% (26 samples sent)
(25059|25072) pub5  40% (52 samples sent)
(25059|25065) pub0  10% (13 samples sent)
(25059|25067) pub6  10% (13 samples sent)
(25059|25065) pub0  20% (26 samples sent)
(25059|25067) pub6  20% (26 samples sent)
(25059|25065) pub0  30% (39 samples sent)
(25059|25067) pub6  30% (39 samples sent)
(25059|25065) pub0  40% (52 samples sent)
(25059|25067) pub6  40% (52 samples sent)
(25059|25070) pub1  30% (39 samples sent)
(25059|25065) pub0  50% (64 samples sent)
(25059|25070) pub1  40% (52 samples sent)
(25059|25072) pub5  50% (64 samples sent)
(25059|25070) pub1  50% (64 samples sent)
(25059|25072) pub5  60% (77 samples sent)
(25059|25070) pub1  60% (77 samples sent)
(25059|25072) pub5  70% (90 samples sent)
(25059|25070) pub1  70% (90 samples sent)
(25059|25072) pub5  80% (103 samples sent)
(25059|25067) pub6  50% (64 samples sent)
(25059|25065) pub0  60% (77 samples sent)
(25059|25067) pub6  60% (77 samples sent)
(25059|25065) pub0  70% (90 samples sent)
(25059|25067) pub6  70% (90 samples sent)
(25059|25065) pub0  80% (103 samples sent)
(25059|25067) pub6  80% (103 samples sent)
(25059|25065) pub0  90% (116 samples sent)
(25059|25067) pub6  90% (116 samples sent)
(25059|25065) pub0  100% (128 samples sent)
(25059|25065) pub0->wait_match() before write for 0103000c.297a35f2.61e3598a.00000002(760bccb7)
(25059|25067) pub6  100% (128 samples sent)
(25059|25067) pub6->wait_match() before write for 0103000c.297a35f2.61e35990.00000002(5c5b4394)
(25059|25069) pub3  writer id: 0103000c.297a35f2.61e3598f.00000002(beeb43c7)
(25059|25069) pub3->started
(25059|25069) pub3  10% (13 samples sent)
(25059|25069) pub3  20% (26 samples sent)
(25059|25069) pub3  30% (39 samples sent)
(25059|25069) pub3  40% (52 samples sent)
(25059|25069) pub3  50% (64 samples sent)
(25059|25069) pub3  60% (77 samples sent)
(25059|25071) pub4  writer id: 0103000c.297a35f2.61e3598d.00000002(c42b10a7)
(25059|25071) pub4->started
(25059|25071) pub4  10% (13 samples sent)
(25059|25071) pub4  20% (26 samples sent)
(25059|25071) pub4  30% (39 samples sent)
(25059|25069) pub3  70% (90 samples sent)
(25059|25071) pub4  40% (52 samples sent)
(25059|25069) pub3  80% (103 samples sent)
(25059|25071) pub4  50% (64 samples sent)
(25059|25069) pub3  90% (116 samples sent)
(25059|25071) pub4  60% (77 samples sent)
(25059|25069) pub3  100% (128 samples sent)
(25059|25069) pub3->wait_match() before write for 0103000c.297a35f2.61e3598f.00000002(beeb43c7)
(25059|25072) pub5  90% (116 samples sent)
(25059|25072) pub5  100% (128 samples sent)
(25059|25072) pub5->wait_match() before write for 0103000c.297a35f2.61e3598e.00000002(838b6a77)
(25059|25066) pub2  writer id: 0103000c.297a35f2.61e3598c.00000002(f94b3917)
(25059|25066) pub2->started
(25059|25066) pub2  10% (13 samples sent)
(25059|25066) pub2  20% (26 samples sent)
(25059|25066) pub2  30% (39 samples sent)
(25059|25066) pub2  40% (52 samples sent)
(25059|25066) pub2  50% (64 samples sent)
(25059|25066) pub2  60% (77 samples sent)
(25059|25068) pub7  writer id: 0103000c.297a35f2.61e35991.00000002(613b6a24)
(25059|25068) pub7->started
(25059|25068) pub7  10% (13 samples sent)
(25059|25068) pub7  20% (26 samples sent)
(25059|25068) pub7  30% (39 samples sent)
(25059|25071) pub4  70% (90 samples sent)
(25059|25071) pub4  80% (103 samples sent)
(25059|25068) pub7  40% (52 samples sent)
(25059|25071) pub4  90% (116 samples sent)
(25059|25068) pub7  50% (64 samples sent)
(25059|25071) pub4  100% (128 samples sent)
(25059|25071) pub4->wait_match() before write for 0103000c.297a35f2.61e3598d.00000002(c42b10a7)
(25059|25068) pub7  60% (77 samples sent)
(25059|25066) pub2  70% (90 samples sent)
(25059|25068) pub7  70% (90 samples sent)
(25059|25066) pub2  80% (103 samples sent)
(25059|25066) pub2  90% (116 samples sent)
(25059|25068) pub7  80% (103 samples sent)
(25059|25070) pub1  80% (103 samples sent)
(25059|25068) pub7  90% (116 samples sent)
(25059|25070) pub1  90% (116 samples sent)
(25059|25068) pub7  100% (128 samples sent)
(25059|25068) pub7->wait_match() before write for 0103000c.297a35f2.61e35991.00000002(613b6a24)
(25059|25070) pub1  100% (128 samples sent)
(25059|25070) pub1->wait_match() before write for 0103000c.297a35f2.61e3598b.00000002(4b6be507)
(25059|25066) pub2  100% (128 samples sent)
(25059|25066) pub2->wait_match() before write for 0103000c.297a35f2.61e3598c.00000002(f94b3917)
(25059|25071) pub4<-match found! before write for 0103000c.297a35f2.61e3598d.00000002(c42b10a7)
(25059|25071) pub4  waiting for acks
(25059|25066) pub2<-match found! before write for 0103000c.297a35f2.61e3598c.00000002(f94b3917)
(25059|25066) pub2  waiting for acks
(25059|25067) pub6<-match found! before write for 0103000c.297a35f2.61e35990.00000002(5c5b4394)
(25059|25067) pub6  waiting for acks
(25059|25072) pub5<-match found! before write for 0103000c.297a35f2.61e3598e.00000002(838b6a77)
(25059|25072) pub5  waiting for acks
(25059|25068) pub7<-match found! before write for 0103000c.297a35f2.61e35991.00000002(613b6a24)
(25059|25068) pub7  waiting for acks
(25059|25070) pub1<-match found! before write for 0103000c.297a35f2.61e3598b.00000002(4b6be507)
(25059|25070) pub1  waiting for acks
(25059|25069) pub3<-match found! before write for 0103000c.297a35f2.61e3598f.00000002(beeb43c7)
(25059|25069) pub3  waiting for acks
(25059|25065) pub0<-match found! before write for 0103000c.297a35f2.61e3598a.00000002(760bccb7)
(25059|25065) pub0  waiting for acks
(25059|25064) sub condition_.notify_all
(25059|25059) sub condition_.wait returned
(25059|25059) sub check_received
(25059|25059) sub check_received returns 0
(25059|25059) <- PublisherService::end
(25059|25066) pub2  waiting for acks returned
(25059|25066) pub2<-delete_contained_entities
(25059|25072) pub5  waiting for acks returned
(25059|25072) pub5<-delete_contained_entities
(25059|25067) pub6  waiting for acks returned
(25059|25067) pub6<-delete_contained_entities
(25059|25068) pub7  waiting for acks returned
(25059|25068) pub7<-delete_contained_entities
(25059|25071) pub4  waiting for acks returned
(25059|25071) pub4<-delete_contained_entities
(25059|25070) pub1  waiting for acks returned
(25059|25070) pub1<-delete_contained_entities
(25059|25069) pub3  waiting for acks returned
(25059|25069) pub3<-delete_contained_entities
(25059|25065) pub0  waiting for acks returned
(25059|25065) pub0<-delete_contained_entities
(25059|25066) pub2<-delete_participant
(25059|25067) pub6<-delete_participant
(25059|25068) pub7<-delete_participant
(25059|25071) pub4<-delete_participant
(25059|25072) pub5<-delete_participant
(25059|25069) pub3<-delete_participant
(25059|25070) pub1<-delete_participant
(25059|25065) pub0<-delete_participant
(25059|25059) <- PublisherService::~PublisherService
(25059|25059) <- Subscriber delete_contained_entities
(25059|25059) <- Subscriber delete_participant
(25059|25059) <- Subscriber::~Subscriber
(25059|25059) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl low rtps durable Time:2s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl medium rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 16 -s 64 -n 1024 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 25106 started at 2023-04-28 22:45:30
(25106|25106) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(25106|25106) -> Thrasher started
(25106|25106) -> Subscriber::Subscriber
(25106|25106)    Subscriber reader id: 0103000c.297a35f2.621206dc.00000007(006c8eff)
(25106|25106) -> PublisherService::PublisherService
(25106|25106) -> PublisherService::start (16 threads)
(25106|25106) sub wait_received 0:16
(25106|25121) pub9->transport cfg_9
(25106|25115) pub5->transport cfg_5
(25106|25113) pub1->transport cfg_1
(25106|25119) pub3->transport cfg_3
(25106|25117) pub10->transport cfg_10
(25106|25122) pub8->transport cfg_8
(25106|25116) pub4->transport cfg_4
(25106|25114) pub6->transport cfg_6
(25106|25118) pub2->transport cfg_2
(25106|25120) pub7->transport cfg_7
(25106|25126) pub14->transport cfg_14
(25106|25124) pub11->transport cfg_11
(25106|25112) pub0->transport cfg_0
(25106|25125) pub13->transport cfg_13
(25106|25127) pub15->transport cfg_15
(25106|25123) pub12->transport cfg_12
(25106|25120) pub7  writer id: 0103000c.297a35f2.621206e4.00000002(e1578937)
(25106|25120) pub7->started
(25106|25123) pub12  writer id: 0103000c.297a35f2.621206e9.00000002(19c74d86)
(25106|25123) pub12->started
(25106|25123) pub12  10% (7 samples sent)
(25106|25123) pub12  20% (13 samples sent)
(25106|25123) pub12  31% (20 samples sent)
(25106|25123) pub12  40% (26 samples sent)
(25106|25123) pub12  50% (32 samples sent)
(25106|25123) pub12  60% (39 samples sent)
(25106|25123) pub12  70% (45 samples sent)
(25106|25123) pub12  81% (52 samples sent)
(25106|25123) pub12  90% (58 samples sent)
(25106|25120) pub7  10% (7 samples sent)
(25106|25120) pub7  20% (13 samples sent)
(25106|25120) pub7  31% (20 samples sent)
(25106|25120) pub7  40% (26 samples sent)
(25106|25120) pub7  50% (32 samples sent)
(25106|25120) pub7  60% (39 samples sent)
(25106|25120) pub7  70% (45 samples sent)
(25106|25120) pub7  81% (52 samples sent)
(25106|25120) pub7  90% (58 samples sent)
(25106|25123) pub12  100% (64 samples sent)
(25106|25125) pub13  writer id: 0103000c.297a35f2.621206ea.00000002(5e673756)
(25106|25125) pub13->started
(25106|25125) pub13  10% (7 samples sent)
(25106|25125) pub13  20% (13 samples sent)
(25106|25118) pub2  writer id: 0103000c.297a35f2.621206de.00000002(0ac62910)
(25106|25118) pub2->started
(25106|25118) pub2  10% (7 samples sent)
(25106|25118) pub2  20% (13 samples sent)
(25106|25123) pub12->wait_match() before write for 0103000c.297a35f2.621206e9.00000002(19c74d86)
(25106|25120) pub7  100% (64 samples sent)
(25106|25120) pub7->wait_match() before write for 0103000c.297a35f2.621206e4.00000002(e1578937)
(25106|25125) pub13  31% (20 samples sent)
(25106|25125) pub13  40% (26 samples sent)
(25106|25125) pub13  50% (32 samples sent)
(25106|25125) pub13  60% (39 samples sent)
(25106|25125) pub13  70% (45 samples sent)
(25106|25118) pub2  31% (20 samples sent)
(25106|25118) pub2  40% (26 samples sent)
(25106|25118) pub2  50% (32 samples sent)
(25106|25118) pub2  60% (39 samples sent)
(25106|25118) pub2  70% (45 samples sent)
(25106|25118) pub2  81% (52 samples sent)
(25106|25118) pub2  90% (58 samples sent)
(25106|25114) pub6  writer id: 0103000c.297a35f2.621206e3.00000002(53775527)
(25106|25114) pub6->started
(25106|25114) pub6  10% (7 samples sent)
(25106|25125) pub13  81% (52 samples sent)
(25106|25118) pub2  100% (64 samples sent)
(25106|25118) pub2->wait_match() before write for 0103000c.297a35f2.621206de.00000002(0ac62910)
(25106|25122) pub8  writer id: 0103000c.297a35f2.621206e5.00000002(dc37a087)
(25106|25114) pub6  20% (13 samples sent)
(25106|25114) pub6  31% (20 samples sent)
(25106|25114) pub6  40% (26 samples sent)
(25106|25114) pub6  50% (32 samples sent)
(25106|25114) pub6  60% (39 samples sent)
(25106|25114) pub6  70% (45 samples sent)
(25106|25114) pub6  81% (52 samples sent)
(25106|25114) pub6  90% (58 samples sent)
(25106|25114) pub6  100% (64 samples sent)
(25106|25114) pub6->wait_match() before write for 0103000c.297a35f2.621206e3.00000002(53775527)
(25106|25125) pub13  90% (58 samples sent)
(25106|25113) pub1  writer id: 0103000c.297a35f2.621206e0.00000002(14d72ff7)
(25106|25113) pub1->started
(25106|25113) pub1  10% (7 samples sent)
(25106|25113) pub1  20% (13 samples sent)
(25106|25113) pub1  31% (20 samples sent)
(25106|25113) pub1  40% (26 samples sent)
(25106|25113) pub1  50% (32 samples sent)
(25106|25113) pub1  60% (39 samples sent)
(25106|25113) pub1  70% (45 samples sent)
(25106|25113) pub1  81% (52 samples sent)
(25106|25113) pub1  90% (58 samples sent)
(25106|25122) pub8->started
(25106|25125) pub13  100% (64 samples sent)
(25106|25125) pub13->wait_match() before write for 0103000c.297a35f2.621206ea.00000002(5e673756)
(25106|25126) pub14  writer id: 0103000c.297a35f2.621206eb.00000002(63071ee6)
(25106|25126) pub14->started
(25106|25126) pub14  10% (7 samples sent)
(25106|25126) pub14  20% (13 samples sent)
(25106|25126) pub14  31% (20 samples sent)
(25106|25126) pub14  40% (26 samples sent)
(25106|25126) pub14  50% (32 samples sent)
(25106|25126) pub14  60% (39 samples sent)
(25106|25126) pub14  70% (45 samples sent)
(25106|25113) pub1  100% (64 samples sent)
(25106|25122) pub8  10% (7 samples sent)
(25106|25121) pub9  writer id: 0103000c.297a35f2.621206e6.00000002(9b97da57)
(25106|25121) pub9->started
(25106|25113) pub1->wait_match() before write for 0103000c.297a35f2.621206e0.00000002(14d72ff7)
(25106|25126) pub14  81% (52 samples sent)
(25106|25122) pub8  20% (13 samples sent)
(25106|25122) pub8  31% (20 samples sent)
(25106|25122) pub8  40% (26 samples sent)
(25106|25122) pub8  50% (32 samples sent)
(25106|25122) pub8  60% (39 samples sent)
(25106|25122) pub8  70% (45 samples sent)
(25106|25122) pub8  81% (52 samples sent)
(25106|25115) pub5  writer id: 0103000c.297a35f2.621206e2.00000002(6e177c97)
(25106|25115) pub5->started
(25106|25115) pub5  10% (7 samples sent)
(25106|25115) pub5  20% (13 samples sent)
(25106|25115) pub5  31% (20 samples sent)
(25106|25115) pub5  40% (26 samples sent)
(25106|25115) pub5  50% (32 samples sent)
(25106|25115) pub5  60% (39 samples sent)
(25106|25115) pub5  70% (45 samples sent)
(25106|25121) pub9  10% (7 samples sent)
(25106|25116) pub4  writer id: 0103000c.297a35f2.621206e1.00000002(29b70647)
(25106|25116) pub4->started
(25106|25122) pub8  90% (58 samples sent)
(25106|25122) pub8  100% (64 samples sent)
(25106|25122) pub8->wait_match() before write for 0103000c.297a35f2.621206e5.00000002(dc37a087)
(25106|25127) pub15  writer id: 0103000c.297a35f2.621206ec.00000002(d127c2f6)
(25106|25119) pub3  writer id: 0103000c.297a35f2.621206df.00000002(37a600a0)
(25106|25115) pub5  81% (52 samples sent)
(25106|25124) pub11  writer id: 0103000c.297a35f2.621206e8.00000002(24a76436)
(25106|25124) pub11->started
(25106|25124) pub11  10% (7 samples sent)
(25106|25124) pub11  20% (13 samples sent)
(25106|25124) pub11  31% (20 samples sent)
(25106|25124) pub11  40% (26 samples sent)
(25106|25124) pub11  50% (32 samples sent)
(25106|25124) pub11  60% (39 samples sent)
(25106|25121) pub9  20% (13 samples sent)
(25106|25121) pub9  31% (20 samples sent)
(25106|25121) pub9  40% (26 samples sent)
(25106|25121) pub9  50% (32 samples sent)
(25106|25121) pub9  60% (39 samples sent)
(25106|25121) pub9  70% (45 samples sent)
(25106|25121) pub9  81% (52 samples sent)
(25106|25121) pub9  90% (58 samples sent)
(25106|25121) pub9  100% (64 samples sent)
(25106|25121) pub9->wait_match() before write for 0103000c.297a35f2.621206e6.00000002(9b97da57)
(25106|25116) pub4  10% (7 samples sent)
(25106|25116) pub4  20% (13 samples sent)
(25106|25116) pub4  31% (20 samples sent)
(25106|25116) pub4  40% (26 samples sent)
(25106|25116) pub4  50% (32 samples sent)
(25106|25116) pub4  60% (39 samples sent)
(25106|25116) pub4  70% (45 samples sent)
(25106|25119) pub3->started
(25106|25117) pub10  writer id: 0103000c.297a35f2.621206e7.00000002(a6f7f3e7)
(25106|25117) pub10->started
(25106|25115) pub5  90% (58 samples sent)
(25106|25115) pub5  100% (64 samples sent)
(25106|25115) pub5->wait_match() before write for 0103000c.297a35f2.621206e2.00000002(6e177c97)
(25106|25124) pub11  70% (45 samples sent)
(25106|25126) pub14  90% (58 samples sent)
(25106|25126) pub14  100% (64 samples sent)
(25106|25126) pub14->wait_match() before write for 0103000c.297a35f2.621206eb.00000002(63071ee6)
(25106|25127) pub15->started
(25106|25127) pub15  10% (7 samples sent)
(25106|25127) pub15  20% (13 samples sent)
(25106|25127) pub15  31% (20 samples sent)
(25106|25127) pub15  40% (26 samples sent)
(25106|25127) pub15  50% (32 samples sent)
(25106|25112) pub0  writer id: 0103000c.297a35f2.621206dd.00000002(4d6653c0)
(25106|25127) pub15  60% (39 samples sent)
(25106|25117) pub10  10% (7 samples sent)
(25106|25117) pub10  20% (13 samples sent)
(25106|25117) pub10  31% (20 samples sent)
(25106|25117) pub10  40% (26 samples sent)
(25106|25117) pub10  50% (32 samples sent)
(25106|25117) pub10  60% (39 samples sent)
(25106|25116) pub4  81% (52 samples sent)
(25106|25112) pub0->started
(25106|25116) pub4  90% (58 samples sent)
(25106|25112) pub0  10% (7 samples sent)
(25106|25116) pub4  100% (64 samples sent)
(25106|25116) pub4->wait_match() before write for 0103000c.297a35f2.621206e1.00000002(29b70647)
(25106|25127) pub15  70% (45 samples sent)
(25106|25124) pub11  81% (52 samples sent)
(25106|25124) pub11  90% (58 samples sent)
(25106|25124) pub11  100% (64 samples sent)
(25106|25124) pub11->wait_match() before write for 0103000c.297a35f2.621206e8.00000002(24a76436)
(25106|25127) pub15  81% (52 samples sent)
(25106|25127) pub15  90% (58 samples sent)
(25106|25127) pub15  100% (64 samples sent)
(25106|25127) pub15->wait_match() before write for 0103000c.297a35f2.621206ec.00000002(d127c2f6)
(25106|25117) pub10  70% (45 samples sent)
(25106|25112) pub0  20% (13 samples sent)
(25106|25117) pub10  81% (52 samples sent)
(25106|25117) pub10  90% (58 samples sent)
(25106|25117) pub10  100% (64 samples sent)
(25106|25117) pub10->wait_match() before write for 0103000c.297a35f2.621206e7.00000002(a6f7f3e7)
(25106|25119) pub3  10% (7 samples sent)
(25106|25119) pub3  20% (13 samples sent)
(25106|25119) pub3  31% (20 samples sent)
(25106|25119) pub3  40% (26 samples sent)
(25106|25119) pub3  50% (32 samples sent)
(25106|25119) pub3  60% (39 samples sent)
(25106|25119) pub3  70% (45 samples sent)
(25106|25112) pub0  31% (20 samples sent)
(25106|25112) pub0  40% (26 samples sent)
(25106|25112) pub0  50% (32 samples sent)
(25106|25112) pub0  60% (39 samples sent)
(25106|25112) pub0  70% (45 samples sent)
(25106|25112) pub0  81% (52 samples sent)
(25106|25112) pub0  90% (58 samples sent)
(25106|25112) pub0  100% (64 samples sent)
(25106|25112) pub0->wait_match() before write for 0103000c.297a35f2.621206dd.00000002(4d6653c0)
(25106|25119) pub3  81% (52 samples sent)
(25106|25119) pub3  90% (58 samples sent)
(25106|25119) pub3  100% (64 samples sent)
(25106|25119) pub3->wait_match() before write for 0103000c.297a35f2.621206df.00000002(37a600a0)
(25106|25122) pub8<-match found! before write for 0103000c.297a35f2.621206e5.00000002(dc37a087)
(25106|25122) pub8  waiting for acks
(25106|25121) pub9<-match found! before write for 0103000c.297a35f2.621206e6.00000002(9b97da57)
(25106|25121) pub9  waiting for acks
(25106|25124) pub11<-match found! before write for 0103000c.297a35f2.621206e8.00000002(24a76436)
(25106|25124) pub11  waiting for acks
(25106|25120) pub7<-match found! before write for 0103000c.297a35f2.621206e4.00000002(e1578937)
(25106|25120) pub7  waiting for acks
(25106|25113) pub1<-match found! before write for 0103000c.297a35f2.621206e0.00000002(14d72ff7)
(25106|25113) pub1  waiting for acks
(25106|25126) pub14<-match found! before write for 0103000c.297a35f2.621206eb.00000002(63071ee6)
(25106|25126) pub14  waiting for acks
(25106|25121) pub9  waiting for acks returned
(25106|25121) pub9<-delete_contained_entities
(25106|25122) pub8  waiting for acks returned
(25106|25122) pub8<-delete_contained_entities
(25106|25123) pub12<-match found! before write for 0103000c.297a35f2.621206e9.00000002(19c74d86)
(25106|25123) pub12  waiting for acks
(25106|25115) pub5<-match found! before write for 0103000c.297a35f2.621206e2.00000002(6e177c97)
(25106|25115) pub5  waiting for acks
(25106|25118) pub2<-match found! before write for 0103000c.297a35f2.621206de.00000002(0ac62910)
(25106|25118) pub2  waiting for acks
(25106|25116) pub4<-match found! before write for 0103000c.297a35f2.621206e1.00000002(29b70647)
(25106|25116) pub4  waiting for acks
(25106|25124) pub11  waiting for acks returned
(25106|25124) pub11<-delete_contained_entities
(25106|25127) pub15<-match found! before write for 0103000c.297a35f2.621206ec.00000002(d127c2f6)
(25106|25127) pub15  waiting for acks
(25106|25120) pub7  waiting for acks returned
(25106|25120) pub7<-delete_contained_entities
(25106|25119) pub3<-match found! before write for 0103000c.297a35f2.621206df.00000002(37a600a0)
(25106|25119) pub3  waiting for acks
(25106|25114) pub6<-match found! before write for 0103000c.297a35f2.621206e3.00000002(53775527)
(25106|25114) pub6  waiting for acks
(25106|25125) pub13<-match found! before write for 0103000c.297a35f2.621206ea.00000002(5e673756)
(25106|25125) pub13  waiting for acks
(25106|25113) pub1  waiting for acks returned
(25106|25113) pub1<-delete_contained_entities
(25106|25117) pub10<-match found! before write for 0103000c.297a35f2.621206e7.00000002(a6f7f3e7)
(25106|25117) pub10  waiting for acks
(25106|25112) pub0<-match found! before write for 0103000c.297a35f2.621206dd.00000002(4d6653c0)
(25106|25112) pub0  waiting for acks
(25106|25126) pub14  waiting for acks returned
(25106|25126) pub14<-delete_contained_entities
(25106|25121) pub9<-delete_participant
(25106|25122) pub8<-delete_participant
(25106|25123) pub12  waiting for acks returned
(25106|25123) pub12<-delete_contained_entities
(25106|25115) pub5  waiting for acks returned
(25106|25115) pub5<-delete_contained_entities
(25106|25118) pub2  waiting for acks returned
(25106|25118) pub2<-delete_contained_entities
(25106|25116) pub4  waiting for acks returned
(25106|25116) pub4<-delete_contained_entities
(25106|25124) pub11<-delete_participant
(25106|25111) sub condition_.notify_all
(25106|25106) sub condition_.wait returned
(25106|25106) sub check_received
(25106|25106) sub check_received returns 0
(25106|25106) <- PublisherService::end
(25106|25127) pub15  waiting for acks returned
(25106|25127) pub15<-delete_contained_entities
(25106|25120) pub7<-delete_participant
(25106|25119) pub3  waiting for acks returned
(25106|25119) pub3<-delete_contained_entities
(25106|25114) pub6  waiting for acks returned
(25106|25114) pub6<-delete_contained_entities
(25106|25113) pub1<-delete_participant
(25106|25125) pub13  waiting for acks returned
(25106|25125) pub13<-delete_contained_entities
(25106|25117) pub10  waiting for acks returned
(25106|25117) pub10<-delete_contained_entities
(25106|25112) pub0  waiting for acks returned
(25106|25112) pub0<-delete_contained_entities
(25106|25126) pub14<-delete_participant
(25106|25123) pub12<-delete_participant
(25106|25115) pub5<-delete_participant
(25106|25118) pub2<-delete_participant
(25106|25116) pub4<-delete_participant
(25106|25127) pub15<-delete_participant
(25106|25119) pub3<-delete_participant
(25106|25114) pub6<-delete_participant
(25106|25125) pub13<-delete_participant
(25106|25117) pub10<-delete_participant
(25106|25112) pub0<-delete_participant
(25106|25106) <- PublisherService::~PublisherService
(25106|25106) <- Subscriber delete_contained_entities
(25106|25106) <- Subscriber delete_participant
(25106|25106) <- Subscriber::~Subscriber
(25106|25106) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl medium rtps durable Time:3s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl high rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 32 -s 32 -n 1024 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 25194 started at 2023-04-28 22:45:33
(25194|25194) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(25194|25194) -> Thrasher started
(25194|25194) -> Subscriber::Subscriber
(25194|25194)    Subscriber reader id: 0103000c.297a35f2.626a39e6.00000007(c15605ec)
(25194|25194) -> PublisherService::PublisherService
(25194|25194) -> PublisherService::start (32 threads)
(25194|25194) sub wait_received 0:32
(25194|25200) pub0->transport cfg_0
(25194|25201) pub6->transport cfg_6
(25194|25204) pub1->transport cfg_1
(25194|25211) pub12->transport cfg_12
(25194|25202) pub3->transport cfg_3
(25194|25205) pub8->transport cfg_8
(25194|25207) pub2->transport cfg_2
(25194|25210) pub7->transport cfg_7
(25194|25203) pub10->transport cfg_10
(25194|25214) pub14->transport cfg_14
(25194|25222) pub21->transport cfg_21
(25194|25213) pub9->transport cfg_9
(25194|25218) pub18->transport cfg_18
(25194|25230) pub30->transport cfg_30
(25194|25209) pub5->transport cfg_5
(25194|25206) pub4->transport cfg_4
(25194|25223) pub23->transport cfg_23
(25194|25212) pub13->transport cfg_13
(25194|25201) pub6  writer id: 0103000c.297a35f2.626a39ed.00000002(c6ecc072)
(25194|25201) pub6->started
(25194|25221) pub22->transport cfg_22
(25194|25211) pub12  writer id: 0103000c.297a35f2.626a39f3.00000002(193ce991)
(25194|25211) pub12->started
(25194|25211) pub12  12% (4 samples sent)
(25194|25211) pub12  21% (7 samples sent)
(25194|25211) pub12  31% (10 samples sent)
(25194|25211) pub12  40% (13 samples sent)
(25194|25211) pub12  50% (16 samples sent)
(25194|25211) pub12  62% (20 samples sent)
(25194|25211) pub12  71% (23 samples sent)
(25194|25211) pub12  81% (26 samples sent)
(25194|25211) pub12  90% (29 samples sent)
(25194|25211) pub12  100% (32 samples sent)
(25194|25211) pub12->wait_match() before write for 0103000c.297a35f2.626a39f3.00000002(193ce991)
(25194|25207) pub2  writer id: 0103000c.297a35f2.626a39e8.00000002(0e0c4f02)
(25194|25207) pub2->started
(25194|25215) pub15->transport cfg_15
(25194|25228) pub28->transport cfg_28
(25194|25225) pub25->transport cfg_25
(25194|25209) pub5  writer id: 0103000c.297a35f2.626a39ec.00000002(fb8ce9c2)
(25194|25209) pub5->started
(25194|25209) pub5  12% (4 samples sent)
(25194|25226) pub26->transport cfg_26
(25194|25229) pub29->transport cfg_29
(25194|25201) pub6  12% (4 samples sent)
(25194|25201) pub6  21% (7 samples sent)
(25194|25201) pub6  31% (10 samples sent)
(25194|25201) pub6  40% (13 samples sent)
(25194|25201) pub6  50% (16 samples sent)
(25194|25201) pub6  62% (20 samples sent)
(25194|25201) pub6  71% (23 samples sent)
(25194|25201) pub6  81% (26 samples sent)
(25194|25201) pub6  90% (29 samples sent)
(25194|25201) pub6  100% (32 samples sent)
(25194|25201) pub6->wait_match() before write for 0103000c.297a35f2.626a39ed.00000002(c6ecc072)
(25194|25207) pub2  12% (4 samples sent)
(25194|25207) pub2  21% (7 samples sent)
(25194|25207) pub2  31% (10 samples sent)
(25194|25207) pub2  40% (13 samples sent)
(25194|25207) pub2  50% (16 samples sent)
(25194|25207) pub2  62% (20 samples sent)
(25194|25207) pub2  71% (23 samples sent)
(25194|25207) pub2  81% (26 samples sent)
(25194|25207) pub2  90% (29 samples sent)
(25194|25207) pub2  100% (32 samples sent)
(25194|25207) pub2->wait_match() before write for 0103000c.297a35f2.626a39e8.00000002(0e0c4f02)
(25194|25208) pub11->transport cfg_11
(25194|25214) pub14  writer id: 0103000c.297a35f2.626a39f5.00000002(967c1c31)
(25194|25214) pub14->started
(25194|25209) pub5  21% (7 samples sent)
(25194|25209) pub5  31% (10 samples sent)
(25194|25209) pub5  40% (13 samples sent)
(25194|25209) pub5  50% (16 samples sent)
(25194|25209) pub5  62% (20 samples sent)
(25194|25209) pub5  71% (23 samples sent)
(25194|25209) pub5  81% (26 samples sent)
(25194|25209) pub5  90% (29 samples sent)
(25194|25209) pub5  100% (32 samples sent)
(25194|25209) pub5->wait_match() before write for 0103000c.297a35f2.626a39ec.00000002(fb8ce9c2)
(25194|25227) pub27->transport cfg_27
(25194|25216) pub16->transport cfg_16
(25194|25214) pub14  12% (4 samples sent)
(25194|25214) pub14  21% (7 samples sent)
(25194|25214) pub14  31% (10 samples sent)
(25194|25214) pub14  40% (13 samples sent)
(25194|25214) pub14  50% (16 samples sent)
(25194|25214) pub14  62% (20 samples sent)
(25194|25214) pub14  71% (23 samples sent)
(25194|25214) pub14  81% (26 samples sent)
(25194|25214) pub14  90% (29 samples sent)
(25194|25214) pub14  100% (32 samples sent)
(25194|25214) pub14->wait_match() before write for 0103000c.297a35f2.626a39f5.00000002(967c1c31)
(25194|25222) pub21  writer id: 0103000c.297a35f2.626a39fc.00000002(9b6c7e40)
(25194|25222) pub21->started
(25194|25217) pub17->transport cfg_17
(25194|25230) pub30  writer id: 0103000c.297a35f2.626a39fe.00000002(e1ac2d20)
(25194|25230) pub30->started
(25194|25210) pub7  writer id: 0103000c.297a35f2.626a39ee.00000002(814cbaa2)
(25194|25210) pub7->started
(25194|25218) pub18  writer id: 0103000c.297a35f2.626a39fa.00000002(142c8be0)
(25194|25218) pub18->started
(25194|25224) pub24->transport cfg_24
(25194|25200) pub0  writer id: 0103000c.297a35f2.626a39e7.00000002(8c5cd8d3)
(25194|25200) pub0->started
(25194|25200) pub0  12% (4 samples sent)
(25194|25200) pub0  21% (7 samples sent)
(25194|25200) pub0  31% (10 samples sent)
(25194|25200) pub0  40% (13 samples sent)
(25194|25200) pub0  50% (16 samples sent)
(25194|25200) pub0  62% (20 samples sent)
(25194|25200) pub0  71% (23 samples sent)
(25194|25200) pub0  81% (26 samples sent)
(25194|25200) pub0  90% (29 samples sent)
(25194|25200) pub0  100% (32 samples sent)
(25194|25200) pub0->wait_match() before write for 0103000c.297a35f2.626a39e7.00000002(8c5cd8d3)
(25194|25219) pub19->transport cfg_19
(25194|25231) pub31->transport cfg_31
(25194|25202) pub3  writer id: 0103000c.297a35f2.626a39eb.00000002(49ac35d2)
(25194|25202) pub3->started
(25194|25202) pub3  12% (4 samples sent)
(25194|25204) pub1  writer id: 0103000c.297a35f2.626a39e9.00000002(336c66b2)
(25194|25204) pub1->started
(25194|25213) pub9  writer id: 0103000c.297a35f2.626a39f0.00000002(5e9c9341)
(25194|25206) pub4  writer id: 0103000c.297a35f2.626a39ea.00000002(74cc1c62)
(25194|25206) pub4->started
(25194|25206) pub4  12% (4 samples sent)
(25194|25206) pub4  21% (7 samples sent)
(25194|25206) pub4  31% (10 samples sent)
(25194|25206) pub4  40% (13 samples sent)
(25194|25206) pub4  50% (16 samples sent)
(25194|25206) pub4  62% (20 samples sent)
(25194|25206) pub4  71% (23 samples sent)
(25194|25206) pub4  81% (26 samples sent)
(25194|25206) pub4  90% (29 samples sent)
(25194|25206) pub4  100% (32 samples sent)
(25194|25206) pub4->wait_match() before write for 0103000c.297a35f2.626a39ea.00000002(74cc1c62)
(25194|25223) pub23  writer id: 0103000c.297a35f2.626a3a01.00000002(ad5c9802)
(25194|25223) pub23->started
(25194|25223) pub23  12% (4 samples sent)
(25194|25223) pub23  21% (7 samples sent)
(25194|25223) pub23  31% (10 samples sent)
(25194|25223) pub23  40% (13 samples sent)
(25194|25223) pub23  50% (16 samples sent)
(25194|25223) pub23  62% (20 samples sent)
(25194|25223) pub23  71% (23 samples sent)
(25194|25223) pub23  81% (26 samples sent)
(25194|25223) pub23  90% (29 samples sent)
(25194|25223) pub23  100% (32 samples sent)
(25194|25223) pub23->wait_match() before write for 0103000c.297a35f2.626a3a01.00000002(ad5c9802)
(25194|25210) pub7  12% (4 samples sent)
(25194|25218) pub18  12% (4 samples sent)
(25194|25218) pub18  21% (7 samples sent)
(25194|25218) pub18  31% (10 samples sent)
(25194|25218) pub18  40% (13 samples sent)
(25194|25218) pub18  50% (16 samples sent)
(25194|25218) pub18  62% (20 samples sent)
(25194|25218) pub18  71% (23 samples sent)
(25194|25218) pub18  81% (26 samples sent)
(25194|25218) pub18  90% (29 samples sent)
(25194|25218) pub18  100% (32 samples sent)
(25194|25218) pub18->wait_match() before write for 0103000c.297a35f2.626a39fa.00000002(142c8be0)
(25194|25203) pub10  writer id: 0103000c.297a35f2.626a39f1.00000002(63fcbaf1)
(25194|25203) pub10->started
(25194|25203) pub10  12% (4 samples sent)
(25194|25203) pub10  21% (7 samples sent)
(25194|25203) pub10  31% (10 samples sent)
(25194|25203) pub10  40% (13 samples sent)
(25194|25203) pub10  50% (16 samples sent)
(25194|25203) pub10  62% (20 samples sent)
(25194|25203) pub10  71% (23 samples sent)
(25194|25203) pub10  81% (26 samples sent)
(25194|25203) pub10  90% (29 samples sent)
(25194|25203) pub10  100% (32 samples sent)
(25194|25203) pub10->wait_match() before write for 0103000c.297a35f2.626a39f1.00000002(63fcbaf1)
(25194|25220) pub20->transport cfg_20
(25194|25215) pub15  writer id: 0103000c.297a35f2.626a39f6.00000002(d1dc66e1)
(25194|25215) pub15->started
(25194|25215) pub15  12% (4 samples sent)
(25194|25215) pub15  21% (7 samples sent)
(25194|25215) pub15  31% (10 samples sent)
(25194|25215) pub15  40% (13 samples sent)
(25194|25215) pub15  50% (16 samples sent)
(25194|25215) pub15  62% (20 samples sent)
(25194|25215) pub15  71% (23 samples sent)
(25194|25215) pub15  81% (26 samples sent)
(25194|25215) pub15  90% (29 samples sent)
(25194|25215) pub15  100% (32 samples sent)
(25194|25215) pub15->wait_match() before write for 0103000c.297a35f2.626a39f6.00000002(d1dc66e1)
(25194|25202) pub3  21% (7 samples sent)
(25194|25202) pub3  31% (10 samples sent)
(25194|25228) pub28  writer id: 0103000c.297a35f2.626a3a03.00000002(d79ccb62)
(25194|25228) pub28->started
(25194|25228) pub28  12% (4 samples sent)
(25194|25213) pub9->started
(25194|25228) pub28  21% (7 samples sent)
(25194|25213) pub9  12% (4 samples sent)
(25194|25228) pub28  31% (10 samples sent)
(25194|25213) pub9  21% (7 samples sent)
(25194|25228) pub28  40% (13 samples sent)
(25194|25213) pub9  31% (10 samples sent)
(25194|25228) pub28  50% (16 samples sent)
(25194|25213) pub9  40% (13 samples sent)
(25194|25228) pub28  62% (20 samples sent)
(25194|25213) pub9  50% (16 samples sent)
(25194|25228) pub28  71% (23 samples sent)
(25194|25213) pub9  62% (20 samples sent)
(25194|25228) pub28  81% (26 samples sent)
(25194|25213) pub9  71% (23 samples sent)
(25194|25222) pub21  12% (4 samples sent)
(25194|25222) pub21  21% (7 samples sent)
(25194|25225) pub25  writer id: 0103000c.297a35f2.626a3a05.00000002(58dc3ec2)
(25194|25225) pub25->started
(25194|25222) pub21  31% (10 samples sent)
(25194|25225) pub25  12% (4 samples sent)
(25194|25222) pub21  40% (13 samples sent)
(25194|25225) pub25  21% (7 samples sent)
(25194|25225) pub25  31% (10 samples sent)
(25194|25225) pub25  40% (13 samples sent)
(25194|25225) pub25  50% (16 samples sent)
(25194|25225) pub25  62% (20 samples sent)
(25194|25225) pub25  71% (23 samples sent)
(25194|25225) pub25  81% (26 samples sent)
(25194|25225) pub25  90% (29 samples sent)
(25194|25210) pub7  21% (7 samples sent)
(25194|25210) pub7  31% (10 samples sent)
(25194|25210) pub7  40% (13 samples sent)
(25194|25210) pub7  50% (16 samples sent)
(25194|25212) pub13  writer id: 0103000c.297a35f2.626a39f4.00000002(ab1c3581)
(25194|25212) pub13->started
(25194|25212) pub13  12% (4 samples sent)
(25194|25212) pub13  21% (7 samples sent)
(25194|25212) pub13  31% (10 samples sent)
(25194|25212) pub13  40% (13 samples sent)
(25194|25212) pub13  50% (16 samples sent)
(25194|25212) pub13  62% (20 samples sent)
(25194|25212) pub13  71% (23 samples sent)
(25194|25212) pub13  81% (26 samples sent)
(25194|25212) pub13  90% (29 samples sent)
(25194|25221) pub22  writer id: 0103000c.297a35f2.626a39ff.00000002(dccc0490)
(25194|25221) pub22->started
(25194|25221) pub22  12% (4 samples sent)
(25194|25221) pub22  21% (7 samples sent)
(25194|25221) pub22  31% (10 samples sent)
(25194|25221) pub22  40% (13 samples sent)
(25194|25221) pub22  50% (16 samples sent)
(25194|25221) pub22  62% (20 samples sent)
(25194|25221) pub22  71% (23 samples sent)
(25194|25221) pub22  81% (26 samples sent)
(25194|25221) pub22  90% (29 samples sent)
(25194|25221) pub22  100% (32 samples sent)
(25194|25221) pub22->wait_match() before write for 0103000c.297a35f2.626a39ff.00000002(dccc0490)
(25194|25205) pub8  writer id: 0103000c.297a35f2.626a39ef.00000002(bc2c9312)
(25194|25205) pub8->started
(25194|25205) pub8  12% (4 samples sent)
(25194|25205) pub8  21% (7 samples sent)
(25194|25205) pub8  31% (10 samples sent)
(25194|25205) pub8  40% (13 samples sent)
(25194|25205) pub8  50% (16 samples sent)
(25194|25205) pub8  62% (20 samples sent)
(25194|25205) pub8  71% (23 samples sent)
(25194|25205) pub8  81% (26 samples sent)
(25194|25205) pub8  90% (29 samples sent)
(25194|25205) pub8  100% (32 samples sent)
(25194|25205) pub8->wait_match() before write for 0103000c.297a35f2.626a39ef.00000002(bc2c9312)
(25194|25202) pub3  40% (13 samples sent)
(25194|25204) pub1  12% (4 samples sent)
(25194|25228) pub28  90% (29 samples sent)
(25194|25228) pub28  100% (32 samples sent)
(25194|25228) pub28->wait_match() before write for 0103000c.297a35f2.626a3a03.00000002(d79ccb62)
(25194|25230) pub30  12% (4 samples sent)
(25194|25230) pub30  21% (7 samples sent)
(25194|25230) pub30  31% (10 samples sent)
(25194|25230) pub30  40% (13 samples sent)
(25194|25230) pub30  50% (16 samples sent)
(25194|25230) pub30  62% (20 samples sent)
(25194|25230) pub30  71% (23 samples sent)
(25194|25230) pub30  81% (26 samples sent)
(25194|25230) pub30  90% (29 samples sent)
(25194|25230) pub30  100% (32 samples sent)
(25194|25230) pub30->wait_match() before write for 0103000c.297a35f2.626a39fe.00000002(e1ac2d20)
(25194|25225) pub25  100% (32 samples sent)
(25194|25225) pub25->wait_match() before write for 0103000c.297a35f2.626a3a05.00000002(58dc3ec2)
(25194|25210) pub7  62% (20 samples sent)
(25194|25208) pub11  writer id: 0103000c.297a35f2.626a39f2.00000002(245cc021)
(25194|25208) pub11->started
(25194|25208) pub11  12% (4 samples sent)
(25194|25208) pub11  21% (7 samples sent)
(25194|25208) pub11  31% (10 samples sent)
(25194|25208) pub11  40% (13 samples sent)
(25194|25208) pub11  50% (16 samples sent)
(25194|25208) pub11  62% (20 samples sent)
(25194|25208) pub11  71% (23 samples sent)
(25194|25208) pub11  81% (26 samples sent)
(25194|25208) pub11  90% (29 samples sent)
(25194|25208) pub11  100% (32 samples sent)
(25194|25208) pub11->wait_match() before write for 0103000c.297a35f2.626a39f2.00000002(245cc021)
(25194|25212) pub13  100% (32 samples sent)
(25194|25212) pub13->wait_match() before write for 0103000c.297a35f2.626a39f4.00000002(ab1c3581)
(25194|25202) pub3  50% (16 samples sent)
(25194|25202) pub3  62% (20 samples sent)
(25194|25202) pub3  71% (23 samples sent)
(25194|25202) pub3  81% (26 samples sent)
(25194|25202) pub3  90% (29 samples sent)
(25194|25202) pub3  100% (32 samples sent)
(25194|25202) pub3->wait_match() before write for 0103000c.297a35f2.626a39eb.00000002(49ac35d2)
(25194|25204) pub1  21% (7 samples sent)
(25194|25204) pub1  31% (10 samples sent)
(25194|25204) pub1  40% (13 samples sent)
(25194|25204) pub1  50% (16 samples sent)
(25194|25204) pub1  62% (20 samples sent)
(25194|25204) pub1  71% (23 samples sent)
(25194|25204) pub1  81% (26 samples sent)
(25194|25204) pub1  90% (29 samples sent)
(25194|25204) pub1  100% (32 samples sent)
(25194|25204) pub1->wait_match() before write for 0103000c.297a35f2.626a39e9.00000002(336c66b2)
(25194|25213) pub9  81% (26 samples sent)
(25194|25226) pub26  writer id: 0103000c.297a35f2.626a3a00.00000002(903cb1b2)
(25194|25226) pub26->started
(25194|25226) pub26  12% (4 samples sent)
(25194|25226) pub26  21% (7 samples sent)
(25194|25226) pub26  31% (10 samples sent)
(25194|25226) pub26  40% (13 samples sent)
(25194|25226) pub26  50% (16 samples sent)
(25194|25226) pub26  62% (20 samples sent)
(25194|25226) pub26  71% (23 samples sent)
(25194|25226) pub26  81% (26 samples sent)
(25194|25226) pub26  90% (29 samples sent)
(25194|25226) pub26  100% (32 samples sent)
(25194|25226) pub26->wait_match() before write for 0103000c.297a35f2.626a3a00.00000002(903cb1b2)
(25194|25222) pub21  50% (16 samples sent)
(25194|25210) pub7  71% (23 samples sent)
(25194|25210) pub7  81% (26 samples sent)
(25194|25210) pub7  90% (29 samples sent)
(25194|25210) pub7  100% (32 samples sent)
(25194|25210) pub7->wait_match() before write for 0103000c.297a35f2.626a39ee.00000002(814cbaa2)
(25194|25219) pub19  writer id: 0103000c.297a35f2.626a39f9.00000002(538cf130)
(25194|25219) pub19->started
(25194|25219) pub19  12% (4 samples sent)
(25194|25219) pub19  21% (7 samples sent)
(25194|25219) pub19  31% (10 samples sent)
(25194|25213) pub9  90% (29 samples sent)
(25194|25229) pub29  writer id: 0103000c.297a35f2.626a3a06.00000002(1f7c4412)
(25194|25229) pub29->started
(25194|25227) pub27  writer id: 0103000c.297a35f2.626a3a02.00000002(eafce2d2)
(25194|25227) pub27->started
(25194|25227) pub27  12% (4 samples sent)
(25194|25227) pub27  21% (7 samples sent)
(25194|25227) pub27  31% (10 samples sent)
(25194|25227) pub27  40% (13 samples sent)
(25194|25227) pub27  50% (16 samples sent)
(25194|25227) pub27  62% (20 samples sent)
(25194|25227) pub27  71% (23 samples sent)
(25194|25227) pub27  81% (26 samples sent)
(25194|25227) pub27  90% (29 samples sent)
(25194|25227) pub27  100% (32 samples sent)
(25194|25227) pub27->wait_match() before write for 0103000c.297a35f2.626a3a02.00000002(eafce2d2)
(25194|25216) pub16  writer id: 0103000c.297a35f2.626a39f8.00000002(6eecd880)
(25194|25216) pub16->started
(25194|25219) pub19  40% (13 samples sent)
(25194|25219) pub19  50% (16 samples sent)
(25194|25219) pub19  62% (20 samples sent)
(25194|25219) pub19  71% (23 samples sent)
(25194|25213) pub9  100% (32 samples sent)
(25194|25213) pub9->wait_match() before write for 0103000c.297a35f2.626a39f0.00000002(5e9c9341)
(25194|25222) pub21  62% (20 samples sent)
(25194|25222) pub21  71% (23 samples sent)
(25194|25231) pub31  writer id: 0103000c.297a35f2.626a3a04.00000002(65bc1772)
(25194|25231) pub31->started
(25194|25231) pub31  12% (4 samples sent)
(25194|25231) pub31  21% (7 samples sent)
(25194|25231) pub31  31% (10 samples sent)
(25194|25231) pub31  40% (13 samples sent)
(25194|25231) pub31  50% (16 samples sent)
(25194|25231) pub31  62% (20 samples sent)
(25194|25231) pub31  71% (23 samples sent)
(25194|25224) pub24  writer id: 0103000c.297a35f2.626a39fd.00000002(a60c57f0)
(25194|25224) pub24->started
(25194|25224) pub24  12% (4 samples sent)
(25194|25224) pub24  21% (7 samples sent)
(25194|25224) pub24  31% (10 samples sent)
(25194|25224) pub24  40% (13 samples sent)
(25194|25224) pub24  50% (16 samples sent)
(25194|25224) pub24  62% (20 samples sent)
(25194|25224) pub24  71% (23 samples sent)
(25194|25224) pub24  81% (26 samples sent)
(25194|25224) pub24  90% (29 samples sent)
(25194|25224) pub24  100% (32 samples sent)
(25194|25224) pub24->wait_match() before write for 0103000c.297a35f2.626a39fd.00000002(a60c57f0)
(25194|25217) pub17  writer id: 0103000c.297a35f2.626a39f7.00000002(ecbc4f51)
(25194|25219) pub19  81% (26 samples sent)
(25194|25222) pub21  81% (26 samples sent)
(25194|25229) pub29  12% (4 samples sent)
(25194|25229) pub29  21% (7 samples sent)
(25194|25229) pub29  31% (10 samples sent)
(25194|25229) pub29  40% (13 samples sent)
(25194|25229) pub29  50% (16 samples sent)
(25194|25229) pub29  62% (20 samples sent)
(25194|25229) pub29  71% (23 samples sent)
(25194|25229) pub29  81% (26 samples sent)
(25194|25229) pub29  90% (29 samples sent)
(25194|25229) pub29  100% (32 samples sent)
(25194|25229) pub29->wait_match() before write for 0103000c.297a35f2.626a3a06.00000002(1f7c4412)
(25194|25217) pub17->started
(25194|25217) pub17  12% (4 samples sent)
(25194|25222) pub21  90% (29 samples sent)
(25194|25231) pub31  81% (26 samples sent)
(25194|25231) pub31  90% (29 samples sent)
(25194|25231) pub31  100% (32 samples sent)
(25194|25231) pub31->wait_match() before write for 0103000c.297a35f2.626a3a04.00000002(65bc1772)
(25194|25220) pub20  writer id: 0103000c.297a35f2.626a39fb.00000002(294ca250)
(25194|25220) pub20->started
(25194|25219) pub19  90% (29 samples sent)
(25194|25219) pub19  100% (32 samples sent)
(25194|25219) pub19->wait_match() before write for 0103000c.297a35f2.626a39f9.00000002(538cf130)
(25194|25222) pub21  100% (32 samples sent)
(25194|25222) pub21->wait_match() before write for 0103000c.297a35f2.626a39fc.00000002(9b6c7e40)
(25194|25216) pub16  12% (4 samples sent)
(25194|25216) pub16  21% (7 samples sent)
(25194|25216) pub16  31% (10 samples sent)
(25194|25216) pub16  40% (13 samples sent)
(25194|25216) pub16  50% (16 samples sent)
(25194|25216) pub16  62% (20 samples sent)
(25194|25216) pub16  71% (23 samples sent)
(25194|25216) pub16  81% (26 samples sent)
(25194|25216) pub16  90% (29 samples sent)
(25194|25216) pub16  100% (32 samples sent)
(25194|25216) pub16->wait_match() before write for 0103000c.297a35f2.626a39f8.00000002(6eecd880)
(25194|25220) pub20  12% (4 samples sent)
(25194|25220) pub20  21% (7 samples sent)
(25194|25220) pub20  31% (10 samples sent)
(25194|25220) pub20  40% (13 samples sent)
(25194|25220) pub20  50% (16 samples sent)
(25194|25220) pub20  62% (20 samples sent)
(25194|25220) pub20  71% (23 samples sent)
(25194|25220) pub20  81% (26 samples sent)
(25194|25220) pub20  90% (29 samples sent)
(25194|25220) pub20  100% (32 samples sent)
(25194|25220) pub20->wait_match() before write for 0103000c.297a35f2.626a39fb.00000002(294ca250)
(25194|25217) pub17  21% (7 samples sent)
(25194|25217) pub17  31% (10 samples sent)
(25194|25217) pub17  40% (13 samples sent)
(25194|25217) pub17  50% (16 samples sent)
(25194|25217) pub17  62% (20 samples sent)
(25194|25217) pub17  71% (23 samples sent)
(25194|25217) pub17  81% (26 samples sent)
(25194|25217) pub17  90% (29 samples sent)
(25194|25217) pub17  100% (32 samples sent)
(25194|25217) pub17->wait_match() before write for 0103000c.297a35f2.626a39f7.00000002(ecbc4f51)
(25194|25222) pub21<-match found! before write for 0103000c.297a35f2.626a39fc.00000002(9b6c7e40)
(25194|25222) pub21  waiting for acks
(25194|25222) pub21  waiting for acks returned
(25194|25222) pub21<-delete_contained_entities
(25194|25222) pub21<-delete_participant
(25194|25215) pub15<-match found! before write for 0103000c.297a35f2.626a39f6.00000002(d1dc66e1)
(25194|25215) pub15  waiting for acks
(25194|25202) pub3<-match found! before write for 0103000c.297a35f2.626a39eb.00000002(49ac35d2)
(25194|25202) pub3  waiting for acks
(25194|25215) pub15  waiting for acks returned
(25194|25215) pub15<-delete_contained_entities
(25194|25202) pub3  waiting for acks returned
(25194|25202) pub3<-delete_contained_entities
(25194|25215) pub15<-delete_participant
(25194|25202) pub3<-delete_participant
(25194|25211) pub12<-match found! before write for 0103000c.297a35f2.626a39f3.00000002(193ce991)
(25194|25211) pub12  waiting for acks
(25194|25221) pub22<-match found! before write for 0103000c.297a35f2.626a39ff.00000002(dccc0490)
(25194|25221) pub22  waiting for acks
(25194|25228) pub28<-match found! before write for 0103000c.297a35f2.626a3a03.00000002(d79ccb62)
(25194|25228) pub28  waiting for acks
(25194|25211) pub12  waiting for acks returned
(25194|25211) pub12<-delete_contained_entities
(25194|25221) pub22  waiting for acks returned
(25194|25221) pub22<-delete_contained_entities
(25194|25224) pub24<-match found! before write for 0103000c.297a35f2.626a39fd.00000002(a60c57f0)
(25194|25224) pub24  waiting for acks
(25194|25223) pub23<-match found! before write for 0103000c.297a35f2.626a3a01.00000002(ad5c9802)
(25194|25223) pub23  waiting for acks
(25194|25225) pub25<-match found! before write for 0103000c.297a35f2.626a3a05.00000002(58dc3ec2)
(25194|25225) pub25  waiting for acks
(25194|25207) pub2<-match found! before write for 0103000c.297a35f2.626a39e8.00000002(0e0c4f02)
(25194|25207) pub2  waiting for acks
(25194|25208) pub11<-match found! before write for 0103000c.297a35f2.626a39f2.00000002(245cc021)
(25194|25208) pub11  waiting for acks
(25194|25220) pub20<-match found! before write for 0103000c.297a35f2.626a39fb.00000002(294ca250)
(25194|25220) pub20  waiting for acks
(25194|25211) pub12<-delete_participant
(25194|25221) pub22<-delete_participant
(25194|25230) pub30<-match found! before write for 0103000c.297a35f2.626a39fe.00000002(e1ac2d20)
(25194|25230) pub30  waiting for acks
(25194|25226) pub26<-match found! before write for 0103000c.297a35f2.626a3a00.00000002(903cb1b2)
(25194|25226) pub26  waiting for acks
(25194|25223) pub23  waiting for acks returned
(25194|25223) pub23<-delete_contained_entities
(25194|25216) pub16<-match found! before write for 0103000c.297a35f2.626a39f8.00000002(6eecd880)
(25194|25216) pub16  waiting for acks
(25194|25224) pub24  waiting for acks returned
(25194|25224) pub24<-delete_contained_entities
(25194|25207) pub2  waiting for acks returned
(25194|25207) pub2<-delete_contained_entities
(25194|25208) pub11  waiting for acks returned
(25194|25208) pub11<-delete_contained_entities
(25194|25218) pub18<-match found! before write for 0103000c.297a35f2.626a39fa.00000002(142c8be0)
(25194|25218) pub18  waiting for acks
(25194|25231) pub31<-match found! before write for 0103000c.297a35f2.626a3a04.00000002(65bc1772)
(25194|25231) pub31  waiting for acks
(25194|25210) pub7<-match found! before write for 0103000c.297a35f2.626a39ee.00000002(814cbaa2)
(25194|25210) pub7  waiting for acks
(25194|25223) pub23<-delete_participant
(25194|25227) pub27<-match found! before write for 0103000c.297a35f2.626a3a02.00000002(eafce2d2)
(25194|25227) pub27  waiting for acks
(25194|25228) pub28  waiting for acks returned
(25194|25228) pub28<-delete_contained_entities
(25194|25206) pub4<-match found! before write for 0103000c.297a35f2.626a39ea.00000002(74cc1c62)
(25194|25206) pub4  waiting for acks
(25194|25230) pub30  waiting for acks returned
(25194|25230) pub30<-delete_contained_entities
(25194|25226) pub26  waiting for acks returned
(25194|25226) pub26<-delete_contained_entities
(25194|25224) pub24<-delete_participant
(25194|25207) pub2<-delete_participant
(25194|25218) pub18  waiting for acks returned
(25194|25218) pub18<-delete_contained_entities
(25194|25208) pub11<-delete_participant
(25194|25204) pub1<-match found! before write for 0103000c.297a35f2.626a39e9.00000002(336c66b2)
(25194|25204) pub1  waiting for acks
(25194|25210) pub7  waiting for acks returned
(25194|25210) pub7<-delete_contained_entities
(25194|25227) pub27  waiting for acks returned
(25194|25227) pub27<-delete_contained_entities
(25194|25214) pub14<-match found! before write for 0103000c.297a35f2.626a39f5.00000002(967c1c31)
(25194|25214) pub14  waiting for acks
(25194|25209) pub5<-match found! before write for 0103000c.297a35f2.626a39ec.00000002(fb8ce9c2)
(25194|25209) pub5  waiting for acks
(25194|25206) pub4  waiting for acks returned
(25194|25206) pub4<-delete_contained_entities
(25194|25231) pub31  waiting for acks returned
(25194|25231) pub31<-delete_contained_entities
(25194|25229) pub29<-match found! before write for 0103000c.297a35f2.626a3a06.00000002(1f7c4412)
(25194|25229) pub29  waiting for acks
(25194|25228) pub28<-delete_participant
(25194|25219) pub19<-match found! before write for 0103000c.297a35f2.626a39f9.00000002(538cf130)
(25194|25219) pub19  waiting for acks
(25194|25225) pub25  waiting for acks returned
(25194|25225) pub25<-delete_contained_entities
(25194|25230) pub30<-delete_participant
(25194|25204) pub1  waiting for acks returned
(25194|25204) pub1<-delete_contained_entities
(25194|25226) pub26<-delete_participant
(25194|25220) pub20  waiting for acks returned
(25194|25220) pub20<-delete_contained_entities
(25194|25200) pub0<-match found! before write for 0103000c.297a35f2.626a39e7.00000002(8c5cd8d3)
(25194|25200) pub0  waiting for acks
(25194|25218) pub18<-delete_participant
(25194|25209) pub5  waiting for acks returned
(25194|25209) pub5<-delete_contained_entities
(25194|25210) pub7<-delete_participant
(25194|25213) pub9<-match found! before write for 0103000c.297a35f2.626a39f0.00000002(5e9c9341)
(25194|25213) pub9  waiting for acks
(25194|25217) pub17<-match found! before write for 0103000c.297a35f2.626a39f7.00000002(ecbc4f51)
(25194|25217) pub17  waiting for acks
(25194|25216) pub16  waiting for acks returned
(25194|25216) pub16<-delete_contained_entities
(25194|25227) pub27<-delete_participant
(25194|25212) pub13<-match found! before write for 0103000c.297a35f2.626a39f4.00000002(ab1c3581)
(25194|25212) pub13  waiting for acks
(25194|25206) pub4<-delete_participant
(25194|25231) pub31<-delete_participant
(25194|25219) pub19  waiting for acks returned
(25194|25219) pub19<-delete_contained_entities
(25194|25201) pub6<-match found! before write for 0103000c.297a35f2.626a39ed.00000002(c6ecc072)
(25194|25201) pub6  waiting for acks
(25194|25229) pub29  waiting for acks returned
(25194|25229) pub29<-delete_contained_entities
(25194|25203) pub10<-match found! before write for 0103000c.297a35f2.626a39f1.00000002(63fcbaf1)
(25194|25203) pub10  waiting for acks
(25194|25200) pub0  waiting for acks returned
(25194|25200) pub0<-delete_contained_entities
(25194|25214) pub14  waiting for acks returned
(25194|25214) pub14<-delete_contained_entities
(25194|25205) pub8<-match found! before write for 0103000c.297a35f2.626a39ef.00000002(bc2c9312)
(25194|25205) pub8  waiting for acks
(25194|25213) pub9  waiting for acks returned
(25194|25213) pub9<-delete_contained_entities
(25194|25225) pub25<-delete_participant
(25194|25217) pub17  waiting for acks returned
(25194|25217) pub17<-delete_contained_entities
(25194|25204) pub1<-delete_participant
(25194|25220) pub20<-delete_participant
(25194|25209) pub5<-delete_participant
(25194|25216) pub16<-delete_participant
(25194|25199) sub condition_.notify_all
(25194|25194) sub condition_.wait returned
(25194|25194) sub check_received
(25194|25194) sub check_received returns 0
(25194|25194) <- PublisherService::end
(25194|25212) pub13  waiting for acks returned
(25194|25212) pub13<-delete_contained_entities
(25194|25219) pub19<-delete_participant
(25194|25201) pub6  waiting for acks returned
(25194|25201) pub6<-delete_contained_entities
(25194|25203) pub10  waiting for acks returned
(25194|25203) pub10<-delete_contained_entities
(25194|25205) pub8  waiting for acks returned
(25194|25205) pub8<-delete_contained_entities
(25194|25229) pub29<-delete_participant
(25194|25200) pub0<-delete_participant
(25194|25214) pub14<-delete_participant
(25194|25213) pub9<-delete_participant
(25194|25217) pub17<-delete_participant
(25194|25212) pub13<-delete_participant
(25194|25201) pub6<-delete_participant
(25194|25203) pub10<-delete_participant
(25194|25205) pub8<-delete_participant
(25194|25194) <- PublisherService::~PublisherService
(25194|25194) <- Subscriber delete_contained_entities
(25194|25194) <- Subscriber delete_participant
(25194|25194) <- Subscriber::~Subscriber
(25194|25194) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl high rtps durable Time:17s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl aggressive rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 64 -s 16 -n 1024 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 25363 started at 2023-04-28 22:45:50
(25363|25363) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(25363|25363) -> Thrasher started
(25363|25363) -> Subscriber::Subscriber
(25363|25363)    Subscriber reader id: 0103000c.297a35f2.6313dc65.00000007(c4c3d0f9)
(25363|25363) -> PublisherService::PublisherService
(25363|25363) -> PublisherService::start (64 threads)
(25363|25363) sub wait_received 0:64
(25363|25369) pub0->transport cfg_0
(25363|25370) pub1->transport cfg_1
(25363|25377) pub8->transport cfg_8
(25363|25378) pub10->transport cfg_10
(25363|25372) pub3->transport cfg_3
(25363|25379) pub9->transport cfg_9
(25363|25373) pub4->transport cfg_4
(25363|25381) pub12->transport cfg_12
(25363|25375) pub6->transport cfg_6
(25363|25371) pub2->transport cfg_2
(25363|25374) pub5->transport cfg_5
(25363|25376) pub7->transport cfg_7
(25363|25382) pub13->transport cfg_13
(25363|25380) pub11->transport cfg_11
(25363|25384) pub15->transport cfg_15
(25363|25378) pub10  writer id: 0103000c.297a35f2.6313dc6f.00000002(fe193cd7)
(25363|25378) pub10->started
(25363|25378) pub10  12% (2 samples sent)
(25363|25378) pub10  25% (4 samples sent)
(25363|25378) pub10  31% (5 samples sent)
(25363|25378) pub10  43% (7 samples sent)
(25363|25378) pub10  50% (8 samples sent)
(25363|25378) pub10  62% (10 samples sent)
(25363|25378) pub10  75% (12 samples sent)
(25363|25378) pub10  81% (13 samples sent)
(25363|25378) pub10  93% (15 samples sent)
(25363|25378) pub10  100% (16 samples sent)
(25363|25378) pub10->wait_match() before write for 0103000c.297a35f2.6313dc6f.00000002(fe193cd7)
(25363|25374) pub5  writer id: 0103000c.297a35f2.6313dc6b.00000002(0b999a17)
(25363|25374) pub5->started
(25363|25374) pub5  12% (2 samples sent)
(25363|25374) pub5  25% (4 samples sent)
(25363|25374) pub5  31% (5 samples sent)
(25363|25374) pub5  43% (7 samples sent)
(25363|25374) pub5  50% (8 samples sent)
(25363|25374) pub5  62% (10 samples sent)
(25363|25374) pub5  75% (12 samples sent)
(25363|25374) pub5  81% (13 samples sent)
(25363|25374) pub5  93% (15 samples sent)
(25363|25374) pub5  100% (16 samples sent)
(25363|25374) pub5->wait_match() before write for 0103000c.297a35f2.6313dc6b.00000002(0b999a17)
(25363|25370) pub1  writer id: 0103000c.297a35f2.6313dc67.00000002(ce697716)
(25363|25370) pub1->started
(25363|25370) pub1  12% (2 samples sent)
(25363|25370) pub1  25% (4 samples sent)
(25363|25370) pub1  31% (5 samples sent)
(25363|25370) pub1  43% (7 samples sent)
(25363|25370) pub1  50% (8 samples sent)
(25363|25370) pub1  62% (10 samples sent)
(25363|25370) pub1  75% (12 samples sent)
(25363|25370) pub1  81% (13 samples sent)
(25363|25370) pub1  93% (15 samples sent)
(25363|25370) pub1  100% (16 samples sent)
(25363|25370) pub1->wait_match() before write for 0103000c.297a35f2.6313dc67.00000002(ce697716)
(25363|25369) pub0  writer id: 0103000c.297a35f2.6313dc66.00000002(f3095ea6)
(25363|25369) pub0->started
(25363|25369) pub0  12% (2 samples sent)
(25363|25369) pub0  25% (4 samples sent)
(25363|25369) pub0  31% (5 samples sent)
(25363|25369) pub0  43% (7 samples sent)
(25363|25369) pub0  50% (8 samples sent)
(25363|25375) pub6  writer id: 0103000c.297a35f2.6313dc6c.00000002(b9b94607)
(25363|25375) pub6->started
(25363|25375) pub6  12% (2 samples sent)
(25363|25375) pub6  25% (4 samples sent)
(25363|25375) pub6  31% (5 samples sent)
(25363|25375) pub6  43% (7 samples sent)
(25363|25375) pub6  50% (8 samples sent)
(25363|25375) pub6  62% (10 samples sent)
(25363|25375) pub6  75% (12 samples sent)
(25363|25375) pub6  81% (13 samples sent)
(25363|25375) pub6  93% (15 samples sent)
(25363|25375) pub6  100% (16 samples sent)
(25363|25375) pub6->wait_match() before write for 0103000c.297a35f2.6313dc6c.00000002(b9b94607)
(25363|25369) pub0  62% (10 samples sent)
(25363|25369) pub0  75% (12 samples sent)
(25363|25369) pub0  81% (13 samples sent)
(25363|25369) pub0  93% (15 samples sent)
(25363|25369) pub0  100% (16 samples sent)
(25363|25369) pub0->wait_match() before write for 0103000c.297a35f2.6313dc66.00000002(f3095ea6)
(25363|25377) pub8  writer id: 0103000c.297a35f2.6313dc6e.00000002(c3791567)
(25363|25381) pub12  writer id: 0103000c.297a35f2.6313dc72.00000002(66696fe4)
(25363|25372) pub3  writer id: 0103000c.297a35f2.6313dc69.00000002(7159c977)
(25363|25372) pub3->started
(25363|25372) pub3  12% (2 samples sent)
(25363|25372) pub3  25% (4 samples sent)
(25363|25372) pub3  31% (5 samples sent)
(25363|25372) pub3  43% (7 samples sent)
(25363|25372) pub3  50% (8 samples sent)
(25363|25372) pub3  62% (10 samples sent)
(25363|25372) pub3  75% (12 samples sent)
(25363|25372) pub3  81% (13 samples sent)
(25363|25372) pub3  93% (15 samples sent)
(25363|25372) pub3  100% (16 samples sent)
(25363|25372) pub3->wait_match() before write for 0103000c.297a35f2.6313dc69.00000002(7159c977)
(25363|25385) pub16->transport cfg_16
(25363|25377) pub8->started
(25363|25377) pub8  12% (2 samples sent)
(25363|25377) pub8  25% (4 samples sent)
(25363|25377) pub8  31% (5 samples sent)
(25363|25377) pub8  43% (7 samples sent)
(25363|25377) pub8  50% (8 samples sent)
(25363|25377) pub8  62% (10 samples sent)
(25363|25377) pub8  75% (12 samples sent)
(25363|25377) pub8  81% (13 samples sent)
(25363|25377) pub8  93% (15 samples sent)
(25363|25377) pub8  100% (16 samples sent)
(25363|25377) pub8->wait_match() before write for 0103000c.297a35f2.6313dc6e.00000002(c3791567)
(25363|25373) pub4  writer id: 0103000c.297a35f2.6313dc6a.00000002(36f9b3a7)
(25363|25373) pub4->started
(25363|25381) pub12->started
(25363|25381) pub12  12% (2 samples sent)
(25363|25381) pub12  25% (4 samples sent)
(25363|25381) pub12  31% (5 samples sent)
(25363|25381) pub12  43% (7 samples sent)
(25363|25381) pub12  50% (8 samples sent)
(25363|25381) pub12  62% (10 samples sent)
(25363|25381) pub12  75% (12 samples sent)
(25363|25381) pub12  81% (13 samples sent)
(25363|25381) pub12  93% (15 samples sent)
(25363|25381) pub12  100% (16 samples sent)
(25363|25381) pub12->wait_match() before write for 0103000c.297a35f2.6313dc72.00000002(66696fe4)
(25363|25379) pub9  writer id: 0103000c.297a35f2.6313dc70.00000002(1ca93c84)
(25363|25379) pub9->started
(25363|25379) pub9  12% (2 samples sent)
(25363|25379) pub9  25% (4 samples sent)
(25363|25379) pub9  31% (5 samples sent)
(25363|25379) pub9  43% (7 samples sent)
(25363|25379) pub9  50% (8 samples sent)
(25363|25379) pub9  62% (10 samples sent)
(25363|25379) pub9  75% (12 samples sent)
(25363|25379) pub9  81% (13 samples sent)
(25363|25379) pub9  93% (15 samples sent)
(25363|25379) pub9  100% (16 samples sent)
(25363|25379) pub9->wait_match() before write for 0103000c.297a35f2.6313dc70.00000002(1ca93c84)
(25363|25373) pub4  12% (2 samples sent)
(25363|25373) pub4  25% (4 samples sent)
(25363|25373) pub4  31% (5 samples sent)
(25363|25373) pub4  43% (7 samples sent)
(25363|25373) pub4  50% (8 samples sent)
(25363|25373) pub4  62% (10 samples sent)
(25363|25373) pub4  75% (12 samples sent)
(25363|25373) pub4  81% (13 samples sent)
(25363|25373) pub4  93% (15 samples sent)
(25363|25373) pub4  100% (16 samples sent)
(25363|25373) pub4->wait_match() before write for 0103000c.297a35f2.6313dc6a.00000002(36f9b3a7)
(25363|25383) pub14->transport cfg_14
(25363|25371) pub2  writer id: 0103000c.297a35f2.6313dc68.00000002(4c39e0c7)
(25363|25371) pub2->started
(25363|25371) pub2  12% (2 samples sent)
(25363|25371) pub2  25% (4 samples sent)
(25363|25371) pub2  31% (5 samples sent)
(25363|25371) pub2  43% (7 samples sent)
(25363|25371) pub2  50% (8 samples sent)
(25363|25371) pub2  62% (10 samples sent)
(25363|25371) pub2  75% (12 samples sent)
(25363|25371) pub2  81% (13 samples sent)
(25363|25371) pub2  93% (15 samples sent)
(25363|25371) pub2  100% (16 samples sent)
(25363|25371) pub2->wait_match() before write for 0103000c.297a35f2.6313dc68.00000002(4c39e0c7)
(25363|25382) pub13  writer id: 0103000c.297a35f2.6313dc73.00000002(5b094654)
(25363|25382) pub13->started
(25363|25382) pub13  12% (2 samples sent)
(25363|25382) pub13  25% (4 samples sent)
(25363|25382) pub13  31% (5 samples sent)
(25363|25382) pub13  43% (7 samples sent)
(25363|25382) pub13  50% (8 samples sent)
(25363|25382) pub13  62% (10 samples sent)
(25363|25382) pub13  75% (12 samples sent)
(25363|25382) pub13  81% (13 samples sent)
(25363|25382) pub13  93% (15 samples sent)
(25363|25382) pub13  100% (16 samples sent)
(25363|25382) pub13->wait_match() before write for 0103000c.297a35f2.6313dc73.00000002(5b094654)
(25363|25380) pub11  writer id: 0103000c.297a35f2.6313dc71.00000002(21c91534)
(25363|25380) pub11->started
(25363|25380) pub11  12% (2 samples sent)
(25363|25380) pub11  25% (4 samples sent)
(25363|25380) pub11  31% (5 samples sent)
(25363|25380) pub11  43% (7 samples sent)
(25363|25380) pub11  50% (8 samples sent)
(25363|25380) pub11  62% (10 samples sent)
(25363|25380) pub11  75% (12 samples sent)
(25363|25380) pub11  81% (13 samples sent)
(25363|25380) pub11  93% (15 samples sent)
(25363|25380) pub11  100% (16 samples sent)
(25363|25380) pub11->wait_match() before write for 0103000c.297a35f2.6313dc71.00000002(21c91534)
(25363|25384) pub15  writer id: 0103000c.297a35f2.6313dc75.00000002(d449b3f4)
(25363|25384) pub15->started
(25363|25384) pub15  12% (2 samples sent)
(25363|25384) pub15  25% (4 samples sent)
(25363|25384) pub15  31% (5 samples sent)
(25363|25384) pub15  43% (7 samples sent)
(25363|25384) pub15  50% (8 samples sent)
(25363|25384) pub15  62% (10 samples sent)
(25363|25384) pub15  75% (12 samples sent)
(25363|25384) pub15  81% (13 samples sent)
(25363|25384) pub15  93% (15 samples sent)
(25363|25384) pub15  100% (16 samples sent)
(25363|25384) pub15->wait_match() before write for 0103000c.297a35f2.6313dc75.00000002(d449b3f4)
(25363|25407) pub34->transport cfg_34
(25363|25376) pub7  writer id: 0103000c.297a35f2.6313dc6d.00000002(84d96fb7)
(25363|25376) pub7->started
(25363|25376) pub7  12% (2 samples sent)
(25363|25376) pub7  25% (4 samples sent)
(25363|25376) pub7  31% (5 samples sent)
(25363|25376) pub7  43% (7 samples sent)
(25363|25376) pub7  50% (8 samples sent)
(25363|25376) pub7  62% (10 samples sent)
(25363|25376) pub7  75% (12 samples sent)
(25363|25376) pub7  81% (13 samples sent)
(25363|25376) pub7  93% (15 samples sent)
(25363|25376) pub7  100% (16 samples sent)
(25363|25376) pub7->wait_match() before write for 0103000c.297a35f2.6313dc6d.00000002(84d96fb7)
(25363|25416) pub41->transport cfg_41
(25363|25385) pub16  writer id: 0103000c.297a35f2.6313dc76.00000002(93e9c924)
(25363|25385) pub16->started
(25363|25385) pub16  12% (2 samples sent)
(25363|25385) pub16  25% (4 samples sent)
(25363|25385) pub16  31% (5 samples sent)
(25363|25385) pub16  43% (7 samples sent)
(25363|25385) pub16  50% (8 samples sent)
(25363|25385) pub16  62% (10 samples sent)
(25363|25385) pub16  75% (12 samples sent)
(25363|25385) pub16  81% (13 samples sent)
(25363|25385) pub16  93% (15 samples sent)
(25363|25385) pub16  100% (16 samples sent)
(25363|25385) pub16->wait_match() before write for 0103000c.297a35f2.6313dc76.00000002(93e9c924)
(25363|25396) pub27->transport cfg_27
(25363|25387) pub17->transport cfg_17
(25363|25383) pub14  writer id: 0103000c.297a35f2.6313dc74.00000002(e9299a44)
(25363|25383) pub14->started
(25363|25389) pub20->transport cfg_20
(25363|25383) pub14  12% (2 samples sent)
(25363|25383) pub14  25% (4 samples sent)
(25363|25383) pub14  31% (5 samples sent)
(25363|25383) pub14  43% (7 samples sent)
(25363|25383) pub14  50% (8 samples sent)
(25363|25383) pub14  62% (10 samples sent)
(25363|25383) pub14  75% (12 samples sent)
(25363|25383) pub14  81% (13 samples sent)
(25363|25383) pub14  93% (15 samples sent)
(25363|25383) pub14  100% (16 samples sent)
(25363|25383) pub14->wait_match() before write for 0103000c.297a35f2.6313dc74.00000002(e9299a44)
(25363|25391) pub23->transport cfg_23
(25363|25395) pub26->transport cfg_26
(25363|25393) pub24->transport cfg_24
(25363|25397) pub28->transport cfg_28
(25363|25409) pub36->transport cfg_36
(25363|25415) pub40->transport cfg_40
(25363|25412) pub37->transport cfg_37
(25363|25442) pub63->transport cfg_63
(25363|25422) pub46->transport cfg_46
(25363|25403) pub33->transport cfg_33
(25363|25394) pub25->transport cfg_25
(25363|25388) pub19->transport cfg_19
(25363|25400) pub31->transport cfg_31
(25363|25390) pub21->transport cfg_21
(25363|25419) pub43->transport cfg_43
(25363|25417) pub42->transport cfg_42
(25363|25423) pub47->transport cfg_47
(25363|25441) pub62->transport cfg_62
(25363|25414) pub39->transport cfg_39
(25363|25427) pub50->transport cfg_50
(25363|25432) pub56->transport cfg_56
(25363|25426) pub49->transport cfg_49
(25363|25399) pub29->transport cfg_29
(25363|25407) pub34  writer id: 0103000c.297a35f2.6313dc83.00000002(133d1609)
(25363|25407) pub34->started
(25363|25407) pub34  12% (2 samples sent)
(25363|25407) pub34  25% (4 samples sent)
(25363|25407) pub34  31% (5 samples sent)
(25363|25407) pub34  43% (7 samples sent)
(25363|25407) pub34  50% (8 samples sent)
(25363|25407) pub34  62% (10 samples sent)
(25363|25407) pub34  75% (12 samples sent)
(25363|25407) pub34  81% (13 samples sent)
(25363|25407) pub34  93% (15 samples sent)
(25363|25407) pub34  100% (16 samples sent)
(25363|25407) pub34->wait_match() before write for 0103000c.297a35f2.6313dc83.00000002(133d1609)
(25363|25428) pub51->transport cfg_51
(25363|25434) pub54->transport cfg_54
(25363|25439) pub58->transport cfg_58
(25363|25386) pub18->transport cfg_18
(25363|25392) pub22->transport cfg_22
(25363|25408) pub35->transport cfg_35
(25363|25411) pub38->transport cfg_38
(25363|25421) pub45->transport cfg_45
(25363|25401) pub30->transport cfg_30
(25363|25431) pub55->transport cfg_55
(25363|25433) pub57->transport cfg_57
(25363|25387) pub17  writer id: 0103000c.297a35f2.6313dc79.00000002(11b95ef5)
(25363|25391) pub23  writer id: 0103000c.297a35f2.6313dc82.00000002(2e5d3fb9)
(25363|25402) pub32->transport cfg_32
(25363|25416) pub41  writer id: 0103000c.297a35f2.6313dc8f.00000002(d6cdfb08)
(25363|25416) pub41->started
(25363|25416) pub41  12% (2 samples sent)
(25363|25416) pub41  25% (4 samples sent)
(25363|25416) pub41  31% (5 samples sent)
(25363|25416) pub41  43% (7 samples sent)
(25363|25416) pub41  50% (8 samples sent)
(25363|25416) pub41  62% (10 samples sent)
(25363|25416) pub41  75% (12 samples sent)
(25363|25416) pub41  81% (13 samples sent)
(25363|25416) pub41  93% (15 samples sent)
(25363|25416) pub41  100% (16 samples sent)
(25363|25416) pub41->wait_match() before write for 0103000c.297a35f2.6313dc8f.00000002(d6cdfb08)
(25363|25389) pub20  writer id: 0103000c.297a35f2.6313dc7a.00000002(56192425)
(25363|25389) pub20->started
(25363|25435) pub60->transport cfg_60
(25363|25396) pub27  writer id: 0103000c.297a35f2.6313dc7f.00000002(9ef9ab55)
(25363|25396) pub27->started
(25363|25420) pub44->transport cfg_44
(25363|25429) pub52->transport cfg_52
(25363|25436) pub59->transport cfg_59
(25363|25415) pub40  writer id: 0103000c.297a35f2.6313dc90.00000002(347dfb5b)
(25363|25415) pub40->started
(25363|25397) pub28  writer id: 0103000c.297a35f2.6313dc81.00000002(69fd4569)
(25363|25397) pub28->started
(25363|25397) pub28  12% (2 samples sent)
(25363|25397) pub28  25% (4 samples sent)
(25363|25397) pub28  31% (5 samples sent)
(25363|25397) pub28  43% (7 samples sent)
(25363|25397) pub28  50% (8 samples sent)
(25363|25397) pub28  62% (10 samples sent)
(25363|25397) pub28  75% (12 samples sent)
(25363|25397) pub28  81% (13 samples sent)
(25363|25397) pub28  93% (15 samples sent)
(25363|25397) pub28  100% (16 samples sent)
(25363|25397) pub28->wait_match() before write for 0103000c.297a35f2.6313dc81.00000002(69fd4569)
(25363|25425) pub48->transport cfg_48
(25363|25387) pub17->started
(25363|25387) pub17  12% (2 samples sent)
(25363|25387) pub17  25% (4 samples sent)
(25363|25391) pub23->started
(25363|25391) pub23  12% (2 samples sent)
(25363|25393) pub24  writer id: 0103000c.297a35f2.6313dc7c.00000002(d959d185)
(25363|25393) pub24->started
(25363|25393) pub24  12% (2 samples sent)
(25363|25393) pub24  25% (4 samples sent)
(25363|25393) pub24  31% (5 samples sent)
(25363|25393) pub24  43% (7 samples sent)
(25363|25393) pub24  50% (8 samples sent)
(25363|25393) pub24  62% (10 samples sent)
(25363|25442) pub63  writer id: 0103000c.297a35f2.6313dca5.00000002(5dbcccad)
(25363|25442) pub63->started
(25363|25442) pub63  12% (2 samples sent)
(25363|25442) pub63  25% (4 samples sent)
(25363|25442) pub63  31% (5 samples sent)
(25363|25442) pub63  43% (7 samples sent)
(25363|25442) pub63  50% (8 samples sent)
(25363|25442) pub63  62% (10 samples sent)
(25363|25442) pub63  75% (12 samples sent)
(25363|25442) pub63  81% (13 samples sent)
(25363|25442) pub63  93% (15 samples sent)
(25363|25442) pub63  100% (16 samples sent)
(25363|25442) pub63->wait_match() before write for 0103000c.297a35f2.6313dca5.00000002(5dbcccad)
(25363|25403) pub33  writer id: 0103000c.297a35f2.6313dc8a.00000002(1e2d7478)
(25363|25403) pub33->started
(25363|25403) pub33  12% (2 samples sent)
(25363|25403) pub33  25% (4 samples sent)
(25363|25403) pub33  31% (5 samples sent)
(25363|25403) pub33  43% (7 samples sent)
(25363|25403) pub33  50% (8 samples sent)
(25363|25403) pub33  62% (10 samples sent)
(25363|25403) pub33  75% (12 samples sent)
(25363|25403) pub33  81% (13 samples sent)
(25363|25403) pub33  93% (15 samples sent)
(25363|25403) pub33  100% (16 samples sent)
(25363|25403) pub33->wait_match() before write for 0103000c.297a35f2.6313dc8a.00000002(1e2d7478)
(25363|25412) pub37  writer id: 0103000c.297a35f2.6313dc8c.00000002(916d81d8)
(25363|25412) pub37->started
(25363|25412) pub37  12% (2 samples sent)
(25363|25412) pub37  25% (4 samples sent)
(25363|25412) pub37  31% (5 samples sent)
(25363|25412) pub37  43% (7 samples sent)
(25363|25412) pub37  50% (8 samples sent)
(25363|25412) pub37  62% (10 samples sent)
(25363|25422) pub46  writer id: 0103000c.297a35f2.6313dc94.00000002(c1fd5d9b)
(25363|25422) pub46->started
(25363|25422) pub46  12% (2 samples sent)
(25363|25422) pub46  25% (4 samples sent)
(25363|25422) pub46  31% (5 samples sent)
(25363|25422) pub46  43% (7 samples sent)
(25363|25422) pub46  50% (8 samples sent)
(25363|25422) pub46  62% (10 samples sent)
(25363|25422) pub46  75% (12 samples sent)
(25363|25422) pub46  81% (13 samples sent)
(25363|25422) pub46  93% (15 samples sent)
(25363|25422) pub46  100% (16 samples sent)
(25363|25422) pub46->wait_match() before write for 0103000c.297a35f2.6313dc94.00000002(c1fd5d9b)
(25363|25389) pub20  12% (2 samples sent)
(25363|25389) pub20  25% (4 samples sent)
(25363|25389) pub20  31% (5 samples sent)
(25363|25389) pub20  43% (7 samples sent)
(25363|25389) pub20  50% (8 samples sent)
(25363|25389) pub20  62% (10 samples sent)
(25363|25389) pub20  75% (12 samples sent)
(25363|25389) pub20  81% (13 samples sent)
(25363|25389) pub20  93% (15 samples sent)
(25363|25389) pub20  100% (16 samples sent)
(25363|25389) pub20->wait_match() before write for 0103000c.297a35f2.6313dc7a.00000002(56192425)
(25363|25396) pub27  12% (2 samples sent)
(25363|25396) pub27  25% (4 samples sent)
(25363|25396) pub27  31% (5 samples sent)
(25363|25396) pub27  43% (7 samples sent)
(25363|25396) pub27  50% (8 samples sent)
(25363|25396) pub27  62% (10 samples sent)
(25363|25396) pub27  75% (12 samples sent)
(25363|25396) pub27  81% (13 samples sent)
(25363|25396) pub27  93% (15 samples sent)
(25363|25430) pub53->transport cfg_53
(25363|25400) pub31  writer id: 0103000c.297a35f2.6313dc84.00000002(a11dca19)
(25363|25400) pub31->started
(25363|25400) pub31  12% (2 samples sent)
(25363|25400) pub31  25% (4 samples sent)
(25363|25400) pub31  31% (5 samples sent)
(25363|25400) pub31  43% (7 samples sent)
(25363|25400) pub31  50% (8 samples sent)
(25363|25400) pub31  62% (10 samples sent)
(25363|25400) pub31  75% (12 samples sent)
(25363|25400) pub31  81% (13 samples sent)
(25363|25400) pub31  93% (15 samples sent)
(25363|25400) pub31  100% (16 samples sent)
(25363|25400) pub31->wait_match() before write for 0103000c.297a35f2.6313dc84.00000002(a11dca19)
(25363|25394) pub25  writer id: 0103000c.297a35f2.6313dc7e.00000002(a39982e5)
(25363|25394) pub25->started
(25363|25394) pub25  12% (2 samples sent)
(25363|25394) pub25  25% (4 samples sent)
(25363|25394) pub25  31% (5 samples sent)
(25363|25394) pub25  43% (7 samples sent)
(25363|25394) pub25  50% (8 samples sent)
(25363|25394) pub25  62% (10 samples sent)
(25363|25394) pub25  75% (12 samples sent)
(25363|25394) pub25  81% (13 samples sent)
(25363|25394) pub25  93% (15 samples sent)
(25363|25394) pub25  100% (16 samples sent)
(25363|25394) pub25->wait_match() before write for 0103000c.297a35f2.6313dc7e.00000002(a39982e5)
(25363|25440) pub61->transport cfg_61
(25363|25415) pub40  12% (2 samples sent)
(25363|25415) pub40  25% (4 samples sent)
(25363|25415) pub40  31% (5 samples sent)
(25363|25415) pub40  43% (7 samples sent)
(25363|25415) pub40  50% (8 samples sent)
(25363|25415) pub40  62% (10 samples sent)
(25363|25415) pub40  75% (12 samples sent)
(25363|25415) pub40  81% (13 samples sent)
(25363|25415) pub40  93% (15 samples sent)
(25363|25415) pub40  100% (16 samples sent)
(25363|25415) pub40->wait_match() before write for 0103000c.297a35f2.6313dc90.00000002(347dfb5b)
(25363|25395) pub26  writer id: 0103000c.297a35f2.6313dc80.00000002(549d6cd9)
(25363|25395) pub26->started
(25363|25409) pub36  writer id: 0103000c.297a35f2.6313dc89.00000002(598d0ea8)
(25363|25409) pub36->started
(25363|25409) pub36  12% (2 samples sent)
(25363|25409) pub36  25% (4 samples sent)
(25363|25409) pub36  31% (5 samples sent)
(25363|25409) pub36  43% (7 samples sent)
(25363|25409) pub36  50% (8 samples sent)
(25363|25409) pub36  62% (10 samples sent)
(25363|25409) pub36  75% (12 samples sent)
(25363|25409) pub36  81% (13 samples sent)
(25363|25409) pub36  93% (15 samples sent)
(25363|25409) pub36  100% (16 samples sent)
(25363|25409) pub36->wait_match() before write for 0103000c.297a35f2.6313dc89.00000002(598d0ea8)
(25363|25387) pub17  31% (5 samples sent)
(25363|25387) pub17  43% (7 samples sent)
(25363|25387) pub17  50% (8 samples sent)
(25363|25387) pub17  62% (10 samples sent)
(25363|25387) pub17  75% (12 samples sent)
(25363|25387) pub17  81% (13 samples sent)
(25363|25387) pub17  93% (15 samples sent)
(25363|25387) pub17  100% (16 samples sent)
(25363|25387) pub17->wait_match() before write for 0103000c.297a35f2.6313dc79.00000002(11b95ef5)
(25363|25391) pub23  25% (4 samples sent)
(25363|25391) pub23  31% (5 samples sent)
(25363|25391) pub23  43% (7 samples sent)
(25363|25391) pub23  50% (8 samples sent)
(25363|25391) pub23  62% (10 samples sent)
(25363|25391) pub23  75% (12 samples sent)
(25363|25391) pub23  81% (13 samples sent)
(25363|25391) pub23  93% (15 samples sent)
(25363|25391) pub23  100% (16 samples sent)
(25363|25391) pub23->wait_match() before write for 0103000c.297a35f2.6313dc82.00000002(2e5d3fb9)
(25363|25423) pub47  writer id: 0103000c.297a35f2.6313dc95.00000002(fc9d742b)
(25363|25423) pub47->started
(25363|25423) pub47  12% (2 samples sent)
(25363|25423) pub47  25% (4 samples sent)
(25363|25414) pub39  writer id: 0103000c.297a35f2.6313dc8e.00000002(ebadd2b8)
(25363|25393) pub24  75% (12 samples sent)
(25363|25393) pub24  81% (13 samples sent)
(25363|25393) pub24  93% (15 samples sent)
(25363|25393) pub24  100% (16 samples sent)
(25363|25393) pub24->wait_match() before write for 0103000c.297a35f2.6313dc7c.00000002(d959d185)
(25363|25412) pub37  75% (12 samples sent)
(25363|25396) pub27  100% (16 samples sent)
(25363|25432) pub56  writer id: 0103000c.297a35f2.6313dca0.00000002(955c43dd)
(25363|25432) pub56->started
(25363|25432) pub56  12% (2 samples sent)
(25363|25432) pub56  25% (4 samples sent)
(25363|25432) pub56  31% (5 samples sent)
(25363|25432) pub56  43% (7 samples sent)
(25363|25432) pub56  50% (8 samples sent)
(25363|25432) pub56  62% (10 samples sent)
(25363|25432) pub56  75% (12 samples sent)
(25363|25432) pub56  81% (13 samples sent)
(25363|25432) pub56  93% (15 samples sent)
(25363|25432) pub56  100% (16 samples sent)
(25363|25432) pub56->wait_match() before write for 0103000c.297a35f2.6313dca0.00000002(955c43dd)
(25363|25419) pub43  writer id: 0103000c.297a35f2.6313dc8b.00000002(234d5dc8)
(25363|25419) pub43->started
(25363|25419) pub43  12% (2 samples sent)
(25363|25419) pub43  25% (4 samples sent)
(25363|25419) pub43  31% (5 samples sent)
(25363|25419) pub43  43% (7 samples sent)
(25363|25419) pub43  50% (8 samples sent)
(25363|25419) pub43  62% (10 samples sent)
(25363|25419) pub43  75% (12 samples sent)
(25363|25419) pub43  81% (13 samples sent)
(25363|25388) pub19  writer id: 0103000c.297a35f2.6313dc77.00000002(ae89e094)
(25363|25395) pub26  12% (2 samples sent)
(25363|25395) pub26  25% (4 samples sent)
(25363|25395) pub26  31% (5 samples sent)
(25363|25395) pub26  43% (7 samples sent)
(25363|25395) pub26  50% (8 samples sent)
(25363|25395) pub26  62% (10 samples sent)
(25363|25395) pub26  75% (12 samples sent)
(25363|25395) pub26  81% (13 samples sent)
(25363|25395) pub26  93% (15 samples sent)
(25363|25395) pub26  100% (16 samples sent)
(25363|25395) pub26->wait_match() before write for 0103000c.297a35f2.6313dc80.00000002(549d6cd9)
(25363|25427) pub50  writer id: 0103000c.297a35f2.6313dc99.00000002(396d992a)
(25363|25427) pub50->started
(25363|25441) pub62  writer id: 0103000c.297a35f2.6313dc9a.00000002(7ecde3fa)
(25363|25441) pub62->started
(25363|25441) pub62  12% (2 samples sent)
(25363|25441) pub62  25% (4 samples sent)
(25363|25441) pub62  31% (5 samples sent)
(25363|25441) pub62  43% (7 samples sent)
(25363|25441) pub62  50% (8 samples sent)
(25363|25441) pub62  62% (10 samples sent)
(25363|25441) pub62  75% (12 samples sent)
(25363|25441) pub62  81% (13 samples sent)
(25363|25441) pub62  93% (15 samples sent)
(25363|25441) pub62  100% (16 samples sent)
(25363|25426) pub49  writer id: 0103000c.297a35f2.6313dc98.00000002(040db09a)
(25363|25426) pub49->started
(25363|25426) pub49  12% (2 samples sent)
(25363|25426) pub49  25% (4 samples sent)
(25363|25426) pub49  31% (5 samples sent)
(25363|25426) pub49  43% (7 samples sent)
(25363|25426) pub49  50% (8 samples sent)
(25363|25426) pub49  62% (10 samples sent)
(25363|25426) pub49  75% (12 samples sent)
(25363|25426) pub49  81% (13 samples sent)
(25363|25426) pub49  93% (15 samples sent)
(25363|25426) pub49  100% (16 samples sent)
(25363|25426) pub49->wait_match() before write for 0103000c.297a35f2.6313dc98.00000002(040db09a)
(25363|25423) pub47  31% (5 samples sent)
(25363|25423) pub47  43% (7 samples sent)
(25363|25423) pub47  50% (8 samples sent)
(25363|25423) pub47  62% (10 samples sent)
(25363|25423) pub47  75% (12 samples sent)
(25363|25423) pub47  81% (13 samples sent)
(25363|25423) pub47  93% (15 samples sent)
(25363|25423) pub47  100% (16 samples sent)
(25363|25423) pub47->wait_match() before write for 0103000c.297a35f2.6313dc95.00000002(fc9d742b)
(25363|25414) pub39->started
(25363|25414) pub39  12% (2 samples sent)
(25363|25414) pub39  25% (4 samples sent)
(25363|25414) pub39  31% (5 samples sent)
(25363|25414) pub39  43% (7 samples sent)
(25363|25414) pub39  50% (8 samples sent)
(25363|25414) pub39  62% (10 samples sent)
(25363|25414) pub39  75% (12 samples sent)
(25363|25414) pub39  81% (13 samples sent)
(25363|25414) pub39  93% (15 samples sent)
(25363|25414) pub39  100% (16 samples sent)
(25363|25414) pub39->wait_match() before write for 0103000c.297a35f2.6313dc8e.00000002(ebadd2b8)
(25363|25399) pub29  writer id: 0103000c.297a35f2.6313dc87.00000002(e6bdb0c9)
(25363|25399) pub29->started
(25363|25399) pub29  12% (2 samples sent)
(25363|25399) pub29  25% (4 samples sent)
(25363|25399) pub29  31% (5 samples sent)
(25363|25399) pub29  43% (7 samples sent)
(25363|25399) pub29  50% (8 samples sent)
(25363|25399) pub29  62% (10 samples sent)
(25363|25399) pub29  75% (12 samples sent)
(25363|25399) pub29  81% (13 samples sent)
(25363|25399) pub29  93% (15 samples sent)
(25363|25399) pub29  100% (16 samples sent)
(25363|25399) pub29->wait_match() before write for 0103000c.297a35f2.6313dc87.00000002(e6bdb0c9)
(25363|25412) pub37  81% (13 samples sent)
(25363|25412) pub37  93% (15 samples sent)
(25363|25412) pub37  100% (16 samples sent)
(25363|25412) pub37->wait_match() before write for 0103000c.297a35f2.6313dc8c.00000002(916d81d8)
(25363|25428) pub51  writer id: 0103000c.297a35f2.6313dc9b.00000002(43adca4a)
(25363|25428) pub51->started
(25363|25428) pub51  12% (2 samples sent)
(25363|25428) pub51  25% (4 samples sent)
(25363|25428) pub51  31% (5 samples sent)
(25363|25428) pub51  43% (7 samples sent)
(25363|25428) pub51  50% (8 samples sent)
(25363|25428) pub51  62% (10 samples sent)
(25363|25428) pub51  75% (12 samples sent)
(25363|25428) pub51  81% (13 samples sent)
(25363|25428) pub51  93% (15 samples sent)
(25363|25428) pub51  100% (16 samples sent)
(25363|25428) pub51->wait_match() before write for 0103000c.297a35f2.6313dc9b.00000002(43adca4a)
(25363|25396) pub27->wait_match() before write for 0103000c.297a35f2.6313dc7f.00000002(9ef9ab55)
(25363|25419) pub43  93% (15 samples sent)
(25363|25419) pub43  100% (16 samples sent)
(25363|25419) pub43->wait_match() before write for 0103000c.297a35f2.6313dc8b.00000002(234d5dc8)
(25363|25388) pub19->started
(25363|25388) pub19  12% (2 samples sent)
(25363|25431) pub55  writer id: 0103000c.297a35f2.6313dc9f.00000002(b62d6c8a)
(25363|25431) pub55->started
(25363|25388) pub19  25% (4 samples sent)
(25363|25388) pub19  31% (5 samples sent)
(25363|25431) pub55  12% (2 samples sent)
(25363|25388) pub19  43% (7 samples sent)
(25363|25386) pub18  writer id: 0103000c.297a35f2.6313dc78.00000002(2cd97745)
(25363|25386) pub18->started
(25363|25388) pub19  50% (8 samples sent)
(25363|25388) pub19  62% (10 samples sent)
(25363|25388) pub19  75% (12 samples sent)
(25363|25388) pub19  81% (13 samples sent)
(25363|25388) pub19  93% (15 samples sent)
(25363|25388) pub19  100% (16 samples sent)
(25363|25388) pub19->wait_match() before write for 0103000c.297a35f2.6313dc77.00000002(ae89e094)
(25363|25433) pub57  writer id: 0103000c.297a35f2.6313dca1.00000002(a83c6a6d)
(25363|25433) pub57->started
(25363|25433) pub57  12% (2 samples sent)
(25363|25433) pub57  25% (4 samples sent)
(25363|25433) pub57  31% (5 samples sent)
(25363|25433) pub57  43% (7 samples sent)
(25363|25433) pub57  50% (8 samples sent)
(25363|25433) pub57  62% (10 samples sent)
(25363|25435) pub60  writer id: 0103000c.297a35f2.6313dca2.00000002(ef9c10bd)
(25363|25435) pub60->started
(25363|25435) pub60  12% (2 samples sent)
(25363|25435) pub60  25% (4 samples sent)
(25363|25435) pub60  31% (5 samples sent)
(25363|25435) pub60  43% (7 samples sent)
(25363|25435) pub60  50% (8 samples sent)
(25363|25435) pub60  62% (10 samples sent)
(25363|25435) pub60  75% (12 samples sent)
(25363|25435) pub60  81% (13 samples sent)
(25363|25435) pub60  93% (15 samples sent)
(25363|25435) pub60  100% (16 samples sent)
(25363|25435) pub60->wait_match() before write for 0103000c.297a35f2.6313dca2.00000002(ef9c10bd)
(25363|25427) pub50  12% (2 samples sent)
(25363|25427) pub50  25% (4 samples sent)
(25363|25427) pub50  31% (5 samples sent)
(25363|25427) pub50  43% (7 samples sent)
(25363|25427) pub50  50% (8 samples sent)
(25363|25411) pub38  writer id: 0103000c.297a35f2.6313dc8d.00000002(ac0da868)
(25363|25411) pub38->started
(25363|25429) pub52  writer id: 0103000c.297a35f2.6313dc9c.00000002(f18d165a)
(25363|25429) pub52->started
(25363|25429) pub52  12% (2 samples sent)
(25363|25411) pub38  12% (2 samples sent)
(25363|25411) pub38  25% (4 samples sent)
(25363|25411) pub38  31% (5 samples sent)
(25363|25411) pub38  43% (7 samples sent)
(25363|25411) pub38  50% (8 samples sent)
(25363|25411) pub38  62% (10 samples sent)
(25363|25411) pub38  75% (12 samples sent)
(25363|25411) pub38  81% (13 samples sent)
(25363|25411) pub38  93% (15 samples sent)
(25363|25411) pub38  100% (16 samples sent)
(25363|25411) pub38->wait_match() before write for 0103000c.297a35f2.6313dc8d.00000002(ac0da868)
(25363|25420) pub44  writer id: 0103000c.297a35f2.6313dc92.00000002(4ebda83b)
(25363|25420) pub44->started
(25363|25420) pub44  12% (2 samples sent)
(25363|25420) pub44  25% (4 samples sent)
(25363|25420) pub44  31% (5 samples sent)
(25363|25420) pub44  43% (7 samples sent)
(25363|25420) pub44  50% (8 samples sent)
(25363|25420) pub44  62% (10 samples sent)
(25363|25420) pub44  75% (12 samples sent)
(25363|25420) pub44  81% (13 samples sent)
(25363|25420) pub44  93% (15 samples sent)
(25363|25420) pub44  100% (16 samples sent)
(25363|25420) pub44->wait_match() before write for 0103000c.297a35f2.6313dc92.00000002(4ebda83b)
(25363|25392) pub22  writer id: 0103000c.297a35f2.6313dc7d.00000002(e439f835)
(25363|25392) pub22->started
(25363|25392) pub22  12% (2 samples sent)
(25363|25392) pub22  25% (4 samples sent)
(25363|25392) pub22  31% (5 samples sent)
(25363|25392) pub22  43% (7 samples sent)
(25363|25392) pub22  50% (8 samples sent)
(25363|25392) pub22  62% (10 samples sent)
(25363|25392) pub22  75% (12 samples sent)
(25363|25392) pub22  81% (13 samples sent)
(25363|25392) pub22  93% (15 samples sent)
(25363|25392) pub22  100% (16 samples sent)
(25363|25392) pub22->wait_match() before write for 0103000c.297a35f2.6313dc7d.00000002(e439f835)
(25363|25441) pub62->wait_match() before write for 0103000c.297a35f2.6313dc9a.00000002(7ecde3fa)
(25363|25390) pub21  writer id: 0103000c.297a35f2.6313dc7b.00000002(6b790d95)
(25363|25390) pub21->started
(25363|25390) pub21  12% (2 samples sent)
(25363|25390) pub21  25% (4 samples sent)
(25363|25390) pub21  31% (5 samples sent)
(25363|25390) pub21  43% (7 samples sent)
(25363|25390) pub21  50% (8 samples sent)
(25363|25390) pub21  62% (10 samples sent)
(25363|25390) pub21  75% (12 samples sent)
(25363|25390) pub21  81% (13 samples sent)
(25363|25390) pub21  93% (15 samples sent)
(25363|25390) pub21  100% (16 samples sent)
(25363|25390) pub21->wait_match() before write for 0103000c.297a35f2.6313dc7b.00000002(6b790d95)
(25363|25421) pub45  writer id: 0103000c.297a35f2.6313dc93.00000002(73dd818b)
(25363|25421) pub45->started
(25363|25421) pub45  12% (2 samples sent)
(25363|25421) pub45  25% (4 samples sent)
(25363|25421) pub45  31% (5 samples sent)
(25363|25421) pub45  43% (7 samples sent)
(25363|25421) pub45  50% (8 samples sent)
(25363|25421) pub45  62% (10 samples sent)
(25363|25431) pub55  25% (4 samples sent)
(25363|25431) pub55  31% (5 samples sent)
(25363|25431) pub55  43% (7 samples sent)
(25363|25431) pub55  50% (8 samples sent)
(25363|25431) pub55  62% (10 samples sent)
(25363|25431) pub55  75% (12 samples sent)
(25363|25431) pub55  81% (13 samples sent)
(25363|25431) pub55  93% (15 samples sent)
(25363|25431) pub55  100% (16 samples sent)
(25363|25431) pub55->wait_match() before write for 0103000c.297a35f2.6313dc9f.00000002(b62d6c8a)
(25363|25386) pub18  12% (2 samples sent)
(25363|25386) pub18  25% (4 samples sent)
(25363|25386) pub18  31% (5 samples sent)
(25363|25386) pub18  43% (7 samples sent)
(25363|25386) pub18  50% (8 samples sent)
(25363|25386) pub18  62% (10 samples sent)
(25363|25386) pub18  75% (12 samples sent)
(25363|25386) pub18  81% (13 samples sent)
(25363|25386) pub18  93% (15 samples sent)
(25363|25386) pub18  100% (16 samples sent)
(25363|25386) pub18->wait_match() before write for 0103000c.297a35f2.6313dc78.00000002(2cd97745)
(25363|25433) pub57  75% (12 samples sent)
(25363|25433) pub57  81% (13 samples sent)
(25363|25433) pub57  93% (15 samples sent)
(25363|25433) pub57  100% (16 samples sent)
(25363|25433) pub57->wait_match() before write for 0103000c.297a35f2.6313dca1.00000002(a83c6a6d)
(25363|25429) pub52  25% (4 samples sent)
(25363|25429) pub52  31% (5 samples sent)
(25363|25429) pub52  43% (7 samples sent)
(25363|25429) pub52  50% (8 samples sent)
(25363|25429) pub52  62% (10 samples sent)
(25363|25429) pub52  75% (12 samples sent)
(25363|25429) pub52  81% (13 samples sent)
(25363|25429) pub52  93% (15 samples sent)
(25363|25429) pub52  100% (16 samples sent)
(25363|25429) pub52->wait_match() before write for 0103000c.297a35f2.6313dc9c.00000002(f18d165a)
(25363|25417) pub42  writer id: 0103000c.297a35f2.6313dc91.00000002(091dd2eb)
(25363|25417) pub42->started
(25363|25427) pub50  62% (10 samples sent)
(25363|25427) pub50  75% (12 samples sent)
(25363|25427) pub50  81% (13 samples sent)
(25363|25427) pub50  93% (15 samples sent)
(25363|25427) pub50  100% (16 samples sent)
(25363|25427) pub50->wait_match() before write for 0103000c.297a35f2.6313dc99.00000002(396d992a)
(25363|25401) pub30  writer id: 0103000c.297a35f2.6313dc86.00000002(dbdd9979)
(25363|25401) pub30->started
(25363|25401) pub30  12% (2 samples sent)
(25363|25439) pub58  writer id: 0103000c.297a35f2.6313dc96.00000002(bb3d0efb)
(25363|25439) pub58->started
(25363|25439) pub58  12% (2 samples sent)
(25363|25439) pub58  25% (4 samples sent)
(25363|25439) pub58  31% (5 samples sent)
(25363|25439) pub58  43% (7 samples sent)
(25363|25439) pub58  50% (8 samples sent)
(25363|25439) pub58  62% (10 samples sent)
(25363|25439) pub58  75% (12 samples sent)
(25363|25439) pub58  81% (13 samples sent)
(25363|25439) pub58  93% (15 samples sent)
(25363|25439) pub58  100% (16 samples sent)
(25363|25439) pub58->wait_match() before write for 0103000c.297a35f2.6313dc96.00000002(bb3d0efb)
(25363|25408) pub35  writer id: 0103000c.297a35f2.6313dc88.00000002(64ed2718)
(25363|25408) pub35->started
(25363|25408) pub35  12% (2 samples sent)
(25363|25408) pub35  25% (4 samples sent)
(25363|25408) pub35  31% (5 samples sent)
(25363|25408) pub35  43% (7 samples sent)
(25363|25408) pub35  50% (8 samples sent)
(25363|25408) pub35  62% (10 samples sent)
(25363|25408) pub35  75% (12 samples sent)
(25363|25408) pub35  81% (13 samples sent)
(25363|25408) pub35  93% (15 samples sent)
(25363|25408) pub35  100% (16 samples sent)
(25363|25408) pub35->wait_match() before write for 0103000c.297a35f2.6313dc88.00000002(64ed2718)
(25363|25417) pub42  12% (2 samples sent)
(25363|25436) pub59  writer id: 0103000c.297a35f2.6313dca3.00000002(d2fc390d)
(25363|25425) pub48  writer id: 0103000c.297a35f2.6313dc97.00000002(865d274b)
(25363|25425) pub48->started
(25363|25425) pub48  12% (2 samples sent)
(25363|25425) pub48  25% (4 samples sent)
(25363|25425) pub48  31% (5 samples sent)
(25363|25425) pub48  43% (7 samples sent)
(25363|25425) pub48  50% (8 samples sent)
(25363|25425) pub48  62% (10 samples sent)
(25363|25425) pub48  75% (12 samples sent)
(25363|25425) pub48  81% (13 samples sent)
(25363|25425) pub48  93% (15 samples sent)
(25363|25425) pub48  100% (16 samples sent)
(25363|25425) pub48->wait_match() before write for 0103000c.297a35f2.6313dc97.00000002(865d274b)
(25363|25421) pub45  75% (12 samples sent)
(25363|25421) pub45  81% (13 samples sent)
(25363|25421) pub45  93% (15 samples sent)
(25363|25421) pub45  100% (16 samples sent)
(25363|25421) pub45->wait_match() before write for 0103000c.297a35f2.6313dc93.00000002(73dd818b)
(25363|25430) pub53  writer id: 0103000c.297a35f2.6313dc9d.00000002(cced3fea)
(25363|25430) pub53->started
(25363|25430) pub53  12% (2 samples sent)
(25363|25430) pub53  25% (4 samples sent)
(25363|25430) pub53  31% (5 samples sent)
(25363|25430) pub53  43% (7 samples sent)
(25363|25430) pub53  50% (8 samples sent)
(25363|25430) pub53  62% (10 samples sent)
(25363|25430) pub53  75% (12 samples sent)
(25363|25430) pub53  81% (13 samples sent)
(25363|25430) pub53  93% (15 samples sent)
(25363|25430) pub53  100% (16 samples sent)
(25363|25430) pub53->wait_match() before write for 0103000c.297a35f2.6313dc9d.00000002(cced3fea)
(25363|25402) pub32  writer id: 0103000c.297a35f2.6313dc85.00000002(9c7de3a9)
(25363|25402) pub32->started
(25363|25402) pub32  12% (2 samples sent)
(25363|25402) pub32  25% (4 samples sent)
(25363|25402) pub32  31% (5 samples sent)
(25363|25402) pub32  43% (7 samples sent)
(25363|25402) pub32  50% (8 samples sent)
(25363|25402) pub32  62% (10 samples sent)
(25363|25402) pub32  75% (12 samples sent)
(25363|25402) pub32  81% (13 samples sent)
(25363|25402) pub32  93% (15 samples sent)
(25363|25402) pub32  100% (16 samples sent)
(25363|25402) pub32->wait_match() before write for 0103000c.297a35f2.6313dc85.00000002(9c7de3a9)
(25363|25417) pub42  25% (4 samples sent)
(25363|25417) pub42  31% (5 samples sent)
(25363|25417) pub42  43% (7 samples sent)
(25363|25417) pub42  50% (8 samples sent)
(25363|25417) pub42  62% (10 samples sent)
(25363|25417) pub42  75% (12 samples sent)
(25363|25417) pub42  81% (13 samples sent)
(25363|25417) pub42  93% (15 samples sent)
(25363|25417) pub42  100% (16 samples sent)
(25363|25417) pub42->wait_match() before write for 0103000c.297a35f2.6313dc91.00000002(091dd2eb)
(25363|25436) pub59->started
(25363|25436) pub59  12% (2 samples sent)
(25363|25436) pub59  25% (4 samples sent)
(25363|25436) pub59  31% (5 samples sent)
(25363|25436) pub59  43% (7 samples sent)
(25363|25436) pub59  50% (8 samples sent)
(25363|25436) pub59  62% (10 samples sent)
(25363|25436) pub59  75% (12 samples sent)
(25363|25436) pub59  81% (13 samples sent)
(25363|25436) pub59  93% (15 samples sent)
(25363|25436) pub59  100% (16 samples sent)
(25363|25436) pub59->wait_match() before write for 0103000c.297a35f2.6313dca3.00000002(d2fc390d)
(25363|25401) pub30  25% (4 samples sent)
(25363|25401) pub30  31% (5 samples sent)
(25363|25401) pub30  43% (7 samples sent)
(25363|25401) pub30  50% (8 samples sent)
(25363|25401) pub30  62% (10 samples sent)
(25363|25401) pub30  75% (12 samples sent)
(25363|25401) pub30  81% (13 samples sent)
(25363|25401) pub30  93% (15 samples sent)
(25363|25401) pub30  100% (16 samples sent)
(25363|25401) pub30->wait_match() before write for 0103000c.297a35f2.6313dc86.00000002(dbdd9979)
(25363|25434) pub54  writer id: 0103000c.297a35f2.6313dc9e.00000002(8b4d453a)
(25363|25434) pub54->started
(25363|25434) pub54  12% (2 samples sent)
(25363|25434) pub54  25% (4 samples sent)
(25363|25434) pub54  31% (5 samples sent)
(25363|25434) pub54  43% (7 samples sent)
(25363|25434) pub54  50% (8 samples sent)
(25363|25434) pub54  62% (10 samples sent)
(25363|25434) pub54  75% (12 samples sent)
(25363|25434) pub54  81% (13 samples sent)
(25363|25434) pub54  93% (15 samples sent)
(25363|25434) pub54  100% (16 samples sent)
(25363|25434) pub54->wait_match() before write for 0103000c.297a35f2.6313dc9e.00000002(8b4d453a)
(25363|25440) pub61  writer id: 0103000c.297a35f2.6313dca4.00000002(60dce51d)
(25363|25440) pub61->started
(25363|25440) pub61  12% (2 samples sent)
(25363|25440) pub61  25% (4 samples sent)
(25363|25440) pub61  31% (5 samples sent)
(25363|25440) pub61  43% (7 samples sent)
(25363|25440) pub61  50% (8 samples sent)
(25363|25440) pub61  62% (10 samples sent)
(25363|25440) pub61  75% (12 samples sent)
(25363|25440) pub61  81% (13 samples sent)
(25363|25440) pub61  93% (15 samples sent)
(25363|25440) pub61  100% (16 samples sent)
(25363|25440) pub61->wait_match() before write for 0103000c.297a35f2.6313dca4.00000002(60dce51d)
(25363|25427) pub50<-match found! before write for 0103000c.297a35f2.6313dc99.00000002(396d992a)
(25363|25427) pub50  waiting for acks
(25363|25385) pub16<-match found! before write for 0103000c.297a35f2.6313dc76.00000002(93e9c924)
(25363|25385) pub16  waiting for acks
(25363|25426) pub49<-match found! before write for 0103000c.297a35f2.6313dc98.00000002(040db09a)
(25363|25426) pub49  waiting for acks
(25363|25383) pub14<-match found! before write for 0103000c.297a35f2.6313dc74.00000002(e9299a44)
(25363|25383) pub14  waiting for acks
(25363|25388) pub19<-match found! before write for 0103000c.297a35f2.6313dc77.00000002(ae89e094)
(25363|25388) pub19  waiting for acks
(25363|25369) pub0<-match found! before write for 0103000c.297a35f2.6313dc66.00000002(f3095ea6)
(25363|25369) pub0  waiting for acks
(25363|25432) pub56<-match found! before write for 0103000c.297a35f2.6313dca0.00000002(955c43dd)
(25363|25432) pub56  waiting for acks
(25363|25435) pub60<-match found! before write for 0103000c.297a35f2.6313dca2.00000002(ef9c10bd)
(25363|25435) pub60  waiting for acks
(25363|25375) pub6<-match found! before write for 0103000c.297a35f2.6313dc6c.00000002(b9b94607)
(25363|25375) pub6  waiting for acks
(25363|25375) pub6  waiting for acks returned
(25363|25375) pub6<-delete_contained_entities
(25363|25375) pub6<-delete_participant
(25363|25427) pub50  waiting for acks returned
(25363|25427) pub50<-delete_contained_entities
(25363|25427) pub50<-delete_participant
(25363|25426) pub49  waiting for acks returned
(25363|25426) pub49<-delete_contained_entities
(25363|25426) pub49<-delete_participant
(25363|25434) pub54<-match found! before write for 0103000c.297a35f2.6313dc9e.00000002(8b4d453a)
(25363|25434) pub54  waiting for acks
(25363|25434) pub54  waiting for acks returned
(25363|25434) pub54<-delete_contained_entities
(25363|25412) pub37<-match found! before write for 0103000c.297a35f2.6313dc8c.00000002(916d81d8)
(25363|25412) pub37  waiting for acks
(25363|25434) pub54<-delete_participant
(25363|25382) pub13<-match found! before write for 0103000c.297a35f2.6313dc73.00000002(5b094654)
(25363|25382) pub13  waiting for acks
(25363|25392) pub22<-match found! before write for 0103000c.297a35f2.6313dc7d.00000002(e439f835)
(25363|25392) pub22  waiting for acks
(25363|25412) pub37  waiting for acks returned
(25363|25412) pub37<-delete_contained_entities
(25363|25392) pub22  waiting for acks returned
(25363|25392) pub22<-delete_contained_entities
(25363|25417) pub42<-match found! before write for 0103000c.297a35f2.6313dc91.00000002(091dd2eb)
(25363|25417) pub42  waiting for acks
(25363|25412) pub37<-delete_participant
(25363|25435) pub60  waiting for acks returned
(25363|25435) pub60<-delete_contained_entities
(25363|25408) pub35<-match found! before write for 0103000c.297a35f2.6313dc88.00000002(64ed2718)
(25363|25408) pub35  waiting for acks
(25363|25383) pub14  waiting for acks returned
(25363|25383) pub14<-delete_contained_entities
(25363|25392) pub22<-delete_participant
(25363|25432) pub56  waiting for acks returned
(25363|25432) pub56<-delete_contained_entities
(25363|25435) pub60<-delete_participant
(25363|25383) pub14<-delete_participant
(25363|25388) pub19  waiting for acks returned
(25363|25388) pub19<-delete_contained_entities
(25363|25432) pub56<-delete_participant
(25363|25417) pub42  waiting for acks returned
(25363|25417) pub42<-delete_contained_entities
(25363|25388) pub19<-delete_participant
(25363|25417) pub42<-delete_participant
(25363|25374) pub5<-match found! before write for 0103000c.297a35f2.6313dc6b.00000002(0b999a17)
(25363|25374) pub5  waiting for acks
(25363|25374) pub5  waiting for acks returned
(25363|25374) pub5<-delete_contained_entities
(25363|25401) pub30<-match found! before write for 0103000c.297a35f2.6313dc86.00000002(dbdd9979)
(25363|25401) pub30  waiting for acks
(25363|25433) pub57<-match found! before write for 0103000c.297a35f2.6313dca1.00000002(a83c6a6d)
(25363|25433) pub57  waiting for acks
(25363|25401) pub30  waiting for acks returned
(25363|25401) pub30<-delete_contained_entities
(25363|25374) pub5<-delete_participant
(25363|25428) pub51<-match found! before write for 0103000c.297a35f2.6313dc9b.00000002(43adca4a)
(25363|25428) pub51  waiting for acks
(25363|25403) pub33<-match found! before write for 0103000c.297a35f2.6313dc8a.00000002(1e2d7478)
(25363|25403) pub33  waiting for acks
(25363|25401) pub30<-delete_participant
(25363|25385) pub16  waiting for acks returned
(25363|25385) pub16<-delete_contained_entities
(25363|25403) pub33  waiting for acks returned
(25363|25403) pub33<-delete_contained_entities
(25363|25385) pub16<-delete_participant
(25363|25403) pub33<-delete_participant
(25363|25382) pub13  waiting for acks returned
(25363|25382) pub13<-delete_contained_entities
(25363|25382) pub13<-delete_participant
(25363|25409) pub36<-match found! before write for 0103000c.297a35f2.6313dc89.00000002(598d0ea8)
(25363|25409) pub36  waiting for acks
(25363|25372) pub3<-match found! before write for 0103000c.297a35f2.6313dc69.00000002(7159c977)
(25363|25372) pub3  waiting for acks
(25363|25377) pub8<-match found! before write for 0103000c.297a35f2.6313dc6e.00000002(c3791567)
(25363|25377) pub8  waiting for acks
(25363|25429) pub52<-match found! before write for 0103000c.297a35f2.6313dc9c.00000002(f18d165a)
(25363|25429) pub52  waiting for acks
(25363|25369) pub0  waiting for acks returned
(25363|25369) pub0<-delete_contained_entities
(25363|25377) pub8  waiting for acks returned
(25363|25377) pub8<-delete_contained_entities
(25363|25409) pub36  waiting for acks returned
(25363|25409) pub36<-delete_contained_entities
(25363|25372) pub3  waiting for acks returned
(25363|25372) pub3<-delete_contained_entities
(25363|25440) pub61<-match found! before write for 0103000c.297a35f2.6313dca4.00000002(60dce51d)
(25363|25440) pub61  waiting for acks
(25363|25429) pub52  waiting for acks returned
(25363|25429) pub52<-delete_contained_entities
(25363|25408) pub35  waiting for acks returned
(25363|25408) pub35<-delete_contained_entities
(25363|25369) pub0<-delete_participant
(25363|25377) pub8<-delete_participant
(25363|25372) pub3<-delete_participant
(25363|25442) pub63<-match found! before write for 0103000c.297a35f2.6313dca5.00000002(5dbcccad)
(25363|25442) pub63  waiting for acks
(25363|25409) pub36<-delete_participant
(25363|25429) pub52<-delete_participant
(25363|25425) pub48<-match found! before write for 0103000c.297a35f2.6313dc97.00000002(865d274b)
(25363|25425) pub48  waiting for acks
(25363|25408) pub35<-delete_participant
(25363|25391) pub23<-match found! before write for 0103000c.297a35f2.6313dc82.00000002(2e5d3fb9)
(25363|25391) pub23  waiting for acks
(25363|25370) pub1<-match found! before write for 0103000c.297a35f2.6313dc67.00000002(ce697716)
(25363|25370) pub1  waiting for acks
(25363|25370) pub1  waiting for acks returned
(25363|25370) pub1<-delete_contained_entities
(25363|25433) pub57  waiting for acks returned
(25363|25433) pub57<-delete_contained_entities
(25363|25380) pub11<-match found! before write for 0103000c.297a35f2.6313dc71.00000002(21c91534)
(25363|25380) pub11  waiting for acks
(25363|25411) pub38<-match found! before write for 0103000c.297a35f2.6313dc8d.00000002(ac0da868)
(25363|25411) pub38  waiting for acks
(25363|25370) pub1<-delete_participant
(25363|25428) pub51  waiting for acks returned
(25363|25428) pub51<-delete_contained_entities
(25363|25433) pub57<-delete_participant
(25363|25380) pub11  waiting for acks returned
(25363|25380) pub11<-delete_contained_entities
(25363|25411) pub38  waiting for acks returned
(25363|25411) pub38<-delete_contained_entities
(25363|25428) pub51<-delete_participant
(25363|25414) pub39<-match found! before write for 0103000c.297a35f2.6313dc8e.00000002(ebadd2b8)
(25363|25414) pub39  waiting for acks
(25363|25440) pub61  waiting for acks returned
(25363|25440) pub61<-delete_contained_entities
(25363|25387) pub17<-match found! before write for 0103000c.297a35f2.6313dc79.00000002(11b95ef5)
(25363|25387) pub17  waiting for acks
(25363|25380) pub11<-delete_participant
(25363|25411) pub38<-delete_participant
(25363|25387) pub17  waiting for acks returned
(25363|25387) pub17<-delete_contained_entities
(25363|25440) pub61<-delete_participant
(25363|25387) pub17<-delete_participant
(25363|25407) pub34<-match found! before write for 0103000c.297a35f2.6313dc83.00000002(133d1609)
(25363|25407) pub34  waiting for acks
(25363|25442) pub63  waiting for acks returned
(25363|25442) pub63<-delete_contained_entities
(25363|25425) pub48  waiting for acks returned
(25363|25425) pub48<-delete_contained_entities
(25363|25400) pub31<-match found! before write for 0103000c.297a35f2.6313dc84.00000002(a11dca19)
(25363|25400) pub31  waiting for acks
(25363|25442) pub63<-delete_participant
(25363|25430) pub53<-match found! before write for 0103000c.297a35f2.6313dc9d.00000002(cced3fea)
(25363|25430) pub53  waiting for acks
(25363|25391) pub23  waiting for acks returned
(25363|25391) pub23<-delete_contained_entities
(25363|25431) pub55<-match found! before write for 0103000c.297a35f2.6313dc9f.00000002(b62d6c8a)
(25363|25431) pub55  waiting for acks
(25363|25400) pub31  waiting for acks returned
(25363|25400) pub31<-delete_contained_entities
(25363|25425) pub48<-delete_participant
(25363|25420) pub44<-match found! before write for 0103000c.297a35f2.6313dc92.00000002(4ebda83b)
(25363|25420) pub44  waiting for acks
(25363|25376) pub7<-match found! before write for 0103000c.297a35f2.6313dc6d.00000002(84d96fb7)
(25363|25376) pub7  waiting for acks
(25363|25391) pub23<-delete_participant
(25363|25436) pub59<-match found! before write for 0103000c.297a35f2.6313dca3.00000002(d2fc390d)
(25363|25436) pub59  waiting for acks
(25363|25400) pub31<-delete_participant
(25363|25396) pub27<-match found! before write for 0103000c.297a35f2.6313dc7f.00000002(9ef9ab55)
(25363|25396) pub27  waiting for acks
(25363|25436) pub59  waiting for acks returned
(25363|25436) pub59<-delete_contained_entities
(25363|25389) pub20<-match found! before write for 0103000c.297a35f2.6313dc7a.00000002(56192425)
(25363|25389) pub20  waiting for acks
(25363|25421) pub45<-match found! before write for 0103000c.297a35f2.6313dc93.00000002(73dd818b)
(25363|25421) pub45  waiting for acks
(25363|25419) pub43<-match found! before write for 0103000c.297a35f2.6313dc8b.00000002(234d5dc8)
(25363|25419) pub43  waiting for acks
(25363|25379) pub9<-match found! before write for 0103000c.297a35f2.6313dc70.00000002(1ca93c84)
(25363|25379) pub9  waiting for acks
(25363|25384) pub15<-match found! before write for 0103000c.297a35f2.6313dc75.00000002(d449b3f4)
(25363|25384) pub15  waiting for acks
(25363|25399) pub29<-match found! before write for 0103000c.297a35f2.6313dc87.00000002(e6bdb0c9)
(25363|25399) pub29  waiting for acks
(25363|25373) pub4<-match found! before write for 0103000c.297a35f2.6313dc6a.00000002(36f9b3a7)
(25363|25373) pub4  waiting for acks
(25363|25423) pub47<-match found! before write for 0103000c.297a35f2.6313dc95.00000002(fc9d742b)
(25363|25423) pub47  waiting for acks
(25363|25436) pub59<-delete_participant
(25363|25415) pub40<-match found! before write for 0103000c.297a35f2.6313dc90.00000002(347dfb5b)
(25363|25415) pub40  waiting for acks
(25363|25384) pub15  waiting for acks returned
(25363|25384) pub15<-delete_contained_entities
(25363|25439) pub58<-match found! before write for 0103000c.297a35f2.6313dc96.00000002(bb3d0efb)
(25363|25439) pub58  waiting for acks
(25363|25371) pub2<-match found! before write for 0103000c.297a35f2.6313dc68.00000002(4c39e0c7)
(25363|25371) pub2  waiting for acks
(25363|25415) pub40  waiting for acks returned
(25363|25415) pub40<-delete_contained_entities
(25363|25395) pub26<-match found! before write for 0103000c.297a35f2.6313dc80.00000002(549d6cd9)
(25363|25395) pub26  waiting for acks
(25363|25420) pub44  waiting for acks returned
(25363|25420) pub44<-delete_contained_entities
(25363|25384) pub15<-delete_participant
(25363|25379) pub9  waiting for acks returned
(25363|25379) pub9<-delete_contained_entities
(25363|25402) pub32<-match found! before write for 0103000c.297a35f2.6313dc85.00000002(9c7de3a9)
(25363|25402) pub32  waiting for acks
(25363|25430) pub53  waiting for acks returned
(25363|25430) pub53<-delete_contained_entities
(25363|25381) pub12<-match found! before write for 0103000c.297a35f2.6313dc72.00000002(66696fe4)
(25363|25381) pub12  waiting for acks
(25363|25386) pub18<-match found! before write for 0103000c.297a35f2.6313dc78.00000002(2cd97745)
(25363|25386) pub18  waiting for acks
(25363|25431) pub55  waiting for acks returned
(25363|25431) pub55<-delete_contained_entities
(25363|25441) pub62<-match found! before write for 0103000c.297a35f2.6313dc9a.00000002(7ecde3fa)
(25363|25441) pub62  waiting for acks
(25363|25394) pub25<-match found! before write for 0103000c.297a35f2.6313dc7e.00000002(a39982e5)
(25363|25394) pub25  waiting for acks
(25363|25416) pub41<-match found! before write for 0103000c.297a35f2.6313dc8f.00000002(d6cdfb08)
(25363|25416) pub41  waiting for acks
(25363|25419) pub43  waiting for acks returned
(25363|25419) pub43<-delete_contained_entities
(25363|25371) pub2  waiting for acks returned
(25363|25371) pub2<-delete_contained_entities
(25363|25415) pub40<-delete_participant
(25363|25397) pub28<-match found! before write for 0103000c.297a35f2.6313dc81.00000002(69fd4569)
(25363|25397) pub28  waiting for acks
(25363|25395) pub26  waiting for acks returned
(25363|25395) pub26<-delete_contained_entities
(25363|25420) pub44<-delete_participant
(25363|25422) pub46<-match found! before write for 0103000c.297a35f2.6313dc94.00000002(c1fd5d9b)
(25363|25422) pub46  waiting for acks
(25363|25390) pub21<-match found! before write for 0103000c.297a35f2.6313dc7b.00000002(6b790d95)
(25363|25390) pub21  waiting for acks
(25363|25393) pub24<-match found! before write for 0103000c.297a35f2.6313dc7c.00000002(d959d185)
(25363|25393) pub24  waiting for acks
(25363|25379) pub9<-delete_participant
(25363|25378) pub10<-match found! before write for 0103000c.297a35f2.6313dc6f.00000002(fe193cd7)
(25363|25378) pub10  waiting for acks
(25363|25396) pub27  waiting for acks returned
(25363|25396) pub27<-delete_contained_entities
(25363|25423) pub47  waiting for acks returned
(25363|25423) pub47<-delete_contained_entities
(25363|25381) pub12  waiting for acks returned
(25363|25381) pub12<-delete_contained_entities
(25363|25421) pub45  waiting for acks returned
(25363|25421) pub45<-delete_contained_entities
(25363|25407) pub34  waiting for acks returned
(25363|25407) pub34<-delete_contained_entities
(25363|25416) pub41  waiting for acks returned
(25363|25416) pub41<-delete_contained_entities
(25363|25441) pub62  waiting for acks returned
(25363|25441) pub62<-delete_contained_entities
(25363|25373) pub4  waiting for acks returned
(25363|25373) pub4<-delete_contained_entities
(25363|25376) pub7  waiting for acks returned
(25363|25376) pub7<-delete_contained_entities
(25363|25399) pub29  waiting for acks returned
(25363|25399) pub29<-delete_contained_entities
(25363|25402) pub32  waiting for acks returned
(25363|25402) pub32<-delete_contained_entities
(25363|25368) sub condition_.notify_all
(25363|25363) sub condition_.wait returned
(25363|25363) sub check_received
(25363|25363) sub check_received returns 0
(25363|25363) <- PublisherService::end
(25363|25397) pub28  waiting for acks returned
(25363|25397) pub28<-delete_contained_entities
(25363|25430) pub53<-delete_participant
(25363|25414) pub39  waiting for acks returned
(25363|25414) pub39<-delete_contained_entities
(25363|25386) pub18  waiting for acks returned
(25363|25386) pub18<-delete_contained_entities
(25363|25422) pub46  waiting for acks returned
(25363|25422) pub46<-delete_contained_entities
(25363|25389) pub20  waiting for acks returned
(25363|25389) pub20<-delete_contained_entities
(25363|25390) pub21  waiting for acks returned
(25363|25390) pub21<-delete_contained_entities
(25363|25393) pub24  waiting for acks returned
(25363|25393) pub24<-delete_contained_entities
(25363|25378) pub10  waiting for acks returned
(25363|25378) pub10<-delete_contained_entities
(25363|25439) pub58  waiting for acks returned
(25363|25439) pub58<-delete_contained_entities
(25363|25431) pub55<-delete_participant
(25363|25394) pub25  waiting for acks returned
(25363|25394) pub25<-delete_contained_entities
(25363|25419) pub43<-delete_participant
(25363|25371) pub2<-delete_participant
(25363|25395) pub26<-delete_participant
(25363|25396) pub27<-delete_participant
(25363|25423) pub47<-delete_participant
(25363|25381) pub12<-delete_participant
(25363|25421) pub45<-delete_participant
(25363|25407) pub34<-delete_participant
(25363|25416) pub41<-delete_participant
(25363|25441) pub62<-delete_participant
(25363|25373) pub4<-delete_participant
(25363|25376) pub7<-delete_participant
(25363|25399) pub29<-delete_participant
(25363|25402) pub32<-delete_participant
(25363|25397) pub28<-delete_participant
(25363|25414) pub39<-delete_participant
(25363|25386) pub18<-delete_participant
(25363|25389) pub20<-delete_participant
(25363|25422) pub46<-delete_participant
(25363|25390) pub21<-delete_participant
(25363|25393) pub24<-delete_participant
(25363|25378) pub10<-delete_participant
(25363|25439) pub58<-delete_participant
(25363|25394) pub25<-delete_participant
(25363|25363) <- PublisherService::~PublisherService
(25363|25363) <- Subscriber delete_contained_entities
(25363|25363) <- Subscriber delete_participant
(25363|25363) <- Subscriber::~Subscriber
(25363|25363) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl aggressive rtps durable Time:130s Result:0

==============================================================================

tests/DCPS/DPFactoryQos/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 25716
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DPFactoryQos/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub.log -DCPSPendingTimeout 3 
pub PID: 25724 started at 2023-04-28 22:48:01
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DPFactoryQos/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub.log -DCPSPendingTimeout 3 
sub PID: 25725 started at 2023-04-28 22:48:01
(25716|25716) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/DPFactoryQos/run_test.pl Time:9s Result:0

==============================================================================

tests/DCPS/DPFactoryQos/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DPFactoryQos/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub.log -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
pub PID: 25743 started at 2023-04-28 22:48:09
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DPFactoryQos/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub.log -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
sub PID: 25744 started at 2023-04-28 22:48:09
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
test PASSED.

auto_run_tests_finished: tests/DCPS/DPFactoryQos/run_test.pl rtps_disc Time:8s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 25758
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_inforepo_tcp.ini  -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 25765 started at 2023-04-28 22:48:17
2023-04-28 22:48:18.030@LM_NOTICE@(25765|25765) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(25758|25758) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-28 22:48:18.075@LM_WARNING@(25765|25765) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-28 22:48:18.207@LM_DEBUG@(25765|25765) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-28 22:48:18.207@LM_DEBUG@(25765|25765) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 01030000.2c9417c3.00000002.00000102(eeb60203)
2023-04-28 22:48:18.207@LM_DEBUG@(25765|25765) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-28 22:48:18.207@LM_DEBUG@(25765|25765) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 01030000.2c9417c3.00000003.00000102(d3d62bb3)
2023-04-28 22:48:18.207@LM_DEBUG@(25765|25778) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-28 22:48:18.207@LM_DEBUG@(25765|25765) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-28 22:48:18.207@LM_DEBUG@(25765|25765) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 01030000.2c9417c3.00000002.00000202(c59b51c0)
2023-04-28 22:48:18.208@LM_DEBUG@(25765|25778) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:48:18.305@LM_DEBUG@(25765|25765) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-28 22:48:18.305@LM_DEBUG@(25765|25779) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-28 22:48:18.305@LM_DEBUG@(25765|25779) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:48:18.306@LM_DEBUG@(25765|25765) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 01030000.2c9417c3.00000002.00000302(dc806081)
2023-04-28 22:48:18.208@LM_INFO@(25765|25777) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 39 (01030000.2c9417c3.00000002.00000102(eeb60203))
2023-04-28 22:48:18.306@LM_DEBUG@(25765|25765) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-28 22:48:18.306@LM_INFO@(25765|25777) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-28 22:48:18.307@LM_DEBUG@(25765|25781) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-28 22:48:18.307@LM_DEBUG@(25765|25781) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:48:18.307@LM_DEBUG@(25765|25780) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-28 22:48:18.307@LM_INFO@(25765|25777) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 48 (01030000.2c9417c3.00000002.00000302(dc806081))
2023-04-28 22:48:18.307@LM_INFO@(25765|25777) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.2c9417c3.00000002.00000202(c59b51c0))
2023-04-28 22:48:18.307@LM_DEBUG@(25765|25780) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:48:18.308@LM_INFO@(25765|25777) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-28 22:48:19.778@LM_INFO@(25765|25777) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 42 (01030000.2c9417c3.00000003.00000102(d3d62bb3))
2023-04-28 22:48:20.208@LM_DEBUG@(25765|25778) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:48:20.209@LM_INFO@(25765|25777) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-28 22:48:20.306@LM_DEBUG@(25765|25779) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:48:20.307@LM_DEBUG@(25765|25781) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:48:20.308@LM_DEBUG@(25765|25780) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:48:20.308@LM_INFO@(25765|25777) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-28 22:48:22.209@LM_DEBUG@(25765|25778) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:48:22.209@LM_INFO@(25765|25777) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-28 22:48:22.306@LM_DEBUG@(25765|25779) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:48:22.308@LM_DEBUG@(25765|25781) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:48:22.308@LM_DEBUG@(25765|25780) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:48:22.309@LM_INFO@(25765|25777) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-28 22:48:24.209@LM_DEBUG@(25765|25778) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:48:24.210@LM_INFO@(25765|25777) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-28 22:48:24.306@LM_DEBUG@(25765|25779) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:48:24.308@LM_DEBUG@(25765|25781) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:48:24.309@LM_DEBUG@(25765|25780) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:48:24.309@LM_INFO@(25765|25777) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-28 22:48:26.210@LM_DEBUG@(25765|25778) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:48:26.211@LM_INFO@(25765|25777) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-28 22:48:26.306@LM_DEBUG@(25765|25779) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:48:26.308@LM_DEBUG@(25765|25781) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:48:26.309@LM_DEBUG@(25765|25780) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:48:26.309@LM_INFO@(25765|25777) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-28 22:48:28.211@LM_DEBUG@(25765|25778) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:48:28.211@LM_INFO@(25765|25777) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-28 22:48:28.307@LM_DEBUG@(25765|25779) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:48:28.309@LM_DEBUG@(25765|25781) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:48:28.309@LM_DEBUG@(25765|25780) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:48:28.310@LM_INFO@(25765|25777) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-28 22:48:30.211@LM_DEBUG@(25765|25778) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:48:30.212@LM_INFO@(25765|25777) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-28 22:48:30.307@LM_DEBUG@(25765|25779) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:48:30.309@LM_DEBUG@(25765|25781) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:48:30.310@LM_DEBUG@(25765|25780) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:48:30.310@LM_INFO@(25765|25777) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-28 22:48:32.212@LM_DEBUG@(25765|25778) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:48:32.212@LM_INFO@(25765|25777) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-28 22:48:32.307@LM_DEBUG@(25765|25779) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:48:32.309@LM_DEBUG@(25765|25781) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:48:32.310@LM_DEBUG@(25765|25780) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:48:32.311@LM_INFO@(25765|25777) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-28 22:48:34.212@LM_DEBUG@(25765|25778) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:48:34.213@LM_INFO@(25765|25777) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-28 22:48:34.307@LM_DEBUG@(25765|25779) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:48:34.310@LM_DEBUG@(25765|25781) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:48:34.310@LM_DEBUG@(25765|25780) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:48:34.311@LM_INFO@(25765|25777) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-28 22:48:36.213@LM_DEBUG@(25765|25778) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:48:36.214@LM_INFO@(25765|25777) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-28 22:48:36.308@LM_DEBUG@(25765|25779) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:48:36.310@LM_DEBUG@(25765|25781) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:48:36.311@LM_DEBUG@(25765|25780) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:48:36.311@LM_INFO@(25765|25777) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-28 22:48:38.214@LM_DEBUG@(25765|25778) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-28 22:48:38.214@LM_DEBUG@(25765|25765) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-28 22:48:38.214@LM_DEBUG@(25765|25765) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-28 22:48:38.308@LM_DEBUG@(25765|25779) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-28 22:48:38.308@LM_DEBUG@(25765|25765) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-28 22:48:38.308@LM_DEBUG@(25765|25765) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-28 22:48:38.311@LM_DEBUG@(25765|25781) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-28 22:48:38.312@LM_DEBUG@(25765|25780) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-28 22:48:38.312@LM_DEBUG@(25765|25765) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-28 22:48:38.312@LM_DEBUG@(25765|25765) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-28 22:48:38.312@LM_DEBUG@(25765|25765) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-28 22:48:38.312@LM_INFO@(25765|25765) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-28 22:48:39.414@LM_INFO@(25765|25766) DataWriterListenerImpl::on_liveliness_lost 0x186cab0 1
2023-04-28 22:48:39.414@LM_INFO@(25765|25766)    total_count=1 total_count_change=1
2023-04-28 22:48:39.511@LM_INFO@(25765|25766) DataWriterListenerImpl::on_liveliness_lost 0x19047c0 1
2023-04-28 22:48:39.511@LM_INFO@(25765|25766)    total_count=1 total_count_change=1
2023-04-28 22:48:39.511@LM_INFO@(25765|25766) DataWriterListenerImpl::on_liveliness_lost 0x18f9b00 1
2023-04-28 22:48:39.511@LM_INFO@(25765|25766)    total_count=1 total_count_change=1
2023-04-28 22:48:40.580@LM_INFO@(25765|25766) DataWriterListenerImpl::on_liveliness_lost 0x18b44f0 1
2023-04-28 22:48:40.581@LM_INFO@(25765|25766)    total_count=1 total_count_change=1
2023-04-28 22:48:41.214@LM_INFO@(25765|25766) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 39 (01030000.2c9417c3.00000002.00000102(eeb60203))
2023-04-28 22:48:41.312@LM_INFO@(25765|25766) instance is unregistered
2023-04-28 22:48:41.312@LM_INFO@(25765|25766) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 45 (01030000.2c9417c3.00000002.00000202(c59b51c0))
2023-04-28 22:48:41.312@LM_INFO@(25765|25766) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 48 (01030000.2c9417c3.00000002.00000302(dc806081))
2023-04-28 22:48:42.381@LM_INFO@(25765|25766) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-28 22:48:42.381@LM_INFO@(25765|25766) DataReaderListenerImpl::on_liveliness_changed #8
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 42 (01030000.2c9417c3.00000003.00000102(d3d62bb3))
2023-04-28 22:48:42.381@LM_INFO@(25765|25765) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-28 22:48:42.381@LM_INFO@subscriber got 20 of 20 messages, and 8 of 8 callbacks
2023-04-28 22:48:42.382@LM_INFO@(25765|25777) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 39 (01030000.2c9417c3.00000002.00000102(eeb60203))
2023-04-28 22:48:42.382@LM_INFO@(25765|25777) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 45 (01030000.2c9417c3.00000002.00000202(c59b51c0))
2023-04-28 22:48:42.383@LM_INFO@(25765|25767) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 1
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 39 (01030000.2c9417c3.00000002.00000102(eeb60203))
2023-04-28 22:48:42.384@LM_INFO@(25765|25767) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 0
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.2c9417c3.00000002.00000202(c59b51c0))
2023-04-28 22:48:42.386@LM_INFO@(25765|25767) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 48 (01030000.2c9417c3.00000002.00000302(dc806081))
2023-04-28 22:48:42.395@LM_INFO@(25765|25767) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 42 (01030000.2c9417c3.00000003.00000102(d3d62bb3))
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl Time:25s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl lost #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 25792
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_inforepo_tcp.ini -l -n 4 -t 10 -c 8 -N 4 -C 16 -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 25799 started at 2023-04-28 22:48:42
2023-04-28 22:48:42.892@LM_NOTICE@(25799|25799) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(25792|25792) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-28 22:48:42.936@LM_WARNING@(25799|25799) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-28 22:48:43.087@LM_DEBUG@(25799|25799) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-28 22:48:43.087@LM_DEBUG@(25799|25799) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 01030000.2a2b2967.00000002.00000102(028a4ccd)
2023-04-28 22:48:43.087@LM_DEBUG@(25799|25799) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-28 22:48:43.087@LM_DEBUG@(25799|25799) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 01030000.2a2b2967.00000003.00000102(3fea657d)
2023-04-28 22:48:43.087@LM_DEBUG@(25799|25812) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-28 22:48:43.088@LM_DEBUG@(25799|25799) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-28 22:48:43.088@LM_DEBUG@(25799|25799) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 01030000.2a2b2967.00000002.00000202(29a71f0e)
2023-04-28 22:48:43.088@LM_DEBUG@(25799|25799) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-28 22:48:43.088@LM_DEBUG@(25799|25799) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 01030000.2a2b2967.00000002.00000302(30bc2e4f)
2023-04-28 22:48:43.088@LM_DEBUG@(25799|25799) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-28 22:48:43.088@LM_DEBUG@(25799|25815) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-28 22:48:43.088@LM_DEBUG@(25799|25815) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:48:43.088@LM_DEBUG@(25799|25813) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-28 22:48:43.088@LM_INFO@(25799|25811) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 39 (01030000.2a2b2967.00000002.00000102(028a4ccd))
2023-04-28 22:48:43.088@LM_DEBUG@(25799|25812) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:48:43.088@LM_INFO@(25799|25811) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 48 (01030000.2a2b2967.00000002.00000302(30bc2e4f))
2023-04-28 22:48:43.089@LM_DEBUG@(25799|25813) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:48:43.089@LM_DEBUG@(25799|25814) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-28 22:48:43.089@LM_DEBUG@(25799|25814) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:48:43.089@LM_INFO@(25799|25811) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-28 22:48:43.089@LM_INFO@(25799|25811) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.2a2b2967.00000002.00000202(29a71f0e))
2023-04-28 22:48:43.089@LM_INFO@(25799|25811) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-28 22:48:44.645@LM_INFO@(25799|25811) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 42 (01030000.2a2b2967.00000003.00000102(3fea657d))
2023-04-28 22:48:46.288@LM_INFO@(25799|25800) DataWriterListenerImpl::on_liveliness_lost 0xeba350 1
2023-04-28 22:48:46.288@LM_INFO@(25799|25800)    total_count=1 total_count_change=1
2023-04-28 22:48:46.289@LM_INFO@(25799|25800) DataWriterListenerImpl::on_liveliness_lost 0xe22660 1
2023-04-28 22:48:46.289@LM_INFO@(25799|25800)    total_count=1 total_count_change=1
2023-04-28 22:48:46.289@LM_INFO@(25799|25800) DataWriterListenerImpl::on_liveliness_lost 0xeaf6b0 1
2023-04-28 22:48:46.289@LM_INFO@(25799|25800)    total_count=1 total_count_change=1
2023-04-28 22:48:47.845@LM_INFO@(25799|25800) DataWriterListenerImpl::on_liveliness_lost 0xe6a0a0 1
2023-04-28 22:48:47.845@LM_INFO@(25799|25800)    total_count=1 total_count_change=1
2023-04-28 22:48:48.089@LM_INFO@(25799|25800) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 48 (01030000.2a2b2967.00000002.00000302(30bc2e4f))
2023-04-28 22:48:48.089@LM_INFO@(25799|25800) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 39 (01030000.2a2b2967.00000002.00000102(028a4ccd))
2023-04-28 22:48:48.090@LM_INFO@(25799|25800) instance is unregistered
2023-04-28 22:48:48.090@LM_INFO@(25799|25800) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 45 (01030000.2a2b2967.00000002.00000202(29a71f0e))
2023-04-28 22:48:49.646@LM_INFO@(25799|25800) DataReaderListenerImpl::on_liveliness_changed #8
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 42 (01030000.2a2b2967.00000003.00000102(3fea657d))
2023-04-28 22:49:13.089@LM_DEBUG@(25799|25812) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:49:13.089@LM_DEBUG@(25799|25815) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:49:13.089@LM_DEBUG@(25799|25814) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:49:13.089@LM_INFO@(25799|25811) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 48 (01030000.2a2b2967.00000002.00000302(30bc2e4f))
2023-04-28 22:49:13.090@LM_INFO@(25799|25811) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 39 (01030000.2a2b2967.00000002.00000102(028a4ccd))
2023-04-28 22:49:13.090@LM_INFO@(25799|25811) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-28 22:49:13.090@LM_INFO@(25799|25811) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 3
  not_alive_count = 1
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 45 (01030000.2a2b2967.00000002.00000202(29a71f0e))
2023-04-28 22:49:13.090@LM_INFO@(25799|25811) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-28 22:49:13.090@LM_DEBUG@(25799|25813) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:49:13.445@LM_INFO@(25799|25811) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 42 (01030000.2a2b2967.00000003.00000102(3fea657d))
2023-04-28 22:49:16.291@LM_INFO@(25799|25800) DataWriterListenerImpl::on_liveliness_lost 0xeba350 2
2023-04-28 22:49:16.291@LM_INFO@(25799|25800)    total_count=2 total_count_change=1
2023-04-28 22:49:16.291@LM_INFO@(25799|25800) DataWriterListenerImpl::on_liveliness_lost 0xe22660 2
2023-04-28 22:49:16.291@LM_INFO@(25799|25800)    total_count=2 total_count_change=1
2023-04-28 22:49:16.291@LM_INFO@(25799|25800) DataWriterListenerImpl::on_liveliness_lost 0xeaf6b0 2
2023-04-28 22:49:16.291@LM_INFO@(25799|25800)    total_count=2 total_count_change=1
2023-04-28 22:49:16.646@LM_INFO@(25799|25800) DataWriterListenerImpl::on_liveliness_lost 0xe6a0a0 2
2023-04-28 22:49:16.646@LM_INFO@(25799|25800)    total_count=2 total_count_change=1
2023-04-28 22:49:18.090@LM_INFO@(25799|25800) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 39 (01030000.2a2b2967.00000002.00000102(028a4ccd))
2023-04-28 22:49:18.090@LM_INFO@(25799|25800) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 48 (01030000.2a2b2967.00000002.00000302(30bc2e4f))
2023-04-28 22:49:18.091@LM_INFO@(25799|25800) instance is unregistered
2023-04-28 22:49:18.119@LM_INFO@(25799|25800) DataReaderListenerImpl::on_liveliness_changed #15
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 45 (01030000.2a2b2967.00000002.00000202(29a71f0e))
2023-04-28 22:49:18.475@LM_INFO@(25799|25800) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-28 22:49:18.475@LM_INFO@(25799|25800) DataReaderListenerImpl::on_liveliness_changed #16
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 42 (01030000.2a2b2967.00000003.00000102(3fea657d))
2023-04-28 22:49:23.089@LM_DEBUG@(25799|25815) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-28 22:49:23.090@LM_DEBUG@(25799|25814) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-28 22:49:23.090@LM_DEBUG@(25799|25812) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-28 22:49:23.090@LM_DEBUG@(25799|25813) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-28 22:49:23.118@LM_DEBUG@(25799|25799) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-28 22:49:23.118@LM_DEBUG@(25799|25799) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-28 22:49:23.118@LM_DEBUG@(25799|25799) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-28 22:49:23.118@LM_DEBUG@(25799|25799) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-28 22:49:23.118@LM_DEBUG@(25799|25799) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-28 22:49:23.118@LM_DEBUG@(25799|25799) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-28 22:49:23.118@LM_DEBUG@(25799|25799) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-28 22:49:23.118@LM_INFO@(25799|25799) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-28 22:49:23.118@LM_INFO@(25799|25799) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-28 22:49:23.118@LM_INFO@subscriber got 4 of 4 messages, and 16 of 16 callbacks
2023-04-28 22:49:23.120@LM_INFO@(25799|25801) DataReaderListenerImpl::on_liveliness_changed #17
  alive_count = 0
  not_alive_count = 3
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 39 (01030000.2a2b2967.00000002.00000102(028a4ccd))
2023-04-28 22:49:23.121@LM_INFO@(25799|25811) DataReaderListenerImpl::on_liveliness_changed #18
  alive_count = 1
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 45 (01030000.2a2b2967.00000002.00000202(29a71f0e))
2023-04-28 22:49:23.121@LM_INFO@(25799|25801) DataReaderListenerImpl::on_liveliness_changed #19
  alive_count = 0
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.2a2b2967.00000002.00000202(29a71f0e))
2023-04-28 22:49:23.123@LM_INFO@(25799|25801) DataReaderListenerImpl::on_liveliness_changed #20
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 48 (01030000.2a2b2967.00000002.00000302(30bc2e4f))
2023-04-28 22:49:23.132@LM_INFO@(25799|25801) DataReaderListenerImpl::on_liveliness_changed #21
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 42 (01030000.2a2b2967.00000003.00000102(3fea657d))
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl lost Time:41s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 25820
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_inforepo_rtps.ini  -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 25827 started at 2023-04-28 22:49:23
2023-04-28 22:49:23.478@LM_NOTICE@(25827|25827) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(25820|25820) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-28 22:49:23.523@LM_WARNING@(25827|25827) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-28 22:49:23.676@LM_DEBUG@(25827|25827) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-28 22:49:23.676@LM_DEBUG@(25827|25827) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 01030000.0e664b50.00000002.00000102(9b2381f4)
2023-04-28 22:49:23.677@LM_DEBUG@(25827|25827) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-28 22:49:23.677@LM_DEBUG@(25827|25827) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 01030000.0e664b50.00000003.00000102(a643a844)
2023-04-28 22:49:23.677@LM_DEBUG@(25827|25840) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-28 22:49:23.677@LM_DEBUG@(25827|25827) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-28 22:49:23.677@LM_DEBUG@(25827|25827) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 01030000.0e664b50.00000002.00000202(b00ed237)
2023-04-28 22:49:23.677@LM_DEBUG@(25827|25827) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-28 22:49:23.677@LM_DEBUG@(25827|25827) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 01030000.0e664b50.00000002.00000302(a915e376)
2023-04-28 22:49:23.678@LM_INFO@(25827|25839) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 39 (01030000.0e664b50.00000002.00000102(9b2381f4))
2023-04-28 22:49:23.679@LM_DEBUG@(25827|25840) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:49:23.680@LM_INFO@(25827|25839) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-28 22:49:23.706@LM_DEBUG@(25827|25827) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-28 22:49:23.706@LM_DEBUG@(25827|25842) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-28 22:49:23.707@LM_DEBUG@(25827|25842) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:49:23.707@LM_INFO@(25827|25839) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.0e664b50.00000002.00000202(b00ed237))
2023-04-28 22:49:23.708@LM_INFO@(25827|25839) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-28 22:49:23.706@LM_DEBUG@(25827|25841) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-28 22:49:23.708@LM_DEBUG@(25827|25841) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:49:23.708@LM_DEBUG@(25827|25843) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-28 22:49:23.708@LM_DEBUG@(25827|25843) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:49:23.719@LM_INFO@(25827|25839) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 48 (01030000.0e664b50.00000002.00000302(a915e376))
2023-04-28 22:49:25.255@LM_INFO@(25827|25839) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 42 (01030000.0e664b50.00000003.00000102(a643a844))
2023-04-28 22:49:25.681@LM_DEBUG@(25827|25840) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:49:25.682@LM_INFO@(25827|25839) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-28 22:49:25.707@LM_DEBUG@(25827|25842) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:49:25.708@LM_INFO@(25827|25839) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-28 22:49:25.708@LM_DEBUG@(25827|25841) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:49:25.708@LM_DEBUG@(25827|25843) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:49:27.682@LM_DEBUG@(25827|25840) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:49:27.683@LM_INFO@(25827|25839) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-28 22:49:27.708@LM_DEBUG@(25827|25842) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:49:27.709@LM_DEBUG@(25827|25841) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:49:27.709@LM_INFO@(25827|25839) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-28 22:49:27.709@LM_DEBUG@(25827|25843) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:49:29.682@LM_DEBUG@(25827|25840) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:49:29.683@LM_INFO@(25827|25839) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-28 22:49:29.709@LM_DEBUG@(25827|25842) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:49:29.709@LM_DEBUG@(25827|25841) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:49:29.709@LM_DEBUG@(25827|25843) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:49:29.710@LM_INFO@(25827|25839) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-28 22:49:31.683@LM_DEBUG@(25827|25840) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:49:31.684@LM_INFO@(25827|25839) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-28 22:49:31.709@LM_DEBUG@(25827|25841) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:49:31.710@LM_DEBUG@(25827|25842) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:49:31.710@LM_DEBUG@(25827|25843) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:49:31.710@LM_INFO@(25827|25839) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-28 22:49:33.684@LM_DEBUG@(25827|25840) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:49:33.685@LM_INFO@(25827|25839) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-28 22:49:33.709@LM_DEBUG@(25827|25841) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:49:33.710@LM_DEBUG@(25827|25843) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:49:33.710@LM_DEBUG@(25827|25842) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:49:33.711@LM_INFO@(25827|25839) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-28 22:49:35.684@LM_DEBUG@(25827|25840) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:49:35.685@LM_INFO@(25827|25839) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-28 22:49:35.710@LM_DEBUG@(25827|25841) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:49:35.711@LM_DEBUG@(25827|25843) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:49:35.711@LM_DEBUG@(25827|25842) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:49:35.712@LM_INFO@(25827|25839) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-28 22:49:37.685@LM_DEBUG@(25827|25840) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:49:37.686@LM_INFO@(25827|25839) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-28 22:49:37.710@LM_DEBUG@(25827|25841) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:49:37.711@LM_DEBUG@(25827|25843) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:49:37.711@LM_DEBUG@(25827|25842) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:49:37.712@LM_INFO@(25827|25839) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-28 22:49:39.686@LM_DEBUG@(25827|25840) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:49:39.687@LM_INFO@(25827|25839) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-28 22:49:39.710@LM_DEBUG@(25827|25841) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:49:39.711@LM_DEBUG@(25827|25843) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:49:39.712@LM_DEBUG@(25827|25842) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:49:39.713@LM_INFO@(25827|25839) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-28 22:49:41.687@LM_DEBUG@(25827|25840) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:49:41.688@LM_INFO@(25827|25839) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-28 22:49:41.710@LM_DEBUG@(25827|25841) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:49:41.712@LM_DEBUG@(25827|25843) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:49:41.713@LM_DEBUG@(25827|25842) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:49:41.713@LM_INFO@(25827|25839) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-28 22:49:43.688@LM_DEBUG@(25827|25840) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-28 22:49:43.688@LM_DEBUG@(25827|25827) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-28 22:49:43.688@LM_DEBUG@(25827|25827) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-28 22:49:43.711@LM_DEBUG@(25827|25841) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-28 22:49:43.711@LM_DEBUG@(25827|25827) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-28 22:49:43.711@LM_DEBUG@(25827|25827) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-28 22:49:43.712@LM_DEBUG@(25827|25843) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-28 22:49:43.713@LM_DEBUG@(25827|25842) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-28 22:49:43.713@LM_DEBUG@(25827|25827) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-28 22:49:43.713@LM_DEBUG@(25827|25827) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-28 22:49:43.713@LM_DEBUG@(25827|25827) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-28 22:49:43.713@LM_INFO@(25827|25827) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-28 22:49:44.888@LM_INFO@(25827|25828) DataWriterListenerImpl::on_liveliness_lost 0xa6c1d0 1
2023-04-28 22:49:44.888@LM_INFO@(25827|25828)    total_count=1 total_count_change=1
2023-04-28 22:49:44.912@LM_INFO@(25827|25828) DataWriterListenerImpl::on_liveliness_lost 0xaac5b0 1
2023-04-28 22:49:44.912@LM_INFO@(25827|25828)    total_count=1 total_count_change=1
2023-04-28 22:49:44.913@LM_INFO@(25827|25828) DataWriterListenerImpl::on_liveliness_lost 0xaa11c0 1
2023-04-28 22:49:44.913@LM_INFO@(25827|25828)    total_count=1 total_count_change=1
2023-04-28 22:49:46.046@LM_INFO@(25827|25828) DataWriterListenerImpl::on_liveliness_lost 0xa87c00 1
2023-04-28 22:49:46.046@LM_INFO@(25827|25828)    total_count=1 total_count_change=1
2023-04-28 22:49:46.688@LM_INFO@(25827|25828) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 39 (01030000.0e664b50.00000002.00000102(9b2381f4))
2023-04-28 22:49:46.714@LM_INFO@(25827|25828) instance is unregistered
2023-04-28 22:49:46.714@LM_INFO@(25827|25828) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 45 (01030000.0e664b50.00000002.00000202(b00ed237))
2023-04-28 22:49:46.723@LM_INFO@(25827|25828) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 48 (01030000.0e664b50.00000002.00000302(a915e376))
2023-04-28 22:49:47.857@LM_INFO@(25827|25828) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-28 22:49:47.857@LM_INFO@(25827|25827) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-28 22:49:47.857@LM_INFO@(25827|25828) DataReaderListenerImpl::on_liveliness_changed #8
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 42 (01030000.0e664b50.00000003.00000102(a643a844))
2023-04-28 22:49:47.857@LM_INFO@subscriber got 20 of 20 messages, and 8 of 8 callbacks
2023-04-28 22:49:47.858@LM_INFO@(25827|25839) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 39 (01030000.0e664b50.00000002.00000102(9b2381f4))
2023-04-28 22:49:47.858@LM_INFO@(25827|25839) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 45 (01030000.0e664b50.00000002.00000202(b00ed237))
2023-04-28 22:49:48.881@LM_INFO@(25827|25829) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 1
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 39 (01030000.0e664b50.00000002.00000102(9b2381f4))
2023-04-28 22:49:48.882@LM_INFO@(25827|25829) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 0
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.0e664b50.00000002.00000202(b00ed237))
2023-04-28 22:49:48.884@LM_INFO@(25827|25829) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 48 (01030000.0e664b50.00000002.00000302(a915e376))
2023-04-28 22:49:48.893@LM_INFO@(25827|25829) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 42 (01030000.0e664b50.00000003.00000102(a643a844))
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl rtps Time:26s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl rtps lost #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 25855
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_inforepo_rtps.ini -l -n 4 -t 10 -c 8 -N 4 -C 16 -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 25862 started at 2023-04-28 22:49:49
2023-04-28 22:49:49.271@LM_NOTICE@(25862|25862) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(25855|25855) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-28 22:49:49.325@LM_WARNING@(25862|25862) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-28 22:49:49.471@LM_DEBUG@(25862|25862) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-28 22:49:49.471@LM_DEBUG@(25862|25862) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 01030000.4782833d.00000002.00000102(6741f416)
2023-04-28 22:49:49.495@LM_DEBUG@(25862|25862) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-28 22:49:49.495@LM_DEBUG@(25862|25875) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-28 22:49:49.495@LM_DEBUG@(25862|25862) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 01030000.4782833d.00000003.00000102(5a21dda6)
2023-04-28 22:49:49.495@LM_DEBUG@(25862|25862) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-28 22:49:49.495@LM_DEBUG@(25862|25862) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 01030000.4782833d.00000002.00000202(4c6ca7d5)
2023-04-28 22:49:49.495@LM_DEBUG@(25862|25862) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-28 22:49:49.495@LM_DEBUG@(25862|25862) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 01030000.4782833d.00000002.00000302(55779694)
2023-04-28 22:49:49.495@LM_DEBUG@(25862|25862) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-28 22:49:49.496@LM_DEBUG@(25862|25878) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-28 22:49:49.496@LM_DEBUG@(25862|25878) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:49:49.496@LM_DEBUG@(25862|25877) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-28 22:49:49.496@LM_DEBUG@(25862|25875) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:49:49.496@LM_INFO@(25862|25874) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 39 (01030000.4782833d.00000002.00000102(6741f416))
2023-04-28 22:49:49.497@LM_DEBUG@(25862|25876) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-28 22:49:49.497@LM_DEBUG@(25862|25876) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:49:49.497@LM_INFO@(25862|25874) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-28 22:49:49.498@LM_INFO@(25862|25874) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.4782833d.00000002.00000202(4c6ca7d5))
2023-04-28 22:49:49.498@LM_DEBUG@(25862|25877) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:49:49.498@LM_INFO@(25862|25874) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-28 22:49:49.506@LM_INFO@(25862|25874) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 48 (01030000.4782833d.00000002.00000302(55779694))
2023-04-28 22:49:51.050@LM_INFO@(25862|25874) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 42 (01030000.4782833d.00000003.00000102(5a21dda6))
2023-04-28 22:49:52.696@LM_INFO@(25862|25863) DataWriterListenerImpl::on_liveliness_lost 0xbc7f70 1
2023-04-28 22:49:52.696@LM_INFO@(25862|25863)    total_count=1 total_count_change=1
2023-04-28 22:49:52.697@LM_INFO@(25862|25863) DataWriterListenerImpl::on_liveliness_lost 0xb87b90 1
2023-04-28 22:49:52.697@LM_INFO@(25862|25863)    total_count=1 total_count_change=1
2023-04-28 22:49:52.698@LM_INFO@(25862|25863) DataWriterListenerImpl::on_liveliness_lost 0xbbcb80 1
2023-04-28 22:49:52.698@LM_INFO@(25862|25863)    total_count=1 total_count_change=1
2023-04-28 22:49:54.239@LM_INFO@(25862|25863) DataWriterListenerImpl::on_liveliness_lost 0xba35c0 1
2023-04-28 22:49:54.239@LM_INFO@(25862|25863)    total_count=1 total_count_change=1
2023-04-28 22:49:54.497@LM_INFO@(25862|25863) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 39 (01030000.4782833d.00000002.00000102(6741f416))
2023-04-28 22:49:54.499@LM_INFO@(25862|25863) instance is unregistered
2023-04-28 22:49:54.499@LM_INFO@(25862|25863) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 45 (01030000.4782833d.00000002.00000202(4c6ca7d5))
2023-04-28 22:49:54.507@LM_INFO@(25862|25863) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 48 (01030000.4782833d.00000002.00000302(55779694))
2023-04-28 22:49:56.050@LM_INFO@(25862|25863) DataReaderListenerImpl::on_liveliness_changed #8
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 42 (01030000.4782833d.00000003.00000102(5a21dda6))
2023-04-28 22:50:19.496@LM_DEBUG@(25862|25878) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:50:19.497@LM_DEBUG@(25862|25875) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:50:19.497@LM_DEBUG@(25862|25876) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:50:19.498@LM_INFO@(25862|25874) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 39 (01030000.4782833d.00000002.00000102(6741f416))
2023-04-28 22:50:19.498@LM_INFO@(25862|25874) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-28 22:50:19.499@LM_DEBUG@(25862|25877) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:50:19.499@LM_INFO@(25862|25874) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 45 (01030000.4782833d.00000002.00000202(4c6ca7d5))
2023-04-28 22:50:19.499@LM_INFO@(25862|25874) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-28 22:50:19.507@LM_INFO@(25862|25874) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 3
  not_alive_count = 1
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 48 (01030000.4782833d.00000002.00000302(55779694))
2023-04-28 22:50:19.850@LM_INFO@(25862|25874) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 42 (01030000.4782833d.00000003.00000102(5a21dda6))
2023-04-28 22:50:22.698@LM_INFO@(25862|25863) DataWriterListenerImpl::on_liveliness_lost 0xbc7f70 2
2023-04-28 22:50:22.698@LM_INFO@(25862|25863)    total_count=2 total_count_change=1
2023-04-28 22:50:22.698@LM_INFO@(25862|25863) DataWriterListenerImpl::on_liveliness_lost 0xb87b90 2
2023-04-28 22:50:22.698@LM_INFO@(25862|25863)    total_count=2 total_count_change=1
2023-04-28 22:50:22.699@LM_INFO@(25862|25863) DataWriterListenerImpl::on_liveliness_lost 0xbbcb80 2
2023-04-28 22:50:22.699@LM_INFO@(25862|25863)    total_count=2 total_count_change=1
2023-04-28 22:50:23.039@LM_INFO@(25862|25863) DataWriterListenerImpl::on_liveliness_lost 0xba35c0 2
2023-04-28 22:50:23.040@LM_INFO@(25862|25863)    total_count=2 total_count_change=1
2023-04-28 22:50:24.498@LM_INFO@(25862|25863) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 39 (01030000.4782833d.00000002.00000102(6741f416))
2023-04-28 22:50:24.500@LM_INFO@(25862|25863) instance is unregistered
2023-04-28 22:50:24.514@LM_INFO@(25862|25863) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 45 (01030000.4782833d.00000002.00000202(4c6ca7d5))
2023-04-28 22:50:24.522@LM_INFO@(25862|25863) DataReaderListenerImpl::on_liveliness_changed #15
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 48 (01030000.4782833d.00000002.00000302(55779694))
2023-04-28 22:50:24.851@LM_INFO@(25862|25863) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-28 22:50:24.851@LM_INFO@(25862|25863) DataReaderListenerImpl::on_liveliness_changed #16
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 42 (01030000.4782833d.00000003.00000102(5a21dda6))
2023-04-28 22:50:29.497@LM_DEBUG@(25862|25878) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-28 22:50:29.497@LM_DEBUG@(25862|25876) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-28 22:50:29.498@LM_DEBUG@(25862|25875) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-28 22:50:29.498@LM_DEBUG@(25862|25862) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-28 22:50:29.498@LM_DEBUG@(25862|25862) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-28 22:50:29.498@LM_DEBUG@(25862|25862) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-28 22:50:29.498@LM_DEBUG@(25862|25862) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-28 22:50:29.499@LM_DEBUG@(25862|25877) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-28 22:50:29.499@LM_DEBUG@(25862|25862) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-28 22:50:29.531@LM_DEBUG@(25862|25862) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-28 22:50:29.531@LM_DEBUG@(25862|25862) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-28 22:50:29.531@LM_INFO@(25862|25862) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-28 22:50:29.531@LM_INFO@(25862|25862) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-28 22:50:29.531@LM_INFO@subscriber got 4 of 4 messages, and 16 of 16 callbacks
2023-04-28 22:50:29.532@LM_INFO@(25862|25874) DataReaderListenerImpl::on_liveliness_changed #17
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 39 (01030000.4782833d.00000002.00000102(6741f416))
2023-04-28 22:50:29.532@LM_INFO@(25862|25874) DataReaderListenerImpl::on_liveliness_changed #18
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 45 (01030000.4782833d.00000002.00000202(4c6ca7d5))
2023-04-28 22:50:30.555@LM_INFO@(25862|25864) DataReaderListenerImpl::on_liveliness_changed #19
  alive_count = 1
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 39 (01030000.4782833d.00000002.00000102(6741f416))
2023-04-28 22:50:30.556@LM_INFO@(25862|25864) DataReaderListenerImpl::on_liveliness_changed #20
  alive_count = 0
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.4782833d.00000002.00000202(4c6ca7d5))
2023-04-28 22:50:30.558@LM_INFO@(25862|25864) DataReaderListenerImpl::on_liveliness_changed #21
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 48 (01030000.4782833d.00000002.00000302(55779694))
2023-04-28 22:50:30.569@LM_INFO@(25862|25864) DataReaderListenerImpl::on_liveliness_changed #22
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 42 (01030000.4782833d.00000003.00000102(5a21dda6))
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl rtps lost Time:41s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_rtps_tcp.ini  -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 25893 started at 2023-04-28 22:50:30
2023-04-28 22:50:30.840@LM_NOTICE@(25893|25893) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
2023-04-28 22:50:30.885@LM_WARNING@(25893|25893) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-28 22:50:31.212@LM_DEBUG@(25893|25893) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-28 22:50:31.212@LM_DEBUG@(25893|25893) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 0103000c.297a35f2.6525c025.00000002(95922730)
2023-04-28 22:50:31.212@LM_DEBUG@(25893|25893) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-28 22:50:31.212@LM_DEBUG@(25893|25893) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 0103000c.297a35f2.6525c026.00000002(d2325de0)
2023-04-28 22:50:31.212@LM_DEBUG@(25893|25907) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-28 22:50:31.212@LM_DEBUG@(25893|25893) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-28 22:50:31.212@LM_DEBUG@(25893|25893) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 0103000c.297a35f2.6525c025.01000002(2d2e4055)
2023-04-28 22:50:31.212@LM_DEBUG@(25893|25893) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-28 22:50:31.212@LM_DEBUG@(25893|25893) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 0103000c.297a35f2.6525c025.02000002(3f9befbb)
2023-04-28 22:50:31.212@LM_DEBUG@(25893|25893) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-28 22:50:31.212@LM_DEBUG@(25893|25910) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-28 22:50:31.212@LM_DEBUG@(25893|25910) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:50:31.212@LM_DEBUG@(25893|25908) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-28 22:50:31.212@LM_DEBUG@(25893|25908) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:50:31.212@LM_INFO@(25893|25906) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 23 (0103000c.297a35f2.6525c025.00000002(95922730))
2023-04-28 22:50:31.213@LM_DEBUG@(25893|25909) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-28 22:50:31.213@LM_DEBUG@(25893|25907) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:50:31.213@LM_INFO@(25893|25906) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 28 (0103000c.297a35f2.6525c025.02000002(3f9befbb))
2023-04-28 22:50:31.214@LM_INFO@(25893|25906) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-28 22:50:31.214@LM_INFO@(25893|25906) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 26 (0103000c.297a35f2.6525c025.01000002(2d2e4055))
2023-04-28 22:50:31.214@LM_DEBUG@(25893|25909) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:50:31.214@LM_INFO@(25893|25906) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-28 22:50:32.491@LM_INFO@(25893|25900) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 15 (0103000c.297a35f2.6525c026.00000002(d2325de0))
2023-04-28 22:50:33.213@LM_DEBUG@(25893|25908) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:50:33.213@LM_DEBUG@(25893|25910) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:50:33.213@LM_DEBUG@(25893|25907) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:50:33.214@LM_INFO@(25893|25906) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-28 22:50:33.215@LM_DEBUG@(25893|25909) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:50:33.215@LM_INFO@(25893|25906) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-28 22:50:35.213@LM_DEBUG@(25893|25908) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:50:35.213@LM_DEBUG@(25893|25910) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:50:35.213@LM_DEBUG@(25893|25907) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:50:35.215@LM_DEBUG@(25893|25909) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:50:35.287@LM_INFO@(25893|25906) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-28 22:50:35.287@LM_INFO@(25893|25906) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-28 22:50:37.213@LM_DEBUG@(25893|25908) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:50:37.286@LM_DEBUG@(25893|25910) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:50:37.286@LM_DEBUG@(25893|25907) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:50:37.287@LM_DEBUG@(25893|25909) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:50:37.287@LM_INFO@(25893|25906) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-28 22:50:37.287@LM_INFO@(25893|25906) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-28 22:50:39.213@LM_DEBUG@(25893|25908) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:50:39.287@LM_DEBUG@(25893|25910) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:50:39.287@LM_DEBUG@(25893|25909) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:50:39.287@LM_DEBUG@(25893|25907) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:50:39.287@LM_INFO@(25893|25906) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-28 22:50:39.288@LM_INFO@(25893|25906) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-28 22:50:41.214@LM_DEBUG@(25893|25908) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:50:41.287@LM_DEBUG@(25893|25910) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:50:41.287@LM_DEBUG@(25893|25907) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:50:41.287@LM_DEBUG@(25893|25909) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:50:41.288@LM_INFO@(25893|25906) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-28 22:50:41.288@LM_INFO@(25893|25906) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-28 22:50:43.214@LM_DEBUG@(25893|25908) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:50:43.288@LM_DEBUG@(25893|25910) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:50:43.288@LM_DEBUG@(25893|25909) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:50:43.288@LM_DEBUG@(25893|25907) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:50:43.288@LM_INFO@(25893|25906) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-28 22:50:43.289@LM_INFO@(25893|25906) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-28 22:50:45.214@LM_DEBUG@(25893|25908) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:50:45.288@LM_DEBUG@(25893|25910) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:50:45.288@LM_DEBUG@(25893|25907) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:50:45.288@LM_DEBUG@(25893|25909) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:50:45.289@LM_INFO@(25893|25906) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-28 22:50:45.289@LM_INFO@(25893|25906) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-28 22:50:47.214@LM_DEBUG@(25893|25908) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:50:47.289@LM_DEBUG@(25893|25910) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:50:47.289@LM_DEBUG@(25893|25907) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:50:47.289@LM_DEBUG@(25893|25909) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:50:47.289@LM_INFO@(25893|25906) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-28 22:50:47.289@LM_INFO@(25893|25906) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-28 22:50:49.215@LM_DEBUG@(25893|25908) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:50:49.289@LM_DEBUG@(25893|25910) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:50:49.289@LM_DEBUG@(25893|25909) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:50:49.289@LM_DEBUG@(25893|25907) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:50:49.290@LM_INFO@(25893|25906) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-28 22:50:49.290@LM_INFO@(25893|25906) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-28 22:50:51.215@LM_DEBUG@(25893|25908) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-28 22:50:51.289@LM_DEBUG@(25893|25910) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-28 22:50:51.290@LM_DEBUG@(25893|25907) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-28 22:50:51.290@LM_DEBUG@(25893|25909) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-28 22:50:51.290@LM_DEBUG@(25893|25893) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-28 22:50:51.290@LM_DEBUG@(25893|25893) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-28 22:50:51.290@LM_DEBUG@(25893|25893) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-28 22:50:51.290@LM_DEBUG@(25893|25893) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-28 22:50:51.290@LM_DEBUG@(25893|25893) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-28 22:50:51.290@LM_DEBUG@(25893|25893) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-28 22:50:51.290@LM_DEBUG@(25893|25893) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-28 22:50:51.290@LM_INFO@(25893|25893) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-28 22:50:52.490@LM_INFO@(25893|25894) DataWriterListenerImpl::on_liveliness_lost 0x13c5bd0 1
2023-04-28 22:50:52.490@LM_INFO@(25893|25894)    total_count=1 total_count_change=1
2023-04-28 22:50:52.490@LM_INFO@(25893|25894) DataWriterListenerImpl::on_liveliness_lost 0x13ba8f0 1
2023-04-28 22:50:52.490@LM_INFO@(25893|25894)    total_count=1 total_count_change=1
2023-04-28 22:50:52.490@LM_INFO@(25893|25894) DataWriterListenerImpl::on_liveliness_lost 0x131cc20 1
2023-04-28 22:50:52.490@LM_INFO@(25893|25894)    total_count=1 total_count_change=1
2023-04-28 22:50:53.291@LM_INFO@(25893|25894) DataWriterListenerImpl::on_liveliness_lost 0x1374a60 1
2023-04-28 22:50:53.291@LM_INFO@(25893|25894)    total_count=1 total_count_change=1
2023-04-28 22:50:55.092@LM_INFO@(25893|25894) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 23 (0103000c.297a35f2.6525c025.00000002(95922730))
2023-04-28 22:50:55.092@LM_INFO@(25893|25894) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 26 (0103000c.297a35f2.6525c025.01000002(2d2e4055))
2023-04-28 22:50:55.092@LM_INFO@(25893|25894) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 28 (0103000c.297a35f2.6525c025.02000002(3f9befbb))
2023-04-28 22:50:55.098@LM_INFO@(25893|25894) instance is unregistered
2023-04-28 22:50:55.098@LM_INFO@(25893|25894) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-28 22:50:55.098@LM_INFO@(25893|25894) DataReaderListenerImpl::on_liveliness_changed #8
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 15 (0103000c.297a35f2.6525c026.00000002(d2325de0))
2023-04-28 22:50:55.098@LM_INFO@(25893|25893) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-28 22:50:55.098@LM_INFO@subscriber got 20 of 20 messages, and 8 of 8 callbacks
2023-04-28 22:50:55.099@LM_INFO@(25893|25906) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 23 (0103000c.297a35f2.6525c025.00000002(95922730))
2023-04-28 22:50:55.099@LM_INFO@(25893|25906) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 26 (0103000c.297a35f2.6525c025.01000002(2d2e4055))
2023-04-28 22:50:55.104@LM_INFO@(25893|25899) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 1
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 23 (0103000c.297a35f2.6525c025.00000002(95922730))
2023-04-28 22:50:55.104@LM_INFO@(25893|25899) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 0
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 26 (0103000c.297a35f2.6525c025.01000002(2d2e4055))
2023-04-28 22:50:55.105@LM_INFO@(25893|25899) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 28 (0103000c.297a35f2.6525c025.02000002(3f9befbb))
2023-04-28 22:50:55.107@LM_INFO@(25893|25899) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 15 (0103000c.297a35f2.6525c026.00000002(d2325de0))
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco Time:25s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco lost #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_rtps_tcp.ini -l -n 4 -t 10 -c 8 -N 4 -C 16 -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 25919 started at 2023-04-28 22:50:55
2023-04-28 22:50:55.267@LM_NOTICE@(25919|25919) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
2023-04-28 22:50:55.318@LM_WARNING@(25919|25919) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-28 22:50:55.630@LM_DEBUG@(25919|25919) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-28 22:50:55.630@LM_DEBUG@(25919|25919) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 0103000c.297a35f2.653fdc17.00000002(f9c9cdb6)
2023-04-28 22:50:55.654@LM_DEBUG@(25919|25919) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-28 22:50:55.654@LM_DEBUG@(25919|25933) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-28 22:50:55.654@LM_DEBUG@(25919|25919) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 0103000c.297a35f2.653fdc18.00000002(7b995a67)
2023-04-28 22:50:55.654@LM_DEBUG@(25919|25919) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-28 22:50:55.654@LM_DEBUG@(25919|25919) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 0103000c.297a35f2.653fdc17.01000002(4175aad3)
2023-04-28 22:50:55.654@LM_DEBUG@(25919|25919) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-28 22:50:55.654@LM_DEBUG@(25919|25919) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 0103000c.297a35f2.653fdc17.02000002(53c0053d)
2023-04-28 22:50:55.655@LM_DEBUG@(25919|25919) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-28 22:50:55.655@LM_DEBUG@(25919|25936) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-28 22:50:55.655@LM_DEBUG@(25919|25936) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:50:55.655@LM_DEBUG@(25919|25934) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-28 22:50:55.655@LM_DEBUG@(25919|25934) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:50:55.655@LM_INFO@(25919|25932) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 23 (0103000c.297a35f2.653fdc17.00000002(f9c9cdb6))
2023-04-28 22:50:55.655@LM_DEBUG@(25919|25933) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:50:55.655@LM_INFO@(25919|25932) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 28 (0103000c.297a35f2.653fdc17.02000002(53c0053d))
2023-04-28 22:50:55.655@LM_DEBUG@(25919|25935) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-28 22:50:55.656@LM_DEBUG@(25919|25935) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:50:55.656@LM_INFO@(25919|25932) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-28 22:50:55.656@LM_INFO@(25919|25932) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 25 (0103000c.297a35f2.653fdc17.01000002(4175aad3))
2023-04-28 22:50:55.656@LM_INFO@(25919|25932) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-28 22:50:56.924@LM_INFO@(25919|25926) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 20 (0103000c.297a35f2.653fdc18.00000002(7b995a67))
2023-04-28 22:50:58.855@LM_INFO@(25919|25920) DataWriterListenerImpl::on_liveliness_lost 0x235bbe0 1
2023-04-28 22:50:58.855@LM_INFO@(25919|25920)    total_count=1 total_count_change=1
2023-04-28 22:50:58.856@LM_INFO@(25919|25920) DataWriterListenerImpl::on_liveliness_lost 0x22b2e80 1
2023-04-28 22:50:58.856@LM_INFO@(25919|25920)    total_count=1 total_count_change=1
2023-04-28 22:50:58.856@LM_INFO@(25919|25920) DataWriterListenerImpl::on_liveliness_lost 0x2350900 1
2023-04-28 22:50:58.856@LM_INFO@(25919|25920)    total_count=1 total_count_change=1
2023-04-28 22:51:00.123@LM_INFO@(25919|25920) DataWriterListenerImpl::on_liveliness_lost 0x230aab0 1
2023-04-28 22:51:00.123@LM_INFO@(25919|25920)    total_count=1 total_count_change=1
2023-04-28 22:51:01.897@LM_INFO@(25919|25920) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 23 (0103000c.297a35f2.653fdc17.00000002(f9c9cdb6))
2023-04-28 22:51:01.897@LM_INFO@(25919|25920) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 25 (0103000c.297a35f2.653fdc17.01000002(4175aad3))
2023-04-28 22:51:01.897@LM_INFO@(25919|25920) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 28 (0103000c.297a35f2.653fdc17.02000002(53c0053d))
2023-04-28 22:51:01.925@LM_INFO@(25919|25920) instance is unregistered
2023-04-28 22:51:01.925@LM_INFO@(25919|25920) DataReaderListenerImpl::on_liveliness_changed #8
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 20 (0103000c.297a35f2.653fdc18.00000002(7b995a67))
2023-04-28 22:51:25.655@LM_DEBUG@(25919|25934) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:51:25.656@LM_DEBUG@(25919|25936) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:51:25.656@LM_DEBUG@(25919|25933) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:51:25.656@LM_INFO@(25919|25932) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 28 (0103000c.297a35f2.653fdc17.02000002(53c0053d))
2023-04-28 22:51:25.656@LM_DEBUG@(25919|25935) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:51:25.656@LM_INFO@(25919|25932) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 23 (0103000c.297a35f2.653fdc17.00000002(f9c9cdb6))
2023-04-28 22:51:25.657@LM_INFO@(25919|25932) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-28 22:51:25.657@LM_INFO@(25919|25932) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 3
  not_alive_count = 1
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 25 (0103000c.297a35f2.653fdc17.01000002(4175aad3))
2023-04-28 22:51:25.657@LM_INFO@(25919|25932) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-28 22:51:25.727@LM_INFO@(25919|25926) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 20 (0103000c.297a35f2.653fdc18.00000002(7b995a67))
2023-04-28 22:51:28.857@LM_INFO@(25919|25920) DataWriterListenerImpl::on_liveliness_lost 0x235bbe0 2
2023-04-28 22:51:28.857@LM_INFO@(25919|25920)    total_count=2 total_count_change=1
2023-04-28 22:51:28.857@LM_INFO@(25919|25920) DataWriterListenerImpl::on_liveliness_lost 0x22b2e80 2
2023-04-28 22:51:28.857@LM_INFO@(25919|25920)    total_count=2 total_count_change=1
2023-04-28 22:51:28.857@LM_INFO@(25919|25920) DataWriterListenerImpl::on_liveliness_lost 0x2350900 2
2023-04-28 22:51:28.857@LM_INFO@(25919|25920)    total_count=2 total_count_change=1
2023-04-28 22:51:28.923@LM_INFO@(25919|25920) DataWriterListenerImpl::on_liveliness_lost 0x230aab0 2
2023-04-28 22:51:28.923@LM_INFO@(25919|25920)    total_count=2 total_count_change=1
2023-04-28 22:51:30.721@LM_INFO@(25919|25920) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 23 (0103000c.297a35f2.653fdc17.00000002(f9c9cdb6))
2023-04-28 22:51:30.721@LM_INFO@(25919|25920) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 25 (0103000c.297a35f2.653fdc17.01000002(4175aad3))
2023-04-28 22:51:30.721@LM_INFO@(25919|25920) DataReaderListenerImpl::on_liveliness_changed #15
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 28 (0103000c.297a35f2.653fdc17.02000002(53c0053d))
2023-04-28 22:51:30.728@LM_INFO@(25919|25920) instance is unregistered
2023-04-28 22:51:30.728@LM_INFO@(25919|25920) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-28 22:51:30.728@LM_INFO@(25919|25920) DataReaderListenerImpl::on_liveliness_changed #16
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 20 (0103000c.297a35f2.653fdc18.00000002(7b995a67))
2023-04-28 22:51:35.655@LM_DEBUG@(25919|25934) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-28 22:51:35.656@LM_DEBUG@(25919|25936) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-28 22:51:35.657@LM_DEBUG@(25919|25935) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-28 22:51:35.657@LM_DEBUG@(25919|25933) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-28 22:51:35.657@LM_DEBUG@(25919|25919) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-28 22:51:35.657@LM_DEBUG@(25919|25919) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-28 22:51:35.657@LM_DEBUG@(25919|25919) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-28 22:51:35.657@LM_DEBUG@(25919|25919) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-28 22:51:35.657@LM_DEBUG@(25919|25919) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-28 22:51:35.657@LM_DEBUG@(25919|25919) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-28 22:51:35.657@LM_DEBUG@(25919|25919) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-28 22:51:35.657@LM_INFO@(25919|25919) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-28 22:51:35.657@LM_INFO@(25919|25919) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-28 22:51:35.657@LM_INFO@subscriber got 4 of 4 messages, and 16 of 16 callbacks
2023-04-28 22:51:35.658@LM_INFO@(25919|25932) DataReaderListenerImpl::on_liveliness_changed #17
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 23 (0103000c.297a35f2.653fdc17.00000002(f9c9cdb6))
2023-04-28 22:51:35.658@LM_INFO@(25919|25932) DataReaderListenerImpl::on_liveliness_changed #18
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 25 (0103000c.297a35f2.653fdc17.01000002(4175aad3))
2023-04-28 22:51:35.660@LM_INFO@(25919|25925) DataReaderListenerImpl::on_liveliness_changed #19
  alive_count = 1
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 23 (0103000c.297a35f2.653fdc17.00000002(f9c9cdb6))
2023-04-28 22:51:35.661@LM_INFO@(25919|25925) DataReaderListenerImpl::on_liveliness_changed #20
  alive_count = 0
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 25 (0103000c.297a35f2.653fdc17.01000002(4175aad3))
2023-04-28 22:51:35.661@LM_INFO@(25919|25925) DataReaderListenerImpl::on_liveliness_changed #21
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 28 (0103000c.297a35f2.653fdc17.02000002(53c0053d))
2023-04-28 22:51:35.667@LM_INFO@(25919|25925) DataReaderListenerImpl::on_liveliness_changed #22
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 20 (0103000c.297a35f2.653fdc18.00000002(7b995a67))
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco lost Time:40s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_rtps_rtps.ini  -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 25948 started at 2023-04-28 22:51:35
2023-04-28 22:51:35.827@LM_NOTICE@(25948|25948) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
2023-04-28 22:51:36.195@LM_DEBUG@(25948|25948) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-28 22:51:36.196@LM_DEBUG@(25948|25948) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 0103000c.297a35f2.655c5ae1.00000002(4c0ac9f6)
2023-04-28 22:51:36.196@LM_DEBUG@(25948|25948) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-28 22:51:36.196@LM_DEBUG@(25948|25962) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-28 22:51:36.196@LM_DEBUG@(25948|25948) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 0103000c.297a35f2.655c5ae2.00000002(0baab326)
2023-04-28 22:51:36.196@LM_DEBUG@(25948|25948) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-28 22:51:36.196@LM_DEBUG@(25948|25948) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 0103000c.297a35f2.655c5ae1.01000002(f4b6ae93)
2023-04-28 22:51:36.196@LM_DEBUG@(25948|25948) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-28 22:51:36.196@LM_DEBUG@(25948|25948) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 0103000c.297a35f2.655c5ae1.02000002(e603017d)
2023-04-28 22:51:36.196@LM_DEBUG@(25948|25948) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-28 22:51:36.197@LM_DEBUG@(25948|25962) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:51:36.197@LM_DEBUG@(25948|25963) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-28 22:51:36.197@LM_DEBUG@(25948|25963) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:51:36.197@LM_DEBUG@(25948|25965) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-28 22:51:36.197@LM_DEBUG@(25948|25965) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:51:36.197@LM_INFO@(25948|25961) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 24 (0103000c.297a35f2.655c5ae1.00000002(4c0ac9f6))
2023-04-28 22:51:36.198@LM_INFO@(25948|25961) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-28 22:51:36.198@LM_DEBUG@(25948|25964) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-28 22:51:36.198@LM_INFO@(25948|25961) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 26 (0103000c.297a35f2.655c5ae1.01000002(f4b6ae93))
2023-04-28 22:51:36.198@LM_DEBUG@(25948|25964) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:51:36.199@LM_INFO@(25948|25961) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-28 22:51:36.207@LM_INFO@(25948|25961) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 28 (0103000c.297a35f2.655c5ae1.02000002(e603017d))
2023-04-28 22:51:37.458@LM_INFO@(25948|25955) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 20 (0103000c.297a35f2.655c5ae2.00000002(0baab326))
2023-04-28 22:51:38.197@LM_DEBUG@(25948|25963) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:51:38.197@LM_DEBUG@(25948|25962) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:51:38.198@LM_INFO@(25948|25961) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-28 22:51:38.198@LM_DEBUG@(25948|25965) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:51:38.199@LM_DEBUG@(25948|25964) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:51:38.200@LM_INFO@(25948|25961) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-28 22:51:40.197@LM_DEBUG@(25948|25963) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:51:40.198@LM_DEBUG@(25948|25962) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:51:40.199@LM_DEBUG@(25948|25965) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:51:40.199@LM_INFO@(25948|25961) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-28 22:51:40.200@LM_DEBUG@(25948|25964) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:51:40.200@LM_INFO@(25948|25961) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-28 22:51:42.198@LM_DEBUG@(25948|25963) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:51:42.198@LM_DEBUG@(25948|25962) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:51:42.199@LM_DEBUG@(25948|25965) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:51:42.200@LM_INFO@(25948|25961) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-28 22:51:42.200@LM_DEBUG@(25948|25964) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:51:42.201@LM_INFO@(25948|25961) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-28 22:51:44.198@LM_DEBUG@(25948|25963) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:51:44.199@LM_DEBUG@(25948|25962) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:51:44.199@LM_DEBUG@(25948|25965) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:51:44.200@LM_INFO@(25948|25961) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-28 22:51:44.200@LM_DEBUG@(25948|25964) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:51:44.201@LM_INFO@(25948|25961) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-28 22:51:46.198@LM_DEBUG@(25948|25963) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:51:46.199@LM_DEBUG@(25948|25965) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:51:46.200@LM_DEBUG@(25948|25962) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:51:46.201@LM_INFO@(25948|25961) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-28 22:51:46.201@LM_DEBUG@(25948|25964) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:51:46.202@LM_INFO@(25948|25961) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-28 22:51:48.198@LM_DEBUG@(25948|25963) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:51:48.200@LM_DEBUG@(25948|25965) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:51:48.200@LM_DEBUG@(25948|25962) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:51:48.201@LM_INFO@(25948|25961) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-28 22:51:48.201@LM_DEBUG@(25948|25964) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:51:48.202@LM_INFO@(25948|25961) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-28 22:51:50.199@LM_DEBUG@(25948|25963) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:51:50.200@LM_DEBUG@(25948|25965) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:51:50.201@LM_DEBUG@(25948|25962) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:51:50.202@LM_DEBUG@(25948|25964) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:51:50.202@LM_INFO@(25948|25961) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-28 22:51:50.202@LM_INFO@(25948|25961) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-28 22:51:52.199@LM_DEBUG@(25948|25963) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:51:52.201@LM_DEBUG@(25948|25965) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:51:52.202@LM_DEBUG@(25948|25962) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:51:52.202@LM_DEBUG@(25948|25964) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:51:52.202@LM_INFO@(25948|25961) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-28 22:51:52.203@LM_INFO@(25948|25961) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-28 22:51:54.199@LM_DEBUG@(25948|25963) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:51:54.201@LM_DEBUG@(25948|25965) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:51:54.202@LM_DEBUG@(25948|25962) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:51:54.203@LM_DEBUG@(25948|25964) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:51:54.203@LM_INFO@(25948|25961) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-28 22:51:54.203@LM_INFO@(25948|25961) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-28 22:51:56.199@LM_DEBUG@(25948|25963) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-28 22:51:56.201@LM_DEBUG@(25948|25965) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-28 22:51:56.203@LM_DEBUG@(25948|25962) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-28 22:51:56.203@LM_DEBUG@(25948|25948) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-28 22:51:56.203@LM_DEBUG@(25948|25948) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-28 22:51:56.203@LM_DEBUG@(25948|25948) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-28 22:51:56.203@LM_DEBUG@(25948|25948) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-28 22:51:56.203@LM_DEBUG@(25948|25964) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-28 22:51:56.203@LM_DEBUG@(25948|25948) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-28 22:51:56.203@LM_DEBUG@(25948|25948) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-28 22:51:56.203@LM_DEBUG@(25948|25948) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-28 22:51:56.203@LM_INFO@(25948|25948) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-28 22:51:57.402@LM_INFO@(25948|25949) DataWriterListenerImpl::on_liveliness_lost 0x166f3f0 1
2023-04-28 22:51:57.402@LM_INFO@(25948|25949)    total_count=1 total_count_change=1
2023-04-28 22:51:57.402@LM_INFO@(25948|25949) DataWriterListenerImpl::on_liveliness_lost 0x162cec0 1
2023-04-28 22:51:57.402@LM_INFO@(25948|25949)    total_count=1 total_count_change=1
2023-04-28 22:51:57.403@LM_INFO@(25948|25949) DataWriterListenerImpl::on_liveliness_lost 0x1664020 1
2023-04-28 22:51:57.403@LM_INFO@(25948|25949)    total_count=1 total_count_change=1
2023-04-28 22:51:58.262@LM_INFO@(25948|25949) DataWriterListenerImpl::on_liveliness_lost 0x164a1b0 1
2023-04-28 22:51:58.262@LM_INFO@(25948|25949)    total_count=1 total_count_change=1
2023-04-28 22:52:00.064@LM_INFO@(25948|25949) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 24 (0103000c.297a35f2.655c5ae1.00000002(4c0ac9f6))
2023-04-28 22:52:00.064@LM_INFO@(25948|25949) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 26 (0103000c.297a35f2.655c5ae1.01000002(f4b6ae93))
2023-04-28 22:52:00.064@LM_INFO@(25948|25949) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 28 (0103000c.297a35f2.655c5ae1.02000002(e603017d))
2023-04-28 22:52:00.064@LM_INFO@(25948|25949) instance is unregistered
2023-04-28 22:52:00.064@LM_INFO@(25948|25949) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-28 22:52:00.064@LM_INFO@(25948|25949) DataReaderListenerImpl::on_liveliness_changed #8
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 20 (0103000c.297a35f2.655c5ae2.00000002(0baab326))
2023-04-28 22:52:00.064@LM_INFO@(25948|25948) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-28 22:52:00.064@LM_INFO@subscriber got 20 of 20 messages, and 8 of 8 callbacks
2023-04-28 22:52:00.065@LM_INFO@(25948|25961) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 24 (0103000c.297a35f2.655c5ae1.00000002(4c0ac9f6))
2023-04-28 22:52:00.065@LM_INFO@(25948|25961) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 26 (0103000c.297a35f2.655c5ae1.01000002(f4b6ae93))
2023-04-28 22:52:01.088@LM_INFO@(25948|25954) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 1
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 24 (0103000c.297a35f2.655c5ae1.00000002(4c0ac9f6))
2023-04-28 22:52:01.090@LM_INFO@(25948|25954) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 0
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 26 (0103000c.297a35f2.655c5ae1.01000002(f4b6ae93))
2023-04-28 22:52:01.090@LM_INFO@(25948|25954) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 28 (0103000c.297a35f2.655c5ae1.02000002(e603017d))
2023-04-28 22:52:01.098@LM_INFO@(25948|25954) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 20 (0103000c.297a35f2.655c5ae2.00000002(0baab326))
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco rtps Time:26s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco rtps lost #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_rtps_rtps.ini -l -n 4 -t 10 -c 8 -N 4 -C 16 -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 25969 started at 2023-04-28 22:52:01
2023-04-28 22:52:01.242@LM_NOTICE@(25969|25969) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
2023-04-28 22:52:01.612@LM_DEBUG@(25969|25969) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-28 22:52:01.612@LM_DEBUG@(25969|25969) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 0103000c.297a35f2.65715660.00000002(1924c8f3)
2023-04-28 22:52:01.626@LM_DEBUG@(25969|25969) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-28 22:52:01.626@LM_DEBUG@(25969|25983) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-28 22:52:01.626@LM_DEBUG@(25969|25969) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 0103000c.297a35f2.65715661.00000002(2444e143)
2023-04-28 22:52:01.626@LM_DEBUG@(25969|25969) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-28 22:52:01.626@LM_DEBUG@(25969|25969) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 0103000c.297a35f2.65715660.01000002(a198af96)
2023-04-28 22:52:01.626@LM_DEBUG@(25969|25969) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-28 22:52:01.627@LM_DEBUG@(25969|25969) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 0103000c.297a35f2.65715660.02000002(b32d0078)
2023-04-28 22:52:01.627@LM_DEBUG@(25969|25969) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-28 22:52:01.627@LM_DEBUG@(25969|25986) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-28 22:52:01.627@LM_DEBUG@(25969|25986) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:52:01.627@LM_DEBUG@(25969|25984) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-28 22:52:01.627@LM_DEBUG@(25969|25984) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:52:01.627@LM_DEBUG@(25969|25983) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:52:01.627@LM_INFO@(25969|25982) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 24 (0103000c.297a35f2.65715660.00000002(1924c8f3))
2023-04-28 22:52:01.627@LM_DEBUG@(25969|25985) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-28 22:52:01.627@LM_DEBUG@(25969|25985) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:52:01.628@LM_INFO@(25969|25982) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-28 22:52:01.628@LM_INFO@(25969|25982) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 26 (0103000c.297a35f2.65715660.01000002(a198af96))
2023-04-28 22:52:01.628@LM_INFO@(25969|25982) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-28 22:52:01.639@LM_INFO@(25969|25982) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 28 (0103000c.297a35f2.65715660.02000002(b32d0078))
2023-04-28 22:52:02.879@LM_INFO@(25969|25976) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 20 (0103000c.297a35f2.65715661.00000002(2444e143))
2023-04-28 22:52:04.827@LM_INFO@(25969|25970) DataWriterListenerImpl::on_liveliness_lost 0x153f850 1
2023-04-28 22:52:04.827@LM_INFO@(25969|25970)    total_count=1 total_count_change=1
2023-04-28 22:52:04.827@LM_INFO@(25969|25970) DataWriterListenerImpl::on_liveliness_lost 0x14fcec0 1
2023-04-28 22:52:04.827@LM_INFO@(25969|25970)    total_count=1 total_count_change=1
2023-04-28 22:52:04.828@LM_INFO@(25969|25970) DataWriterListenerImpl::on_liveliness_lost 0x15344f0 1
2023-04-28 22:52:04.828@LM_INFO@(25969|25970)    total_count=1 total_count_change=1
2023-04-28 22:52:06.078@LM_INFO@(25969|25970) DataWriterListenerImpl::on_liveliness_lost 0x151a5e0 1
2023-04-28 22:52:06.078@LM_INFO@(25969|25970)    total_count=1 total_count_change=1
2023-04-28 22:52:07.876@LM_INFO@(25969|25970) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 24 (0103000c.297a35f2.65715660.00000002(1924c8f3))
2023-04-28 22:52:07.876@LM_INFO@(25969|25970) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 26 (0103000c.297a35f2.65715660.01000002(a198af96))
2023-04-28 22:52:07.876@LM_INFO@(25969|25970) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 28 (0103000c.297a35f2.65715660.02000002(b32d0078))
2023-04-28 22:52:07.880@LM_INFO@(25969|25970) instance is unregistered
2023-04-28 22:52:07.880@LM_INFO@(25969|25970) DataReaderListenerImpl::on_liveliness_changed #8
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 20 (0103000c.297a35f2.65715661.00000002(2444e143))
2023-04-28 22:52:31.628@LM_DEBUG@(25969|25983) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 22:52:31.628@LM_DEBUG@(25969|25985) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 22:52:31.629@LM_DEBUG@(25969|25986) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 22:52:31.629@LM_DEBUG@(25969|25984) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 22:52:31.629@LM_INFO@(25969|25982) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 24 (0103000c.297a35f2.65715660.00000002(1924c8f3))
2023-04-28 22:52:31.629@LM_INFO@(25969|25982) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-28 22:52:31.629@LM_INFO@(25969|25982) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 26 (0103000c.297a35f2.65715660.01000002(a198af96))
2023-04-28 22:52:31.630@LM_INFO@(25969|25982) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-28 22:52:31.639@LM_INFO@(25969|25982) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 3
  not_alive_count = 1
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 28 (0103000c.297a35f2.65715660.02000002(b32d0078))
2023-04-28 22:52:31.683@LM_INFO@(25969|25976) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 20 (0103000c.297a35f2.65715661.00000002(2444e143))
2023-04-28 22:52:34.830@LM_INFO@(25969|25970) DataWriterListenerImpl::on_liveliness_lost 0x14fcec0 2
2023-04-28 22:52:34.830@LM_INFO@(25969|25970)    total_count=2 total_count_change=1
2023-04-28 22:52:34.830@LM_INFO@(25969|25970) DataWriterListenerImpl::on_liveliness_lost 0x15344f0 2
2023-04-28 22:52:34.830@LM_INFO@(25969|25970)    total_count=2 total_count_change=1
2023-04-28 22:52:34.830@LM_INFO@(25969|25970) DataWriterListenerImpl::on_liveliness_lost 0x153f850 2
2023-04-28 22:52:34.830@LM_INFO@(25969|25970)    total_count=2 total_count_change=1
2023-04-28 22:52:34.878@LM_INFO@(25969|25970) DataWriterListenerImpl::on_liveliness_lost 0x151a5e0 2
2023-04-28 22:52:34.879@LM_INFO@(25969|25970)    total_count=2 total_count_change=1
2023-04-28 22:52:36.683@LM_INFO@(25969|25970) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 24 (0103000c.297a35f2.65715660.00000002(1924c8f3))
2023-04-28 22:52:36.683@LM_INFO@(25969|25970) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 26 (0103000c.297a35f2.65715660.01000002(a198af96))
2023-04-28 22:52:36.683@LM_INFO@(25969|25970) DataReaderListenerImpl::on_liveliness_changed #15
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 28 (0103000c.297a35f2.65715660.02000002(b32d0078))
2023-04-28 22:52:36.709@LM_INFO@(25969|25970) instance is unregistered
2023-04-28 22:52:36.709@LM_INFO@(25969|25970) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-28 22:52:36.709@LM_INFO@(25969|25970) DataReaderListenerImpl::on_liveliness_changed #16
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 20 (0103000c.297a35f2.65715661.00000002(2444e143))
2023-04-28 22:52:41.629@LM_DEBUG@(25969|25983) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-28 22:52:41.629@LM_DEBUG@(25969|25969) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-28 22:52:41.629@LM_DEBUG@(25969|25969) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-28 22:52:41.629@LM_DEBUG@(25969|25985) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-28 22:52:41.629@LM_DEBUG@(25969|25984) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-28 22:52:41.629@LM_DEBUG@(25969|25986) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-28 22:52:41.629@LM_DEBUG@(25969|25969) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-28 22:52:41.629@LM_DEBUG@(25969|25969) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-28 22:52:41.629@LM_DEBUG@(25969|25969) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-28 22:52:41.629@LM_DEBUG@(25969|25969) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-28 22:52:41.629@LM_DEBUG@(25969|25969) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-28 22:52:41.630@LM_INFO@(25969|25969) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-28 22:52:41.630@LM_INFO@(25969|25969) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-28 22:52:41.630@LM_INFO@subscriber got 4 of 4 messages, and 16 of 16 callbacks
2023-04-28 22:52:41.631@LM_INFO@(25969|25982) DataReaderListenerImpl::on_liveliness_changed #17
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 24 (0103000c.297a35f2.65715660.00000002(1924c8f3))
2023-04-28 22:52:41.631@LM_INFO@(25969|25982) DataReaderListenerImpl::on_liveliness_changed #18
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 26 (0103000c.297a35f2.65715660.01000002(a198af96))
2023-04-28 22:52:42.654@LM_INFO@(25969|25975) DataReaderListenerImpl::on_liveliness_changed #19
  alive_count = 1
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 24 (0103000c.297a35f2.65715660.00000002(1924c8f3))
2023-04-28 22:52:42.655@LM_INFO@(25969|25975) DataReaderListenerImpl::on_liveliness_changed #20
  alive_count = 0
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 26 (0103000c.297a35f2.65715660.01000002(a198af96))
2023-04-28 22:52:42.655@LM_INFO@(25969|25975) DataReaderListenerImpl::on_liveliness_changed #21
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 28 (0103000c.297a35f2.65715660.02000002(b32d0078))
2023-04-28 22:52:42.661@LM_INFO@(25969|25975) DataReaderListenerImpl::on_liveliness_changed #22
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 20 (0103000c.297a35f2.65715661.00000002(2444e143))
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco rtps lost Time:41s Result:0

==============================================================================

tests/DCPS/Serializer_wstring/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -NOBITS -DCPSPendingTimeout 3 
InfoRepo PID: 25998
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Serializer_wstring/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub.log -DCPSBit 0  -DCPSPendingTimeout 3 
pub PID: 26005 started at 2023-04-28 22:52:42
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Serializer_wstring/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub.log -DCPSBit 0  -DCPSPendingTimeout 3 
sub PID: 26006 started at 2023-04-28 22:52:42
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
Message: subject_id = 99
         count      = 0
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 1
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 2
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 3
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 4
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 5
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 6
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 7
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 8
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 9
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Serializer_wstring/run_test.pl Time:5s Result:0

==============================================================================

tests/DCPS/SharedTransport/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -o repo.ior 
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/test -DCPSPendingTimeout 3 -DCPSConfigFile tcp.ini 
(26024|26024) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(26017|26017) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26024|26024) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
TestCase.cpp:49: INFO: TestCase::init_datawriter
TestCase.cpp:62: INFO: TestCase::init_datareader
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 0
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 1
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 2
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 3
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 4
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 5
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 6
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 7
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 8
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 9
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 10
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 11
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 12
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 13
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 14
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 15
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 16
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 17
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 18
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 19
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 20
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 21
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 22
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 23
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 24
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 25
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 26
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 27
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 28
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 29
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 30
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 31
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 32
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 33
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 34
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 35
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 36
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 37
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 38
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 39
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 40
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 41
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 42
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 43
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 44
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 45
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 46
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 47
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 48
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 49
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 50
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 51
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 52
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 53
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 54
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 55
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 56
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 57
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 58
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 59
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 60
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 61
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 62
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 63
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 64
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 65
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 66
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 67
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 68
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 69
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 70
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 71
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 72
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 73
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 74
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 75
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 76
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 77
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 78
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 79
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 80
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 81
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 82
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 83
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 84
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 85
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 86
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 87
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 88
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 89
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 90
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 91
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 92
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 93
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 94
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 95
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 96
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 97
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 98
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 99
         message = Testing!
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/SharedTransport/run_test.pl Time:3s Result:0

==============================================================================

tests/DCPS/SharedTransport/run_test.pl rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/test -DCPSPendingTimeout 3 -DCPSConfigFile rtps.ini 
(26034|26034) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
TestCase.cpp:49: INFO: TestCase::init_datawriter
TestCase.cpp:62: INFO: TestCase::init_datareader
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 0
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 1
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 2
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 3
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 4
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 5
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 6
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 7
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 8
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 9
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 10
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 11
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 12
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 13
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 14
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 15
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 16
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 17
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 18
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 19
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 20
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 21
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 22
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 23
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 24
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 25
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 26
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 27
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 28
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 29
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 30
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 31
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 32
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 33
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 34
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 35
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 36
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 37
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 38
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 39
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 40
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 41
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 42
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 43
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 44
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 45
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 46
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 47
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 48
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 49
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 50
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 51
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 52
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 53
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 54
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 55
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 56
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 57
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 58
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 59
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 60
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 61
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 62
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 63
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 64
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 65
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 66
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 67
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 68
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 69
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 70
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 71
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 72
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 73
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 74
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 75
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 76
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 77
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 78
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 79
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 80
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 81
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 82
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 83
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 84
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 85
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 86
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 87
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 88
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 89
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 90
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 91
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 92
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 93
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 94
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 95
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 96
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 97
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 98
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 99
         message = Testing!
test PASSED.

auto_run_tests_finished: tests/DCPS/SharedTransport/run_test.pl rtps Time:4s Result:0

==============================================================================

tests/DCPS/SharedTransport/run_test.pl rtps 2 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/test -DCPSPendingTimeout 3 -DCPSConfigFile rtps.ini 2
(26041|26041) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
TestCase.cpp:49: INFO: TestCase::init_datawriter
TestCase.cpp:49: INFO: TestCase::init_datawriter
TestCase.cpp:62: INFO: TestCase::init_datareader
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 0
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 100
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 1
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 101
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 2
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 102
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 3
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 103
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 4
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 104
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 5
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 105
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 6
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 106
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 7
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 107
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 8
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 108
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 9
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 109
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 10
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 110
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 11
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 111
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 12
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 112
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 13
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 113
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 14
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 114
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 15
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 115
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 16
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 116
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 17
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 117
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 18
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 118
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 19
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 119
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 20
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 120
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 21
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 121
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 22
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 122
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 23
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 123
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 24
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 124
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 25
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 125
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 26
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 126
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 27
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 127
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 28
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 128
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 29
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 129
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 30
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 130
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 31
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 131
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 32
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 132
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 33
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 133
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 34
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 134
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 35
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 135
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 36
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 136
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 37
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 137
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 38
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 138
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 39
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 139
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 40
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 140
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 41
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 141
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 42
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 142
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 43
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 143
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 44
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 144
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 45
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 145
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 46
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 146
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 47
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 147
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 48
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 148
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 49
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 149
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 50
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 150
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 51
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 151
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 52
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 152
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 53
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 153
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 54
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 154
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 55
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 155
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 56
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 156
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 57
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 157
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 58
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 158
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 59
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 159
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 60
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 160
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 61
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 161
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 62
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 162
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 63
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 163
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 64
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 164
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 65
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 165
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 66
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 166
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 67
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 167
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 68
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 168
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 69
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 169
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 70
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 170
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 71
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 171
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 72
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 172
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 73
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 173
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 74
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 174
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 75
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 175
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 76
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 176
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 77
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 177
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 78
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 178
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 79
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 179
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 80
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 180
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 81
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 181
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 82
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 182
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 83
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 183
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 84
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 184
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 85
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 185
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 86
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 186
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 87
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 187
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 88
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 188
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 89
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 189
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 90
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 190
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 91
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 191
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 92
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 192
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 93
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 193
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 94
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 194
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 95
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 195
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 96
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 196
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 97
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 197
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 98
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 198
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 99
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 199
         message = Testing!
test PASSED.

auto_run_tests_finished: tests/DCPS/SharedTransport/run_test.pl rtps 2 Time:5s Result:0

==============================================================================

tests/DCPS/SharedTransport/run_test.pl udp #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -o repo.ior 
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/test -DCPSPendingTimeout 3 -DCPSConfigFile udp.ini 
(26055|26055) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(26048|26048) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26055|26055) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
TestCase.cpp:49: INFO: TestCase::init_datawriter
TestCase.cpp:62: INFO: TestCase::init_datareader
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 0
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 1
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 2
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 3
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 4
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 5
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 6
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 7
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 8
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 9
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 10
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 11
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 12
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 13
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 14
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 15
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 16
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 17
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 18
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 19
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 20
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 21
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 22
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 23
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 24
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 25
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 26
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 27
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 28
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 29
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 30
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 31
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 32
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 33
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 34
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 35
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 36
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 37
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 38
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 39
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 40
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 41
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 42
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 43
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 44
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 45
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 46
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 47
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 48
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 49
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 50
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 51
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 52
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 53
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 54
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 55
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 56
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 57
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 58
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 59
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 60
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 61
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 62
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 63
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 64
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 65
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 66
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 67
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 68
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 69
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 70
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 71
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 72
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 73
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 74
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 75
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 76
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 77
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 78
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 79
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 80
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 81
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 82
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 83
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 84
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 85
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 86
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 87
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 88
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 89
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 90
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 91
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 92
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 93
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 94
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 95
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 96
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 97
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 98
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 99
         message = Testing!
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/SharedTransport/run_test.pl udp Time:3s Result:0

==============================================================================

tests/DCPS/SharedTransport/run_test.pl multicast #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -o repo.ior 
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/test -DCPSPendingTimeout 3 -DCPSConfigFile multicast.ini 
(26073|26073) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(26066|26066) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26073|26073) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
TestCase.cpp:49: INFO: TestCase::init_datawriter
TestCase.cpp:62: INFO: TestCase::init_datareader
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 0
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 1
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 2
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 3
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 4
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 5
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 6
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 7
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 8
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 9
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 10
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 11
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 12
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 13
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 14
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 15
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 16
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 17
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 18
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 19
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 20
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 21
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 22
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 23
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 24
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 25
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 26
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 27
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 28
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 29
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 30
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 31
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 32
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 33
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 34
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 35
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 36
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 37
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 38
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 39
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 40
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 41
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 42
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 43
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 44
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 45
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 46
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 47
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 48
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 49
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 50
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 51
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 52
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 53
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 54
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 55
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 56
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 57
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 58
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 59
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 60
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 61
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 62
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 63
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 64
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 65
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 66
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 67
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 68
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 69
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 70
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 71
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 72
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 73
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 74
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 75
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 76
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 77
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 78
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 79
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 80
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 81
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 82
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 83
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 84
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 85
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 86
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 87
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 88
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 89
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 90
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 91
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 92
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 93
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 94
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 95
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 96
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 97
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 98
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 99
         message = Testing!
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/SharedTransport/run_test.pl multicast Time:4s Result:0

==============================================================================

tests/DCPS/SharedTransport/run_test.pl shmem #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -o repo.ior 
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/test -DCPSPendingTimeout 3 -DCPSConfigFile shmem.ini 
(26090|26090) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(26090|26090) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26083|26083) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
TestCase.cpp:49: INFO: TestCase::init_datawriter
TestCase.cpp:62: INFO: TestCase::init_datareader
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 0
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 1
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 2
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 3
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 4
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 5
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 6
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 7
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 8
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 9
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 10
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 11
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 12
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 13
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 14
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 15
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 16
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 17
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 18
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 19
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 20
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 21
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 22
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 23
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 24
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 25
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 26
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 27
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 28
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 29
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 30
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 31
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 32
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 33
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 34
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 35
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 36
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 37
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 38
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 39
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 40
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 41
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 42
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 43
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 44
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 45
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 46
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 47
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 48
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 49
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 50
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 51
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 52
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 53
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 54
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 55
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 56
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 57
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 58
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 59
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 60
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 61
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 62
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 63
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 64
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 65
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 66
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 67
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 68
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 69
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 70
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 71
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 72
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 73
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 74
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 75
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 76
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 77
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 78
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 79
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 80
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 81
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 82
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 83
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 84
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 85
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 86
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 87
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 88
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 89
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 90
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 91
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 92
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 93
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 94
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 95
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 96
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 97
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 98
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 99
         message = Testing!
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/SharedTransport/run_test.pl shmem Time:3s Result:0

==============================================================================

tests/DCPS/SharedTransport/run_test.pl rtps_disc_tcp #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/test -DCPSPendingTimeout 3 -DCPSConfigFile rtps_disc_tcp.ini 
(26101|26101) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
TestCase.cpp:49: INFO: TestCase::init_datawriter
(26101|26101) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
TestCase.cpp:62: INFO: TestCase::init_datareader
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 0
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 1
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 2
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 3
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 4
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 5
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 6
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 7
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 8
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 9
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 10
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 11
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 12
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 13
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 14
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 15
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 16
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 17
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 18
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 19
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 20
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 21
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 22
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 23
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 24
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 25
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 26
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 27
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 28
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 29
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 30
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 31
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 32
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 33
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 34
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 35
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 36
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 37
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 38
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 39
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 40
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 41
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 42
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 43
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 44
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 45
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 46
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 47
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 48
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 49
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 50
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 51
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 52
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 53
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 54
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 55
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 56
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 57
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 58
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 59
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 60
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 61
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 62
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 63
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 64
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 65
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 66
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 67
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 68
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 69
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 70
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 71
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 72
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 73
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 74
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 75
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 76
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 77
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 78
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 79
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 80
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 81
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 82
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 83
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 84
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 85
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 86
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 87
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 88
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 89
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 90
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 91
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 92
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 93
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 94
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 95
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 96
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 97
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 98
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 99
         message = Testing!
test PASSED.

auto_run_tests_finished: tests/DCPS/SharedTransport/run_test.pl rtps_disc_tcp Time:3s Result:0

==============================================================================

tests/DCPS/ConfigFile/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigFile/ConfigFile -DCPSConfigFile test1.ini
(26116|26116) Service_Participant::set_repo_ior: Repo[DEFAULT_REPO] == file://repo.ior
(26116|26116) [repository/DEFAULT_REPO]: RepositoryIor == file://repo.ior
(26116|26116) NOTICE: "DCPSDefaultDiscovery" is defined in config file, with value "MyDefaultDiscovery".
(26116|26116) NOTICE: "DCPSBidirGIOP" is not defined in config file - using code default.
(26116|26116) NOTICE: "DCPSThreadStatusInterval" is not defined in config file - using code default.
(26116|26116) NOTICE: "ORBLogFile" is not defined in config file - using code default.
(26116|26116) NOTICE: "ORBVerboseLogging" is not defined in config file - using code default.
(26116|26116) NOTICE: "DCPSMonitor" is not defined in config file - using code default.
(26116|26116) NOTICE: "DCPSTypeObjectEncoding" is not defined in config file - using code default.
(26116|26116) NOTICE: "DCPSLogLevel" is not defined in config file - using code default.
(26116|26116) NOTICE: "scheduler" is not defined in config file - using code default.
(26116|26116) NOTICE: "scheduler_slice" is not defined in config file - using code default.
(26116|26116) NOTICE: Service_Participant::load_domain_ranges(): config does not have a [DomainRange] section.
(26116|26116) [repository/xyz]: DCPSBitTransportIPAddress == 1.2.3.4
(26116|26116) [repository/xyz]: DCPSBitTransportPort == 4321
(26116|26116) [repository/xyz]: RepositoryIor == file://repo3.ior
(26116|26116) [repository/MyDefaultDiscovery]: RepositoryIor == file://repo3.ior
(26116|26116) [repository/333]: RepositoryIor == file://repo2.ior
(26116|26116) [repository/333]: RepositoryKey == 333
(26116|26116) NOTICE: TransportRegistry::load_transport_templates(): config does not have a [transport_template] section.
(26116|26116) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(26116|26116) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(26116|26116) NOTICE: "max_packet_size" is not defined in config file - using code default.
(26116|26116) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(26116|26116) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(26116|26116) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(26116|26116) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(26116|26116) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(26116|26116) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(26116|26116) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(26116|26116) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(26116|26116) NOTICE: "local_address" is not defined in config file - using code default.
(26116|26116) NOTICE: "pub_address" is not defined in config file - using code default.
(26116|26116) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(26116|26116) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(26116|26116) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(26116|26116) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(26116|26116) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(26116|26116) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(26116|26116) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(26116|26116) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(26116|26116) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(26116|26116) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(26116|26116) NOTICE: "local_address" is defined in config file, with value "localhost:".
(26116|26116) NOTICE: "pub_address" is not defined in config file - using code default.
(26116|26116) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(26116|26116) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(26116|26116) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(26116|26116) NOTICE: "max_packet_size" is not defined in config file - using code default.
(26116|26116) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(26116|26116) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(26116|26116) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(26116|26116) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(26116|26116) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(26116|26116) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(26116|26116) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(26116|26116) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(26116|26116) NOTICE: "local_address" is not defined in config file - using code default.
(26116|26116) NOTICE: "pub_address" is not defined in config file - using code default.
(26116|26116) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(26116|26116) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(26116|26116) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(26116|26116) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(26116|26116) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(26116|26116) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(26116|26116) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(26116|26116) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(26116|26116) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(26116|26116) NOTICE: "max_packet_size" is not defined in config file - using code default.
(26116|26116) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(26116|26116) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(26116|26116) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(26116|26116) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(26116|26116) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(26116|26116) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(26116|26116) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(26116|26116) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(26116|26116) NOTICE: "local_address" is not defined in config file - using code default.
(26116|26116) NOTICE: "pub_address" is not defined in config file - using code default.
(26116|26116) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(26116|26116) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(26116|26116) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(26116|26116) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(26116|26116) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(26116|26116) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(26116|26116) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(26116|26116) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(26116|26116) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(26116|26116) NOTICE: "max_packet_size" is not defined in config file - using code default.
(26116|26116) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(26116|26116) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(26116|26116) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(26116|26116) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(26116|26116) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(26116|26116) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(26116|26116) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(26116|26116) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(26116|26116) NOTICE: "local_address" is not defined in config file - using code default.
(26116|26116) NOTICE: "pub_address" is not defined in config file - using code default.
(26116|26116) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(26116|26116) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(26116|26116) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(26116|26116) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(26116|26116) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(26116|26116) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(26116|26116) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(26116|26116) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(26116|26116) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(26116|26116) NOTICE: "max_packet_size" is not defined in config file - using code default.
(26116|26116) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(26116|26116) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(26116|26116) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(26116|26116) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(26116|26116) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(26116|26116) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(26116|26116) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(26116|26116) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(26116|26116) NOTICE: "local_address" is not defined in config file - using code default.
(26116|26116) NOTICE: "pub_address" is not defined in config file - using code default.
(26116|26116) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(26116|26116) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(26116|26116) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(26116|26116) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(26116|26116) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(26116|26116) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(26116|26116) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(26116|26116) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(26116|26116) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(26116|26116) NOTICE: "max_packet_size" is not defined in config file - using code default.
(26116|26116) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(26116|26116) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(26116|26116) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(26116|26116) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(26116|26116) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(26116|26116) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(26116|26116) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(26116|26116) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(26116|26116) NOTICE: "local_address" is not defined in config file - using code default.
(26116|26116) NOTICE: "pub_address" is not defined in config file - using code default.
(26116|26116) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(26116|26116) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(26116|26116) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(26116|26116) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(26116|26116) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(26116|26116) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(26116|26116) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(26116|26116) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(26116|26116) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(26116|26116) NOTICE: "max_packet_size" is not defined in config file - using code default.
(26116|26116) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(26116|26116) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(26116|26116) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(26116|26116) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(26116|26116) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(26116|26116) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(26116|26116) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(26116|26116) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(26116|26116) NOTICE: "default_to_ipv6" is not defined in config file - using code default.
(26116|26116) NOTICE: "port_offset" is not defined in config file - using code default.
(26116|26116) NOTICE: "group_address" is not defined in config file - using code default.
(26116|26116) NOTICE: "local_address" is not defined in config file - using code default.
(26116|26116) NOTICE: "reliable" is not defined in config file - using code default.
(26116|26116) NOTICE: "syn_backoff" is not defined in config file - using code default.
(26116|26116) NOTICE: "syn_interval" is not defined in config file - using code default.
(26116|26116) NOTICE: "syn_timeout" is not defined in config file - using code default.
(26116|26116) NOTICE: "nak_depth" is not defined in config file - using code default.
(26116|26116) NOTICE: "nak_interval" is not defined in config file - using code default.
(26116|26116) NOTICE: "nak_delay_intervals" is not defined in config file - using code default.
(26116|26116) NOTICE: "nak_max" is not defined in config file - using code default.
(26116|26116) NOTICE: "nak_timeout" is not defined in config file - using code default.
(26116|26116) NOTICE: "ttl" is not defined in config file - using code default.
(26116|26116) NOTICE: "rcv_buffer_size" is not defined in config file - using code default.
(26116|26116) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(26116|26116) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(26116|26116) NOTICE: "max_packet_size" is not defined in config file - using code default.
(26116|26116) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(26116|26116) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(26116|26116) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(26116|26116) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(26116|26116) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(26116|26116) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(26116|26116) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(26116|26116) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(26116|26116) NOTICE: "local_address" is not defined in config file - using code default.
(26116|26116) NOTICE: "send_buffer_size" is not defined in config file - using code default.
(26116|26116) NOTICE: "rcv_buffer_size" is not defined in config file - using code default.
(26116|26116) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(26116|26116) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(26116|26116) NOTICE: "max_packet_size" is not defined in config file - using code default.
(26116|26116) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(26116|26116) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(26116|26116) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(26116|26116) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(26116|26116) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(26116|26116) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(26116|26116) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(26116|26116) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(26116|26116) NOTICE: "local_address" is not defined in config file - using code default.
(26116|26116) NOTICE: "pub_address" is not defined in config file - using code default.
(26116|26116) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(26116|26116) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(26116|26116) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(26116|26116) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(26116|26116) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(26116|26116) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(26116|26116) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(26116|26116) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(26116|26116) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(26116|26116) NOTICE: "max_packet_size" is not defined in config file - using code default.
(26116|26116) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(26116|26116) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(26116|26116) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(26116|26116) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(26116|26116) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(26116|26116) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(26116|26116) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(26116|26116) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(26116|26116) NOTICE: "local_address" is not defined in config file - using code default.
(26116|26116) NOTICE: "pub_address" is not defined in config file - using code default.
(26116|26116) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(26116|26116) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(26116|26116) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(26116|26116) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(26116|26116) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(26116|26116) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(26116|26116) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(26116|26116) Service_Participant::set_repo_domain: Domain[ 100] = Repo[ DEFAULT_STATIC].
(26116|26116) Service_Participant::set_repo_domain: Domain[ 1235] = Repo[ xyz].
(26116|26116) [domain/1234]: DomainId == 1234
(26116|26116) [domain/1234]: DomainRepoKey == 333
(26116|26116) Service_Participant::set_repo_domain: Domain[ 1234] = Repo[ 333].
(26116|26116) Service_Participant::set_repo_domain: Domain[ 99] = Repo[ MyConfig].
(26116|26116) Service_Participant::set_repo_domain: Domain[ 98] = Repo[ MultiSendAddr].
(26116|26116) [domain/21]: DomainId == 21
(26116|26116) Service_Participant::set_repo_domain: Domain[ 21] = Repo[ DEFAULT_RTPS].
(26116|26116) NOTICE: StaticDiscovery::parse_topics processing [topic/TheTopic] section.
(26116|26116) NOTICE: StaticDiscovery::parse_datawriterqos no [datawriterqos] sections.
(26116|26116) NOTICE: StaticDiscovery::parse_datareaderqos no [datareaderqos] sections.
(26116|26116) NOTICE: StaticDiscovery::parse_publisherqos no [publisherqos] sections.
(26116|26116) NOTICE: StaticDiscovery::parse_subscriberqos no [subscriberqos] sections.
(26116|26116) NOTICE: StaticDiscovery::parse_endpoints processing [endpoint/MyWriter] section.
(26116|26116) DEBUG: StaticDiscovery::parse_endpoints adding entity with id 01030000.00640000.00000000.00000102(44b6056a)
(26116|26116) NOTICE: StaticDiscovery::parse_endpoints processing [endpoint/MyReader] section.
(26116|26116) DEBUG: StaticDiscovery::parse_endpoints adding entity with id 01030000.00640000.00000000.00000007(2dc7c0a4)
(26116|26116) NOTICE: Service_Participant::intializeScheduling() - no scheduling policy specified, not setting policy.
(26116|26116) Service_Participant::get_domain_participant_factory: Creating LinuxNetworkConfigMonitor
(26116|26116) Service_Participant::shutdown
(26116|26116) DomainParticipantFactoryImpl::~DomainParticipantFactoryImpl()
(26116|26116) Service_Participant::~Service_Participant
(26116|26116) Service_Participant::shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ConfigFile/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/ConfigTransports/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 26119
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a process -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 26126 started at 2023-04-28 22:53:13
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a process -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 26127 started at 2023-04-28 22:53:13
(26126|26126) Running colocation opt process
(26126|26126) Topic name: Xyz::Foo
(26127|26127) Topic name: Xyz::Foo
(26126|26126) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26127|26127) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26126|26126) Topic name: Xyz::Foo
(26127|26127) Topic name: Xyz::Foo
(26127|26127) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26127|26127) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26127|26127) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26127|26127) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26127|26127) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26127|26127) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26127|26127) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26127|26127) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26127|26127) Writer::run_test begins.
(26127|26127)  22:53:13.657552 Writer::run_test starting to write pass 1
(26127|26127)  22:53:13.658478 Writer::run_test done writing.
(26126|26126) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26126|26126) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26126|26126) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26126|26126) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26126|26126) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26126|26126) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26126|26126) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26126|26126) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26127|26127) Writer::run_test finished.
(26126|26126) Shutting subscriber down ...
(26126|26126) deleting entities1
(26126|26126) deleting participant1
(26126|26126) deleting entities2
(26126|26126) deleting participant2
(26126|26126) Subscriber shutting down svc part
(26127|26127) deleting entities1
(26127|26127) deleting participant1
(26127|26127) deleting entities2
(26127|26127) deleting participant2
(26127|26127) Shutting publisher down ...
(26126|26126) Subscriber shutdown complete
(26126|26126) done.
(26127|26127) Publisher shutdown complete.
(26127|26127) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->1
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 26148
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 26155 started at 2023-04-28 22:53:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 26156 started at 2023-04-28 22:53:14
(26155|26155) Running colocation opt process
(26156|26156) Topic name: Xyz::Foo
(26155|26155) Topic name: Xyz::Foo
(26155|26155) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26156|26156) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26155|26155) Topic name: Xyz::Foo
(26155|26155) Check if 'udp1' is among supported [udp1]?
(26155|26155) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26156|26156) Topic name: Xyz::Foo
(26156|26156) Check if 'udp1' is among supported [udp1]?
(26156|26156) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26156|26156) Writer::run_test begins.
(26156|26156)  22:53:15.106106 Writer::run_test starting to write pass 1
(26156|26156)  22:53:15.108883 Writer::run_test done writing.
(26156|26156) Writer::run_test finished.
(26155|26155) Shutting subscriber down ...
(26155|26155) deleting entities1
(26156|26156) deleting entities1
(26156|26156) deleting participant1
(26156|26156) deleting entities2
(26156|26156) deleting participant2
(26155|26155) deleting participant1
(26156|26156) Shutting publisher down ...
(26155|26155) deleting entities2
(26155|26155) deleting participant2
(26155|26155) Subscriber shutting down svc part
(26156|26156) Publisher shutdown complete.
(26156|26156) done.
(26155|26155) Subscriber shutdown complete
(26155|26155) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->2
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 26181
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 26188 started at 2023-04-28 22:53:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 26189 started at 2023-04-28 22:53:16
(26188|26188) Running colocation opt process
(26189|26189) Topic name: Xyz::Foo
(26188|26188) Topic name: Xyz::Foo
(26189|26189) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26188|26188) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26189|26189) Topic name: Xyz::Foo
(26189|26189) Check if 'udp1' is among supported [udp1]?
(26189|26189) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26189|26189) Writer::run_test begins.
(26188|26188) Topic name: Xyz::Foo
(26189|26189)  22:53:16.484472 Writer::run_test starting to write pass 1
(26189|26189)  22:53:16.485481 Writer::run_test done writing.
(26188|26188) Check if 'udp1' is among supported [udp1]?
(26188|26188) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26189|26189) Writer::run_test finished.
(26188|26188) Shutting subscriber down ...
(26188|26188) deleting entities1
(26189|26189) deleting entities1
(26189|26189) deleting participant1
(26189|26189) deleting entities2
(26189|26189) deleting participant2
(26189|26189) Shutting publisher down ...
(26188|26188) deleting participant1
(26188|26188) deleting entities2
(26188|26188) deleting participant2
(26188|26188) Subscriber shutting down svc part
(26189|26189) Publisher shutdown complete.
(26189|26189) done.
(26188|26188) Subscriber shutdown complete
(26188|26188) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->3
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 26214
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 26221 started at 2023-04-28 22:53:17
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 26222 started at 2023-04-28 22:53:17
(26221|26221) Running colocation opt process
(26222|26222) Topic name: Xyz::Foo
(26221|26221) Topic name: Xyz::Foo
(26222|26222) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26221|26221) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26222|26222) Topic name: Xyz::Foo
(26221|26221) Topic name: Xyz::Foo
(26221|26221) Check if 'udp1' is among supported [udp1]?
(26221|26221) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26222|26222) Check if 'udp1' is among supported [udp1]?
(26222|26222) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26222|26222) Writer::run_test begins.
(26222|26222)  22:53:17.885764 Writer::run_test starting to write pass 1
(26222|26222)  22:53:17.889470 Writer::run_test done writing.
(26222|26222) Writer::run_test finished.
(26221|26221) Shutting subscriber down ...
(26221|26221) deleting entities1
(26222|26222) deleting entities1
(26222|26222) deleting participant1
(26222|26222) deleting entities2
(26221|26221) deleting participant1
(26222|26222) deleting participant2
(26222|26222) Shutting publisher down ...
(26221|26221) deleting entities2
(26221|26221) deleting participant2
(26221|26221) Subscriber shutting down svc part
(26222|26222) Publisher shutdown complete.
(26222|26222) done.
(26221|26221) Subscriber shutdown complete
(26221|26221) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->4
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 26247
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0   -c true -e none -a none -s none -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 26254 started at 2023-04-28 22:53:19
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0   -c true -e none -a none -s none -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 26255 started at 2023-04-28 22:53:19
(26254|26254) Running colocation opt none
(26254|26254) Topic name: Xyz::Foo
(26255|26255) Topic name: Xyz::Foo
(26254|26254) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26255|26255) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26254|26254) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0500_TCP]?
(26255|26255) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0500_TCP]?
(26255|26255) Writer::run_test begins.
(26255|26255)  22:53:19.269546 Writer::run_test starting to write pass 1
(26255|26255)  22:53:19.270226 Writer::run_test done writing.
(26255|26255)  22:53:20.270530 Writer::run_test starting to write pass 2
(26255|26255)  22:53:20.270911 Writer::run_test done writing.
(26255|26255)  22:53:21.271241 Writer::run_test starting to write pass 3
(26255|26255)  22:53:21.271639 Writer::run_test done writing.
(26255|26255)  22:53:22.271873 Writer::run_test starting to write pass 4
(26255|26255)  22:53:22.272237 Writer::run_test done writing.
(26255|26255)  22:53:23.272544 Writer::run_test starting to write pass 5
(26255|26255)  22:53:23.272931 Writer::run_test done writing.
(26255|26255) Writer::run_test finished.
(26254|26254) Shutting subscriber down ...
(26254|26254) deleting entities1
(26255|26255) deleting entities1
(26255|26255) deleting participant1
(26255|26255) Shutting publisher down ...
(26254|26254) deleting participant1
(26254|26254) Subscriber shutting down svc part
(26255|26255) Publisher shutdown complete.
(26255|26255) done.
(26254|26254) Subscriber shutdown complete
(26254|26254) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->5
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 26265
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a none -s whatever_just_to_ensure_there_is_a_config_file_on_the_command_line -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 26272 started at 2023-04-28 22:53:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a none -s whatever_just_to_ensure_there_is_a_config_file_on_the_command_line -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 26273 started at 2023-04-28 22:53:24
(26272|26272) Running colocation opt none
(26273|26273) Topic name: Xyz::Foo
(26272|26272) Topic name: Xyz::Foo
(26273|26273) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26272|26272) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26273|26273) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26273|26273) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26273|26273) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26273|26273) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26273|26273) Writer::run_test begins.
(26272|26272) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26272|26272) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26272|26272) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26272|26272) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26273|26273)  22:53:24.731521 Writer::run_test starting to write pass 1
(26273|26273)  22:53:24.732482 Writer::run_test done writing.
(26273|26273)  22:53:25.732820 Writer::run_test starting to write pass 2
(26273|26273)  22:53:25.733190 Writer::run_test done writing.
(26273|26273)  22:53:26.733496 Writer::run_test starting to write pass 3
(26273|26273)  22:53:26.733873 Writer::run_test done writing.
(26273|26273)  22:53:27.734124 Writer::run_test starting to write pass 4
(26273|26273)  22:53:27.734472 Writer::run_test done writing.
(26273|26273)  22:53:28.734693 Writer::run_test starting to write pass 5
(26273|26273)  22:53:28.735038 Writer::run_test done writing.
(26273|26273) Writer::run_test finished.
(26272|26272) Shutting subscriber down ...
(26272|26272) deleting entities1
(26273|26273) deleting entities1
(26273|26273) deleting participant1
(26273|26273) Shutting publisher down ...
(26272|26272) deleting participant1
(26272|26272) Subscriber shutting down svc part
(26273|26273) Publisher shutdown complete.
(26273|26273) done.
(26272|26272) Subscriber shutdown complete
(26272|26272) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->6
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 26302
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 26309 started at 2023-04-28 22:53:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 26310 started at 2023-04-28 22:53:30
(26309|26309) Running colocation opt none
(26310|26310) Topic name: Xyz::Foo
(26309|26309) Topic name: Xyz::Foo
(26309|26309) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26310|26310) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26310|26310) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26310|26310) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26310|26310) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26310|26310) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26310|26310) Writer::run_test begins.
(26309|26309) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26309|26309) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26309|26309) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26309|26309) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26310|26310)  22:53:30.145345 Writer::run_test starting to write pass 1
(26310|26310)  22:53:30.146184 Writer::run_test done writing.
(26310|26310)  22:53:31.146492 Writer::run_test starting to write pass 2
(26310|26310)  22:53:31.146886 Writer::run_test done writing.
(26310|26310)  22:53:32.147149 Writer::run_test starting to write pass 3
(26310|26310)  22:53:32.147509 Writer::run_test done writing.
(26310|26310)  22:53:33.147736 Writer::run_test starting to write pass 4
(26310|26310)  22:53:33.148087 Writer::run_test done writing.
(26310|26310)  22:53:34.148314 Writer::run_test starting to write pass 5
(26310|26310)  22:53:34.148747 Writer::run_test done writing.
(26310|26310) Writer::run_test finished.
(26309|26309) Shutting subscriber down ...
(26309|26309) deleting entities1
(26310|26310) deleting entities1
(26310|26310) deleting participant1
(26310|26310) Shutting publisher down ...
(26309|26309) deleting participant1
(26309|26309) Subscriber shutting down svc part
(26310|26310) Publisher shutdown complete.
(26310|26310) done.
(26309|26309) Subscriber shutdown complete
(26309|26309) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->7
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 26331
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 26338 started at 2023-04-28 22:53:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 26339 started at 2023-04-28 22:53:35
(26338|26338) Running colocation opt none
(26339|26339) Topic name: Xyz::Foo
(26339|26339) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26338|26338) Topic name: Xyz::Foo
(26339|26339) Check if 'udp1' is among supported [udp1]?
(26339|26339) Writer::run_test begins.
(26338|26338) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26338|26338) Check if 'udp1' is among supported [udp1]?
(26339|26339)  22:53:35.534462 Writer::run_test starting to write pass 1
(26339|26339)  22:53:35.535089 Writer::run_test done writing.
(26339|26339)  22:53:36.535394 Writer::run_test starting to write pass 2
(26339|26339)  22:53:36.535786 Writer::run_test done writing.
(26339|26339)  22:53:37.536031 Writer::run_test starting to write pass 3
(26339|26339)  22:53:37.536489 Writer::run_test done writing.
(26339|26339)  22:53:38.536719 Writer::run_test starting to write pass 4
(26339|26339)  22:53:38.537065 Writer::run_test done writing.
(26339|26339)  22:53:39.537365 Writer::run_test starting to write pass 5
(26339|26339)  22:53:39.537732 Writer::run_test done writing.
(26339|26339) Writer::run_test finished.
(26338|26338) Shutting subscriber down ...
(26338|26338) deleting entities1
(26338|26338) deleting participant1
(26338|26338) Subscriber shutting down svc part
(26339|26339) deleting entities1
(26339|26339) deleting participant1
(26339|26339) Shutting publisher down ...
(26338|26338) Subscriber shutdown complete
(26338|26338) done.
(26339|26339) Publisher shutdown complete.
(26339|26339) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->8
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 26348
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 26355 started at 2023-04-28 22:53:40
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 26356 started at 2023-04-28 22:53:40
(26355|26355) Running colocation opt none
(26355|26355) Topic name: Xyz::Foo
(26356|26356) Topic name: Xyz::Foo
(26355|26355) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26356|26356) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26355|26355) Check if 'udp1' is among supported [udp1]?
(26356|26356) Check if 'udp1' is among supported [udp1]?
(26356|26356) Writer::run_test begins.
(26356|26356)  22:53:40.850989 Writer::run_test starting to write pass 1
(26356|26356)  22:53:40.853596 Writer::run_test done writing.
(26356|26356)  22:53:41.853990 Writer::run_test starting to write pass 2
(26356|26356)  22:53:41.854907 Writer::run_test done writing.
(26356|26356)  22:53:42.855152 Writer::run_test starting to write pass 3
(26356|26356)  22:53:42.856021 Writer::run_test done writing.
(26356|26356)  22:53:43.856330 Writer::run_test starting to write pass 4
(26356|26356)  22:53:43.856707 Writer::run_test done writing.
(26356|26356)  22:53:44.857006 Writer::run_test starting to write pass 5
(26356|26356)  22:53:44.857359 Writer::run_test done writing.
(26356|26356) Writer::run_test finished.
(26355|26355) Shutting subscriber down ...
(26355|26355) deleting entities1
(26356|26356) deleting entities1
(26356|26356) deleting participant1
(26356|26356) Shutting publisher down ...
(26355|26355) deleting participant1
(26355|26355) Subscriber shutting down svc part
(26356|26356) Publisher shutdown complete.
(26356|26356) done.
(26355|26355) Subscriber shutdown complete
(26355|26355) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->9
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 26365
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 26372 started at 2023-04-28 22:53:46
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 26373 started at 2023-04-28 22:53:46
(26372|26372) Running colocation opt none
(26372|26372) Topic name: Xyz::Foo
(26373|26373) Topic name: Xyz::Foo
(26373|26373) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26372|26372) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26372|26372) Check if 'udp1' is among supported [udp1]?
(26373|26373) Check if 'udp1' is among supported [udp1]?
(26373|26373) Writer::run_test begins.
(26373|26373)  22:53:46.161918 Writer::run_test starting to write pass 1
(26373|26373)  22:53:46.162538 Writer::run_test done writing.
(26373|26373)  22:53:47.162891 Writer::run_test starting to write pass 2
(26373|26373)  22:53:47.163257 Writer::run_test done writing.
(26373|26373)  22:53:48.163564 Writer::run_test starting to write pass 3
(26373|26373)  22:53:48.163956 Writer::run_test done writing.
(26373|26373)  22:53:49.164210 Writer::run_test starting to write pass 4
(26373|26373)  22:53:49.164557 Writer::run_test done writing.
(26373|26373)  22:53:50.164735 Writer::run_test starting to write pass 5
(26373|26373)  22:53:50.165072 Writer::run_test done writing.
(26373|26373) Writer::run_test finished.
(26372|26372) Shutting subscriber down ...
(26372|26372) deleting entities1
(26373|26373) deleting entities1
(26373|26373) deleting participant1
(26373|26373) Shutting publisher down ...
(26372|26372) deleting participant1
(26372|26372) Subscriber shutting down svc part
(26373|26373) Publisher shutdown complete.
(26372|26372) Subscriber shutdown complete
(26373|26373) done.
(26372|26372) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->10
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 26382
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -f _OPENDDS_0300_UDP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 26389 started at 2023-04-28 22:53:51
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -f _OPENDDS_0300_UDP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 26390 started at 2023-04-28 22:53:51
(26389|26389) Running colocation opt none
(26389|26389) Topic name: Xyz::Foo
(26389|26389) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26390|26390) Topic name: Xyz::Foo
(26390|26390) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26390|26390) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26390|26390) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26390|26390) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26390|26390) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26390|26390) Writer::run_test begins.
(26389|26389) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26389|26389) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26389|26389) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26389|26389) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26390|26390)  22:53:51.606277 Writer::run_test starting to write pass 1
(26390|26390)  22:53:51.607073 Writer::run_test done writing.
(26390|26390)  22:53:52.607358 Writer::run_test starting to write pass 2
(26390|26390)  22:53:52.607887 Writer::run_test done writing.
(26390|26390)  22:53:53.608215 Writer::run_test starting to write pass 3
(26390|26390)  22:53:53.608756 Writer::run_test done writing.
(26390|26390)  22:53:54.609076 Writer::run_test starting to write pass 4
(26390|26390)  22:53:54.609627 Writer::run_test done writing.
(26390|26390)  22:53:55.609916 Writer::run_test starting to write pass 5
(26390|26390)  22:53:55.610265 Writer::run_test done writing.
(26390|26390) Writer::run_test finished.
(26390|26390) Check if '_OPENDDS_0300_UDP' is among negotiated [_OPENDDS_0300_UDP]?
(26389|26389) Shutting subscriber down ...
(26389|26389) deleting entities1
(26390|26390) deleting entities1
(26390|26390) deleting participant1
(26390|26390) Shutting publisher down ...
(26389|26389) deleting participant1
(26389|26389) Subscriber shutting down svc part
(26390|26390) Publisher shutdown complete.
(26390|26390) done.
(26389|26389) Subscriber shutdown complete
(26389|26389) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->11
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 26411
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 26418 started at 2023-04-28 22:53:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 26419 started at 2023-04-28 22:53:56
(26418|26418) Running colocation opt none
(26419|26419) Topic name: Xyz::Foo
(26418|26418) Topic name: Xyz::Foo
(26418|26418) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26419|26419) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26418|26418) Check if 'udp1' is among supported [udp1]?
(26419|26419) Check if 'udp1' is among supported [udp1]?
(26419|26419) Writer::run_test begins.
(26419|26419)  22:53:56.994381 Writer::run_test starting to write pass 1
(26419|26419)  22:53:56.995020 Writer::run_test done writing.
(26418|26426) Check if 'udp1' is among negotiated [udp1]?
(26419|26419)  22:53:57.995399 Writer::run_test starting to write pass 2
(26419|26419)  22:53:57.995786 Writer::run_test done writing.
(26418|26426) Check if 'udp1' is among negotiated [udp1]?
(26419|26419)  22:53:58.996048 Writer::run_test starting to write pass 3
(26419|26419)  22:53:58.996433 Writer::run_test done writing.
(26418|26426) Check if 'udp1' is among negotiated [udp1]?
(26419|26419)  22:53:59.996695 Writer::run_test starting to write pass 4
(26419|26419)  22:53:59.997042 Writer::run_test done writing.
(26418|26426) Check if 'udp1' is among negotiated [udp1]?
(26419|26419)  22:54:00.997288 Writer::run_test starting to write pass 5
(26419|26419)  22:54:00.997674 Writer::run_test done writing.
(26418|26426) Check if 'udp1' is among negotiated [udp1]?
(26419|26419) Writer::run_test finished.
(26419|26419) Check if 'udp1' is among negotiated [udp1]?
(26418|26426) Check if 'udp1' is among negotiated [udp1]?
(26418|26418) Shutting subscriber down ...
(26419|26419) deleting entities1
(26418|26418) deleting entities1
(26419|26419) deleting participant1
(26419|26419) Shutting publisher down ...
(26418|26418) deleting participant1
(26418|26418) Subscriber shutting down svc part
(26419|26419) Publisher shutdown complete.
(26419|26419) done.
(26418|26418) Subscriber shutdown complete
(26418|26418) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->12
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 26428
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 26435 started at 2023-04-28 22:54:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 26436 started at 2023-04-28 22:54:02
(26435|26435) Running colocation opt none
(26436|26436) Topic name: Xyz::Foo
(26435|26435) Topic name: Xyz::Foo
(26436|26436) Check if 'mytcp1' is among supported [mytcp1]?
(26436|26436) Writer::run_test begins.
(26435|26435) Check if 'mytcp1' is among supported [mytcp1]?
(26436|26436)  22:54:02.321344 Writer::run_test starting to write pass 1
(26436|26436)  22:54:02.321849 Writer::run_test done writing.
(26435|26444) Check if 'mytcp1' is among negotiated [mytcp1]?
(26436|26436)  22:54:03.322177 Writer::run_test starting to write pass 2
(26436|26436)  22:54:03.322411 Writer::run_test done writing.
(26435|26444) Check if 'mytcp1' is among negotiated [mytcp1]?
(26436|26436)  22:54:04.322708 Writer::run_test starting to write pass 3
(26436|26436)  22:54:04.322937 Writer::run_test done writing.
(26435|26444) Check if 'mytcp1' is among negotiated [mytcp1]?
(26436|26436)  22:54:05.323142 Writer::run_test starting to write pass 4
(26436|26436)  22:54:05.323359 Writer::run_test done writing.
(26435|26444) Check if 'mytcp1' is among negotiated [mytcp1]?
(26436|26436)  22:54:06.323646 Writer::run_test starting to write pass 5
(26436|26436)  22:54:06.323865 Writer::run_test done writing.
(26435|26444) Check if 'mytcp1' is among negotiated [mytcp1]?
(26436|26436) Writer::run_test finished.
(26436|26436) Check if 'mytcp1' is among negotiated [mytcp1]?
(26435|26444) Check if 'mytcp1' is among negotiated [mytcp1]?
(26436|26436) deleting entities1
(26436|26436) deleting participant1
(26436|26436) Shutting publisher down ...
(26435|26435) Shutting subscriber down ...
(26435|26435) deleting entities1
(26435|26435) deleting participant1
(26435|26435) Subscriber shutting down svc part
(26436|26436) Publisher shutdown complete.
(26436|26436) done.
(26435|26435) Subscriber shutdown complete
(26435|26435) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->13
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 26447
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 26454 started at 2023-04-28 22:54:07
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 26455 started at 2023-04-28 22:54:07
(26454|26454) Running colocation opt none
(26455|26455) Topic name: Xyz::Foo
(26455|26455) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26455|26455) Check if 'udp1' is among supported [udp1]?
(26455|26455) Writer::run_test begins.
(26454|26454) Topic name: Xyz::Foo
(26454|26454) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26454|26454) Check if 'udp1' is among supported [udp1]?
(26455|26455)  22:54:07.639087 Writer::run_test starting to write pass 1
(26455|26455)  22:54:07.639936 Writer::run_test done writing.
(26454|26463) Check if 'udp1' is among negotiated [udp1]?
(26455|26455)  22:54:08.640248 Writer::run_test starting to write pass 2
(26455|26455)  22:54:08.640634 Writer::run_test done writing.
(26454|26463) Check if 'udp1' is among negotiated [udp1]?
(26455|26455)  22:54:09.640892 Writer::run_test starting to write pass 3
(26455|26455)  22:54:09.641254 Writer::run_test done writing.
(26454|26463) Check if 'udp1' is among negotiated [udp1]?
(26455|26455)  22:54:10.641579 Writer::run_test starting to write pass 4
(26455|26455)  22:54:10.641946 Writer::run_test done writing.
(26454|26463) Check if 'udp1' is among negotiated [udp1]?
(26455|26455)  22:54:11.642182 Writer::run_test starting to write pass 5
(26455|26455)  22:54:11.642526 Writer::run_test done writing.
(26454|26463) Check if 'udp1' is among negotiated [udp1]?
(26455|26455) Writer::run_test finished.
(26455|26455) Check if 'udp1' is among negotiated [udp1]?
(26454|26463) Check if 'udp1' is among negotiated [udp1]?
(26455|26455) deleting entities1
(26455|26455) deleting participant1
(26454|26454) Shutting subscriber down ...
(26454|26454) deleting entities1
(26455|26455) Shutting publisher down ...
(26454|26454) deleting participant1
(26455|26455) Publisher shutdown complete.
(26454|26454) Subscriber shutting down svc part
(26455|26455) done.
(26454|26454) Subscriber shutdown complete
(26454|26454) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->14
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 26464
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 26471 started at 2023-04-28 22:54:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 26472 started at 2023-04-28 22:54:12
(26471|26471) Running colocation opt none
(26471|26471) Topic name: Xyz::Foo
(26472|26472) Topic name: Xyz::Foo
(26471|26471) Check if 'mytcp1' is among supported [mytcp1]?
(26472|26472) Check if 'mytcp1' is among supported [mytcp1]?
(26472|26472) Writer::run_test begins.
(26472|26472)  22:54:12.951634 Writer::run_test starting to write pass 1
(26472|26472)  22:54:12.952121 Writer::run_test done writing.
(26471|26479) Check if 'mytcp1' is among negotiated [mytcp1]?
(26472|26472)  22:54:13.952492 Writer::run_test starting to write pass 2
(26472|26472)  22:54:13.952727 Writer::run_test done writing.
(26471|26479) Check if 'mytcp1' is among negotiated [mytcp1]?
(26472|26472)  22:54:14.953034 Writer::run_test starting to write pass 3
(26472|26472)  22:54:14.953264 Writer::run_test done writing.
(26471|26479) Check if 'mytcp1' is among negotiated [mytcp1]?
(26472|26472)  22:54:15.953561 Writer::run_test starting to write pass 4
(26472|26472)  22:54:15.953778 Writer::run_test done writing.
(26471|26479) Check if 'mytcp1' is among negotiated [mytcp1]?
(26472|26472)  22:54:16.954063 Writer::run_test starting to write pass 5
(26472|26472)  22:54:16.954281 Writer::run_test done writing.
(26471|26479) Check if 'mytcp1' is among negotiated [mytcp1]?
(26472|26472) Writer::run_test finished.
(26472|26472) Check if 'mytcp1' is among negotiated [mytcp1]?
(26471|26479) Check if 'mytcp1' is among negotiated [mytcp1]?
(26471|26471) Shutting subscriber down ...
(26471|26471) deleting entities1
(26472|26472) deleting entities1
(26472|26472) deleting participant1
(26472|26472) Shutting publisher down ...
(26471|26471) deleting participant1
(26471|26471) Subscriber shutting down svc part
(26472|26472) Publisher shutdown complete.
(26472|26472) done.
(26471|26471) Subscriber shutdown complete
(26471|26471) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->15
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 26486
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 26493 started at 2023-04-28 22:54:18
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 26494 started at 2023-04-28 22:54:18
(26493|26493) Running colocation opt none
(26493|26493) Topic name: Xyz::Foo
(26494|26494) Topic name: Xyz::Foo
(26494|26494) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26493|26493) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26494|26494) Check if 'udp1' is among supported [udp1]?
(26494|26494) Writer::run_test begins.
(26493|26493) Check if 'udp1' is among supported [udp1]?
(26494|26494)  22:54:18.286513 Writer::run_test starting to write pass 1
(26494|26494)  22:54:18.287436 Writer::run_test done writing.
(26493|26502) Check if 'udp1' is among negotiated [udp1]?
(26494|26494)  22:54:19.287740 Writer::run_test starting to write pass 2
(26494|26494)  22:54:19.288105 Writer::run_test done writing.
(26493|26502) Check if 'udp1' is among negotiated [udp1]?
(26494|26494)  22:54:20.288389 Writer::run_test starting to write pass 3
(26494|26494)  22:54:20.288767 Writer::run_test done writing.
(26493|26502) Check if 'udp1' is among negotiated [udp1]?
(26494|26494)  22:54:21.289084 Writer::run_test starting to write pass 4
(26494|26494)  22:54:21.289433 Writer::run_test done writing.
(26493|26502) Check if 'udp1' is among negotiated [udp1]?
(26494|26494)  22:54:22.289712 Writer::run_test starting to write pass 5
(26494|26494)  22:54:22.290057 Writer::run_test done writing.
(26493|26502) Check if 'udp1' is among negotiated [udp1]?
(26494|26494) Writer::run_test finished.
(26494|26494) Check if 'udp1' is among negotiated [udp1]?
(26493|26502) Check if 'udp1' is among negotiated [udp1]?
(26494|26494) deleting entities1
(26494|26494) deleting participant1
(26493|26493) Shutting subscriber down ...
(26493|26493) deleting entities1
(26494|26494) Shutting publisher down ...
(26493|26493) deleting participant1
(26493|26493) Subscriber shutting down svc part
(26494|26494) Publisher shutdown complete.
(26494|26494) done.
(26493|26493) Subscriber shutdown complete
(26493|26493) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->16
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 26503
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 26510 started at 2023-04-28 22:54:23
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 26511 started at 2023-04-28 22:54:23
(26510|26510) Running colocation opt none
(26510|26510) Topic name: Xyz::Foo
(26511|26511) Topic name: Xyz::Foo
(26511|26511) Check if 'mytcp1' is among supported [mytcp1]?
(26511|26511) Writer::run_test begins.
(26510|26510) Check if 'mytcp1' is among supported [mytcp1]?
(26511|26511)  22:54:23.697803 Writer::run_test starting to write pass 1
(26511|26511)  22:54:23.698318 Writer::run_test done writing.
(26510|26519) Check if 'mytcp1' is among negotiated [mytcp1]?
(26511|26511)  22:54:24.698633 Writer::run_test starting to write pass 2
(26511|26511)  22:54:24.698884 Writer::run_test done writing.
(26510|26519) Check if 'mytcp1' is among negotiated [mytcp1]?
(26511|26511)  22:54:25.699191 Writer::run_test starting to write pass 3
(26511|26511)  22:54:25.699454 Writer::run_test done writing.
(26510|26519) Check if 'mytcp1' is among negotiated [mytcp1]?
(26511|26511)  22:54:26.699752 Writer::run_test starting to write pass 4
(26511|26511)  22:54:26.700041 Writer::run_test done writing.
(26510|26519) Check if 'mytcp1' is among negotiated [mytcp1]?
(26511|26511)  22:54:27.700291 Writer::run_test starting to write pass 5
(26511|26511)  22:54:27.700529 Writer::run_test done writing.
(26510|26519) Check if 'mytcp1' is among negotiated [mytcp1]?
(26511|26511) Writer::run_test finished.
(26511|26511) Check if 'mytcp1' is among negotiated [mytcp1]?
(26510|26519) Check if 'mytcp1' is among negotiated [mytcp1]?
(26510|26510) Shutting subscriber down ...
(26510|26510) deleting entities1
(26511|26511) deleting entities1
(26511|26511) deleting participant1
(26511|26511) Shutting publisher down ...
(26510|26510) deleting participant1
(26510|26510) Subscriber shutting down svc part
(26511|26511) Publisher shutdown complete.
(26510|26510) Subscriber shutdown complete
(26511|26511) done.
(26510|26510) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->17
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 26523
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e none -a process -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 26538 started at 2023-04-28 22:54:28
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e none -a process -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 26539 started at 2023-04-28 22:54:28
(26538|26538) Running colocation opt process
(26523|26523) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26538|26538) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26539|26539) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26538|26538) Topic name: Xyz::Foo
(26539|26539) Topic name: Xyz::Foo
(26538|26538) Topic name: Xyz::Foo
(26538|26538) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26538|26538) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26538|26538) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26538|26538) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26538|26538) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26538|26538) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26538|26538) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26538|26538) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26539|26539) Topic name: Xyz::Foo
(26539|26539) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26539|26539) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26539|26539) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26539|26539) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26539|26539) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26539|26539) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26539|26539) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26539|26539) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26539|26539) Writer::run_test begins.
(26539|26539)  22:54:29.174002 Writer::run_test starting to write pass 1
(26539|26539)  22:54:29.174822 Writer::run_test done writing.
(26539|26539) Writer::run_test finished.
(26538|26538) Shutting subscriber down ...
(26538|26538) deleting entities1
(26539|26539) deleting entities1
(26539|26539) deleting participant1
(26539|26539) deleting entities2
(26539|26539) deleting participant2
(26539|26539) Shutting publisher down ...
(26539|26539) Publisher shutdown complete.
(26539|26539) done.
(26538|26538) deleting participant1
(26538|26538) deleting entities2
(26538|26538) deleting participant2
(26538|26538) Subscriber shutting down svc part
(26538|26538) Subscriber shutdown complete
(26538|26538) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->18
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 26566
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e participant -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 26573 started at 2023-04-28 22:54:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e participant -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 26574 started at 2023-04-28 22:54:30
(26573|26573) Running colocation opt process
(26566|26566) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26574|26574) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26573|26573) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26574|26574) Topic name: Xyz::Foo
(26573|26573) Topic name: Xyz::Foo
(26574|26574) Topic name: Xyz::Foo
(26573|26573) Topic name: Xyz::Foo
(26574|26574) Check if 'udp1' is among supported [udp1]?
(26574|26574) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26574|26574) Writer::run_test begins.
(26574|26574)  22:54:30.658992 Writer::run_test starting to write pass 1
(26574|26574)  22:54:30.659665 Writer::run_test done writing.
(26573|26573) Check if 'udp1' is among supported [udp1]?
(26573|26573) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26574|26574) Writer::run_test finished.
(26573|26573) Shutting subscriber down ...
(26573|26573) deleting entities1
(26574|26574) deleting entities1
(26574|26574) deleting participant1
(26574|26574) deleting entities2
(26574|26574) deleting participant2
(26574|26574) Shutting publisher down ...
(26574|26574) Publisher shutdown complete.
(26574|26574) done.
(26573|26573) deleting participant1
(26573|26573) deleting entities2
(26573|26573) deleting participant2
(26573|26573) Subscriber shutting down svc part
(26573|26573) Subscriber shutdown complete
(26573|26573) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->19
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 26605
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e pubsub -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 26612 started at 2023-04-28 22:54:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e pubsub -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 26613 started at 2023-04-28 22:54:31
(26612|26612) Running colocation opt process
(26605|26605) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26612|26612) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26613|26613) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26612|26612) Topic name: Xyz::Foo
(26613|26613) Topic name: Xyz::Foo
(26612|26612) Topic name: Xyz::Foo
(26613|26613) Topic name: Xyz::Foo
(26612|26612) Check if 'udp1' is among supported [udp1]?
(26612|26612) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26613|26613) Check if 'udp1' is among supported [udp1]?
(26613|26613) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26613|26613) Writer::run_test begins.
(26613|26613)  22:54:32.208263 Writer::run_test starting to write pass 1
(26613|26613)  22:54:32.210061 Writer::run_test done writing.
(26613|26613) Writer::run_test finished.
(26612|26612) Shutting subscriber down ...
(26612|26612) deleting entities1
(26612|26612) deleting participant1
(26612|26612) deleting entities2
(26612|26612) deleting participant2
(26612|26612) Subscriber shutting down svc part
(26612|26612) Subscriber shutdown complete
(26612|26612) done.
(26613|26613) deleting entities1
(26613|26613) deleting participant1
(26613|26613) deleting entities2
(26613|26613) deleting participant2
(26613|26613) Shutting publisher down ...
(26613|26613) Publisher shutdown complete.
(26613|26613) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->20
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 26644
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e rw -n false -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 26651 started at 2023-04-28 22:54:33
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e rw -n false -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 26652 started at 2023-04-28 22:54:33
(26651|26651) Running colocation opt process
(26644|26644) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26651|26651) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26652|26652) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26651|26651) Topic name: Xyz::Foo
(26652|26652) Topic name: Xyz::Foo
(26651|26651) Topic name: Xyz::Foo
(26652|26652) Topic name: Xyz::Foo
(26651|26651) Check if 'udp1' is among supported [udp1]?
(26651|26651) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26652|26652) Check if 'udp1' is among supported [udp1]?
(26652|26652) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26652|26652) Writer::run_test begins.
(26652|26652)  22:54:33.802314 Writer::run_test starting to write pass 1
(26652|26652)  22:54:33.803038 Writer::run_test done writing.
(26652|26652) Writer::run_test finished.
(26651|26651) Shutting subscriber down ...
(26651|26651) deleting entities1
(26651|26651) deleting participant1
(26651|26651) deleting entities2
(26651|26651) deleting participant2
(26651|26651) Subscriber shutting down svc part
(26651|26651) Subscriber shutdown complete
(26651|26651) done.
(26652|26652) deleting entities1
(26652|26652) deleting participant1
(26652|26652) deleting entities2
(26652|26652) deleting participant2
(26652|26652) Shutting publisher down ...
(26652|26652) Publisher shutdown complete.
(26652|26652) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->21
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 26684
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber    -c true -e none -a none -s none -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 26691 started at 2023-04-28 22:54:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher    -c true -e none -a none -s none -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 26692 started at 2023-04-28 22:54:35
(26691|26691) Running colocation opt none
(26684|26684) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26691|26691) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26692|26692) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26691|26691) Topic name: Xyz::Foo
(26691|26691) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0500_TCP]?
(26692|26692) Topic name: Xyz::Foo
(26692|26692) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0500_TCP]?
(26692|26692) Writer::run_test begins.
(26692|26692)  22:54:35.234795 Writer::run_test starting to write pass 1
(26692|26692)  22:54:35.236260 Writer::run_test done writing.
(26692|26692)  22:54:36.236539 Writer::run_test starting to write pass 2
(26692|26692)  22:54:36.237456 Writer::run_test done writing.
(26692|26692)  22:54:37.237751 Writer::run_test starting to write pass 3
(26692|26692)  22:54:37.238127 Writer::run_test done writing.
(26692|26692)  22:54:38.238467 Writer::run_test starting to write pass 4
(26692|26692)  22:54:38.238859 Writer::run_test done writing.
(26692|26692)  22:54:39.239198 Writer::run_test starting to write pass 5
(26692|26692)  22:54:39.239567 Writer::run_test done writing.
(26692|26692) Writer::run_test finished.
(26691|26691) Shutting subscriber down ...
(26691|26691) deleting entities1
(26692|26692) deleting entities1
(26692|26692) deleting participant1
(26692|26692) Shutting publisher down ...
(26692|26692) Publisher shutdown complete.
(26691|26691) deleting participant1
(26692|26692) done.
(26691|26691) Subscriber shutting down svc part
(26691|26691) Subscriber shutdown complete
(26691|26691) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->22
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 26707
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e none -a none -s whatever_just_to_ensure_there_is_a_config_file_on_the_command_line -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 26714 started at 2023-04-28 22:54:40
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e none -a none -s whatever_just_to_ensure_there_is_a_config_file_on_the_command_line -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 26715 started at 2023-04-28 22:54:40
(26714|26714) Running colocation opt none
(26707|26707) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26715|26715) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26714|26714) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26714|26714) Topic name: Xyz::Foo
(26715|26715) Topic name: Xyz::Foo
(26715|26715) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26715|26715) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26715|26715) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26715|26715) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26715|26715) Writer::run_test begins.
(26714|26714) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26714|26714) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26714|26714) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26714|26714) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26715|26715)  22:54:40.652479 Writer::run_test starting to write pass 1
(26715|26715)  22:54:40.653466 Writer::run_test done writing.
(26715|26715)  22:54:41.653776 Writer::run_test starting to write pass 2
(26715|26715)  22:54:41.654253 Writer::run_test done writing.
(26715|26715)  22:54:42.654544 Writer::run_test starting to write pass 3
(26715|26715)  22:54:42.654913 Writer::run_test done writing.
(26715|26715)  22:54:43.655146 Writer::run_test starting to write pass 4
(26715|26715)  22:54:43.655531 Writer::run_test done writing.
(26715|26715)  22:54:44.655787 Writer::run_test starting to write pass 5
(26715|26715)  22:54:44.656133 Writer::run_test done writing.
(26715|26715) Writer::run_test finished.
(26714|26714) Shutting subscriber down ...
(26714|26714) deleting entities1
(26715|26715) deleting entities1
(26715|26715) deleting participant1
(26715|26715) Shutting publisher down ...
(26715|26715) Publisher shutdown complete.
(26715|26715) done.
(26714|26714) deleting participant1
(26714|26714) Subscriber shutting down svc part
(26714|26714) Subscriber shutdown complete
(26714|26714) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->23
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 26742
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 26749 started at 2023-04-28 22:54:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 26750 started at 2023-04-28 22:54:45
(26749|26749) Running colocation opt none
(26742|26742) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26749|26749) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26750|26750) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26749|26749) Topic name: Xyz::Foo
(26750|26750) Topic name: Xyz::Foo
(26749|26749) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26749|26749) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26749|26749) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26749|26749) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26750|26750) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26750|26750) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26750|26750) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26750|26750) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26750|26750) Writer::run_test begins.
(26750|26750)  22:54:46.101996 Writer::run_test starting to write pass 1
(26750|26750)  22:54:46.102958 Writer::run_test done writing.
(26750|26750)  22:54:47.103318 Writer::run_test starting to write pass 2
(26750|26750)  22:54:47.103855 Writer::run_test done writing.
(26750|26750)  22:54:48.104187 Writer::run_test starting to write pass 3
(26750|26750)  22:54:48.104748 Writer::run_test done writing.
(26750|26750)  22:54:49.105001 Writer::run_test starting to write pass 4
(26750|26750)  22:54:49.105518 Writer::run_test done writing.
(26750|26750)  22:54:50.105831 Writer::run_test starting to write pass 5
(26750|26750)  22:54:50.106322 Writer::run_test done writing.
(26750|26750) Writer::run_test finished.
(26749|26749) Shutting subscriber down ...
(26749|26749) deleting entities1
(26750|26750) deleting entities1
(26750|26750) deleting participant1
(26750|26750) Shutting publisher down ...
(26750|26750) Publisher shutdown complete.
(26750|26750) done.
(26749|26749) deleting participant1
(26749|26749) Subscriber shutting down svc part
(26749|26749) Subscriber shutdown complete
(26749|26749) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->24
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 26777
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 26784 started at 2023-04-28 22:54:51
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 26785 started at 2023-04-28 22:54:51
(26784|26784) Running colocation opt none
(26777|26777) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26785|26785) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26784|26784) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26785|26785) Topic name: Xyz::Foo
(26784|26784) Topic name: Xyz::Foo
(26785|26785) Check if 'udp1' is among supported [udp1]?
(26785|26785) Writer::run_test begins.
(26784|26784) Check if 'udp1' is among supported [udp1]?
(26785|26785)  22:54:51.588941 Writer::run_test starting to write pass 1
(26785|26785)  22:54:51.589620 Writer::run_test done writing.
(26785|26785)  22:54:52.589890 Writer::run_test starting to write pass 2
(26785|26785)  22:54:52.590258 Writer::run_test done writing.
(26785|26785)  22:54:53.590598 Writer::run_test starting to write pass 3
(26785|26785)  22:54:53.590955 Writer::run_test done writing.
(26785|26785)  22:54:54.591279 Writer::run_test starting to write pass 4
(26785|26785)  22:54:54.591653 Writer::run_test done writing.
(26785|26785)  22:54:55.591955 Writer::run_test starting to write pass 5
(26785|26785)  22:54:55.592302 Writer::run_test done writing.
(26785|26785) Writer::run_test finished.
(26784|26784) Shutting subscriber down ...
(26784|26784) deleting entities1
(26785|26785) deleting entities1
(26785|26785) deleting participant1
(26785|26785) Shutting publisher down ...
(26784|26784) deleting participant1
(26785|26785) Publisher shutdown complete.
(26785|26785) done.
(26784|26784) Subscriber shutting down svc part
(26784|26784) Subscriber shutdown complete
(26784|26784) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->25
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 26800
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 26807 started at 2023-04-28 22:54:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 26808 started at 2023-04-28 22:54:56
(26807|26807) Running colocation opt none
(26800|26800) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26807|26807) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26808|26808) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26807|26807) Topic name: Xyz::Foo
(26808|26808) Topic name: Xyz::Foo
(26808|26808) Check if 'udp1' is among supported [udp1]?
(26808|26808) Writer::run_test begins.
(26807|26807) Check if 'udp1' is among supported [udp1]?
(26808|26808)  22:54:57.045752 Writer::run_test starting to write pass 1
(26808|26808)  22:54:57.046559 Writer::run_test done writing.
(26808|26808)  22:54:58.046855 Writer::run_test starting to write pass 2
(26808|26808)  22:54:58.047219 Writer::run_test done writing.
(26808|26808)  22:54:59.047413 Writer::run_test starting to write pass 3
(26808|26808)  22:54:59.047783 Writer::run_test done writing.
(26808|26808)  22:55:00.048926 Writer::run_test starting to write pass 4
(26808|26808)  22:55:00.049400 Writer::run_test done writing.
(26808|26808)  22:55:01.049677 Writer::run_test starting to write pass 5
(26808|26808)  22:55:01.050025 Writer::run_test done writing.
(26808|26808) Writer::run_test finished.
(26807|26807) Shutting subscriber down ...
(26807|26807) deleting entities1
(26808|26808) deleting entities1
(26808|26808) deleting participant1
(26808|26808) Shutting publisher down ...
(26808|26808) Publisher shutdown complete.
(26808|26808) done.
(26807|26807) deleting participant1
(26807|26807) Subscriber shutting down svc part
(26807|26807) Subscriber shutdown complete
(26807|26807) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->26
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 26823
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 26830 started at 2023-04-28 22:55:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 26831 started at 2023-04-28 22:55:02
(26830|26830) Running colocation opt none
(26823|26823) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26831|26831) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26830|26830) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26831|26831) Topic name: Xyz::Foo
(26830|26830) Topic name: Xyz::Foo
(26831|26831) Check if 'udp1' is among supported [udp1]?
(26831|26831) Writer::run_test begins.
(26830|26830) Check if 'udp1' is among supported [udp1]?
(26831|26831)  22:55:02.536378 Writer::run_test starting to write pass 1
(26831|26831)  22:55:02.537019 Writer::run_test done writing.
(26831|26831)  22:55:03.537348 Writer::run_test starting to write pass 2
(26831|26831)  22:55:03.537739 Writer::run_test done writing.
(26831|26831)  22:55:04.538017 Writer::run_test starting to write pass 3
(26831|26831)  22:55:04.538376 Writer::run_test done writing.
(26831|26831)  22:55:05.538685 Writer::run_test starting to write pass 4
(26831|26831)  22:55:05.539033 Writer::run_test done writing.
(26831|26831)  22:55:06.539340 Writer::run_test starting to write pass 5
(26831|26831)  22:55:06.539772 Writer::run_test done writing.
(26831|26831) Writer::run_test finished.
(26830|26830) Shutting subscriber down ...
(26830|26830) deleting entities1
(26831|26831) deleting entities1
(26831|26831) deleting participant1
(26831|26831) Shutting publisher down ...
(26830|26830) deleting participant1
(26831|26831) Publisher shutdown complete.
(26831|26831) done.
(26830|26830) Subscriber shutting down svc part
(26830|26830) Subscriber shutdown complete
(26830|26830) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->27
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 26848
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -f _OPENDDS_0300_UDP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 26855 started at 2023-04-28 22:55:07
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -f _OPENDDS_0300_UDP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 26856 started at 2023-04-28 22:55:07
(26855|26855) Running colocation opt none
(26848|26848) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26856|26856) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26855|26855) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26856|26856) Topic name: Xyz::Foo
(26855|26855) Topic name: Xyz::Foo
(26855|26855) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26855|26855) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26855|26855) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26855|26855) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26856|26856) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26856|26856) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26856|26856) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26856|26856) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(26856|26856) Writer::run_test begins.
(26856|26856)  22:55:07.951894 Writer::run_test starting to write pass 1
(26856|26856)  22:55:07.952855 Writer::run_test done writing.
(26856|26856)  22:55:08.953147 Writer::run_test starting to write pass 2
(26856|26856)  22:55:08.953684 Writer::run_test done writing.
(26856|26856)  22:55:09.953986 Writer::run_test starting to write pass 3
(26856|26856)  22:55:09.954494 Writer::run_test done writing.
(26856|26856)  22:55:10.954799 Writer::run_test starting to write pass 4
(26856|26856)  22:55:10.955294 Writer::run_test done writing.
(26856|26856)  22:55:11.955609 Writer::run_test starting to write pass 5
(26856|26856)  22:55:11.956124 Writer::run_test done writing.
(26856|26856) Writer::run_test finished.
(26856|26856) Check if '_OPENDDS_0300_UDP' is among negotiated [_OPENDDS_0300_UDP]?
(26855|26855) Shutting subscriber down ...
(26855|26855) deleting entities1
(26855|26855) deleting participant1
(26855|26855) Subscriber shutting down svc part
(26856|26856) deleting entities1
(26856|26856) deleting participant1
(26855|26855) Subscriber shutdown complete
(26855|26855) done.
(26856|26856) Shutting publisher down ...
(26856|26856) Publisher shutdown complete.
(26856|26856) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->28
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 26883
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 26890 started at 2023-04-28 22:55:13
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 26891 started at 2023-04-28 22:55:13
(26890|26890) Running colocation opt none
(26883|26883) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26890|26890) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26891|26891) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26890|26890) Topic name: Xyz::Foo
(26891|26891) Topic name: Xyz::Foo
(26890|26890) Check if 'udp1' is among supported [udp1]?
(26891|26891) Check if 'udp1' is among supported [udp1]?
(26891|26891) Writer::run_test begins.
(26891|26891)  22:55:13.370036 Writer::run_test starting to write pass 1
(26890|26904) Check if 'udp1' is among negotiated [udp1]?
(26891|26891)  22:55:13.372224 Writer::run_test done writing.
(26891|26891)  22:55:14.372550 Writer::run_test starting to write pass 2
(26890|26904) Check if 'udp1' is among negotiated [udp1]?
(26891|26891)  22:55:14.373460 Writer::run_test done writing.
(26891|26891)  22:55:15.373670 Writer::run_test starting to write pass 3
(26890|26904) Check if 'udp1' is among negotiated [udp1]?
(26891|26891)  22:55:15.374541 Writer::run_test done writing.
(26891|26891)  22:55:16.374869 Writer::run_test starting to write pass 4
(26890|26904) Check if 'udp1' is among negotiated [udp1]?
(26891|26891)  22:55:16.376185 Writer::run_test done writing.
(26891|26891)  22:55:17.376477 Writer::run_test starting to write pass 5
(26890|26904) Check if 'udp1' is among negotiated [udp1]?
(26891|26891)  22:55:17.377364 Writer::run_test done writing.
(26891|26891) Writer::run_test finished.
(26891|26891) Check if 'udp1' is among negotiated [udp1]?
(26890|26904) Check if 'udp1' is among negotiated [udp1]?
(26890|26890) Shutting subscriber down ...
(26890|26890) deleting entities1
(26891|26891) deleting entities1
(26891|26891) deleting participant1
(26891|26891) Shutting publisher down ...
(26891|26891) Publisher shutdown complete.
(26891|26891) done.
(26890|26890) deleting participant1
(26890|26890) Subscriber shutting down svc part
(26890|26890) Subscriber shutdown complete
(26890|26890) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->29
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 26906
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e participant -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 26913 started at 2023-04-28 22:55:18
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e participant -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 26914 started at 2023-04-28 22:55:18
(26913|26913) Running colocation opt none
(26906|26906) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26913|26913) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26914|26914) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26913|26913) Topic name: Xyz::Foo
(26914|26914) Topic name: Xyz::Foo
(26914|26914) Check if 'mytcp1' is among supported [mytcp1]?
(26914|26914) Writer::run_test begins.
(26913|26913) Check if 'mytcp1' is among supported [mytcp1]?
(26914|26914)  22:55:18.899700 Writer::run_test starting to write pass 1
(26914|26914)  22:55:18.900143 Writer::run_test done writing.
(26913|26928) Check if 'mytcp1' is among negotiated [mytcp1]?
(26914|26914)  22:55:19.900448 Writer::run_test starting to write pass 2
(26914|26914)  22:55:19.900682 Writer::run_test done writing.
(26913|26928) Check if 'mytcp1' is among negotiated [mytcp1]?
(26914|26914)  22:55:20.901002 Writer::run_test starting to write pass 3
(26914|26914)  22:55:20.901245 Writer::run_test done writing.
(26913|26928) Check if 'mytcp1' is among negotiated [mytcp1]?
(26914|26914)  22:55:21.901503 Writer::run_test starting to write pass 4
(26914|26914)  22:55:21.901727 Writer::run_test done writing.
(26913|26928) Check if 'mytcp1' is among negotiated [mytcp1]?
(26914|26914)  22:55:22.902024 Writer::run_test starting to write pass 5
(26914|26914)  22:55:22.902246 Writer::run_test done writing.
(26913|26928) Check if 'mytcp1' is among negotiated [mytcp1]?
(26914|26914) Writer::run_test finished.
(26914|26914) Check if 'mytcp1' is among negotiated [mytcp1]?
(26913|26928) Check if 'mytcp1' is among negotiated [mytcp1]?
(26913|26913) Shutting subscriber down ...
(26913|26913) deleting entities1
(26914|26914) deleting entities1
(26914|26914) deleting participant1
(26914|26914) Shutting publisher down ...
(26914|26914) Publisher shutdown complete.
(26914|26914) done.
(26913|26913) deleting participant1
(26913|26913) Subscriber shutting down svc part
(26913|26913) Subscriber shutdown complete
(26913|26913) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->30
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 26931
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 26938 started at 2023-04-28 22:55:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 26939 started at 2023-04-28 22:55:24
(26938|26938) Running colocation opt none
(26931|26931) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26938|26938) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26939|26939) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26938|26938) Topic name: Xyz::Foo
(26939|26939) Topic name: Xyz::Foo
(26938|26938) Check if 'udp1' is among supported [udp1]?
(26939|26939) Check if 'udp1' is among supported [udp1]?
(26939|26939) Writer::run_test begins.
(26939|26939)  22:55:24.392498 Writer::run_test starting to write pass 1
(26939|26939)  22:55:24.393376 Writer::run_test done writing.
(26938|26951) Check if 'udp1' is among negotiated [udp1]?
(26939|26939)  22:55:25.393748 Writer::run_test starting to write pass 2
(26938|26951) Check if 'udp1' is among negotiated [udp1]?
(26939|26939)  22:55:25.394750 Writer::run_test done writing.
(26939|26939)  22:55:26.395085 Writer::run_test starting to write pass 3
(26938|26951) Check if 'udp1' is among negotiated [udp1]?
(26939|26939)  22:55:26.395977 Writer::run_test done writing.
(26939|26939)  22:55:27.396271 Writer::run_test starting to write pass 4
(26938|26951) Check if 'udp1' is among negotiated [udp1]?
(26939|26939)  22:55:27.397518 Writer::run_test done writing.
(26939|26939)  22:55:28.397775 Writer::run_test starting to write pass 5
(26938|26951) Check if 'udp1' is among negotiated [udp1]?
(26939|26939)  22:55:28.398667 Writer::run_test done writing.
(26939|26939) Writer::run_test finished.
(26939|26939) Check if 'udp1' is among negotiated [udp1]?
(26938|26951) Check if 'udp1' is among negotiated [udp1]?
(26938|26938) Shutting subscriber down ...
(26938|26938) deleting entities1
(26939|26939) deleting entities1
(26939|26939) deleting participant1
(26939|26939) Shutting publisher down ...
(26939|26939) Publisher shutdown complete.
(26939|26939) done.
(26938|26938) deleting participant1
(26938|26938) Subscriber shutting down svc part
(26938|26938) Subscriber shutdown complete
(26938|26938) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->31
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 26962
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 26969 started at 2023-04-28 22:55:29
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 26970 started at 2023-04-28 22:55:29
(26969|26969) Running colocation opt none
(26962|26962) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26969|26969) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26970|26970) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26969|26969) Topic name: Xyz::Foo
(26970|26970) Topic name: Xyz::Foo
(26969|26969) Check if 'mytcp1' is among supported [mytcp1]?
(26970|26970) Check if 'mytcp1' is among supported [mytcp1]?
(26970|26970) Writer::run_test begins.
(26970|26970)  22:55:29.867960 Writer::run_test starting to write pass 1
(26970|26970)  22:55:29.868386 Writer::run_test done writing.
(26969|26984) Check if 'mytcp1' is among negotiated [mytcp1]?
(26970|26970)  22:55:30.868736 Writer::run_test starting to write pass 2
(26970|26970)  22:55:30.868972 Writer::run_test done writing.
(26969|26984) Check if 'mytcp1' is among negotiated [mytcp1]?
(26970|26970)  22:55:31.869174 Writer::run_test starting to write pass 3
(26970|26970)  22:55:31.869419 Writer::run_test done writing.
(26969|26984) Check if 'mytcp1' is among negotiated [mytcp1]?
(26970|26970)  22:55:32.869643 Writer::run_test starting to write pass 4
(26970|26970)  22:55:32.869876 Writer::run_test done writing.
(26969|26984) Check if 'mytcp1' is among negotiated [mytcp1]?
(26970|26970)  22:55:33.870107 Writer::run_test starting to write pass 5
(26970|26970)  22:55:33.870345 Writer::run_test done writing.
(26969|26984) Check if 'mytcp1' is among negotiated [mytcp1]?
(26970|26970) Writer::run_test finished.
(26970|26970) Check if 'mytcp1' is among negotiated [mytcp1]?
(26969|26984) Check if 'mytcp1' is among negotiated [mytcp1]?
(26970|26970) deleting entities1
(26970|26970) deleting participant1
(26969|26969) Shutting subscriber down ...
(26969|26969) deleting entities1
(26970|26970) Shutting publisher down ...
(26970|26970) Publisher shutdown complete.
(26970|26970) done.
(26969|26969) deleting participant1
(26969|26969) Subscriber shutting down svc part
(26969|26969) Subscriber shutdown complete
(26969|26969) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->32
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 26988
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 26995 started at 2023-04-28 22:55:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 26996 started at 2023-04-28 22:55:35
(26995|26995) Running colocation opt none
(26988|26988) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26996|26996) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26995|26995) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(26996|26996) Topic name: Xyz::Foo
(26996|26996) Check if 'udp1' is among supported [udp1]?
(26996|26996) Writer::run_test begins.
(26995|26995) Topic name: Xyz::Foo
(26995|26995) Check if 'udp1' is among supported [udp1]?
(26996|26996)  22:55:35.343305 Writer::run_test starting to write pass 1
(26996|26996)  22:55:35.343937 Writer::run_test done writing.
(26995|27010) Check if 'udp1' is among negotiated [udp1]?
(26996|26996)  22:55:36.344200 Writer::run_test starting to write pass 2
(26996|26996)  22:55:36.344569 Writer::run_test done writing.
(26995|27010) Check if 'udp1' is among negotiated [udp1]?
(26996|26996)  22:55:37.344848 Writer::run_test starting to write pass 3
(26996|26996)  22:55:37.345208 Writer::run_test done writing.
(26995|27010) Check if 'udp1' is among negotiated [udp1]?
(26996|26996)  22:55:38.345432 Writer::run_test starting to write pass 4
(26996|26996)  22:55:38.345796 Writer::run_test done writing.
(26995|27010) Check if 'udp1' is among negotiated [udp1]?
(26996|26996)  22:55:39.346096 Writer::run_test starting to write pass 5
(26996|26996)  22:55:39.346442 Writer::run_test done writing.
(26995|27010) Check if 'udp1' is among negotiated [udp1]?
(26996|26996) Writer::run_test finished.
(26996|26996) Check if 'udp1' is among negotiated [udp1]?
(26995|27010) Check if 'udp1' is among negotiated [udp1]?
(26995|26995) Shutting subscriber down ...
(26995|26995) deleting entities1
(26996|26996) deleting entities1
(26996|26996) deleting participant1
(26996|26996) Shutting publisher down ...
(26996|26996) Publisher shutdown complete.
(26996|26996) done.
(26995|26995) deleting participant1
(26995|26995) Subscriber shutting down svc part
(26995|26995) Subscriber shutdown complete
(26995|26995) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->33
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 27012
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 27019 started at 2023-04-28 22:55:40
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 27020 started at 2023-04-28 22:55:40
(27019|27019) Running colocation opt none
(27012|27012) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(27020|27020) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(27019|27019) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(27020|27020) Topic name: Xyz::Foo
(27020|27020) Check if 'mytcp1' is among supported [mytcp1]?
(27020|27020) Writer::run_test begins.
(27019|27019) Topic name: Xyz::Foo
(27019|27019) Check if 'mytcp1' is among supported [mytcp1]?
(27020|27020)  22:55:40.882617 Writer::run_test starting to write pass 1
(27020|27020)  22:55:40.883032 Writer::run_test done writing.
(27019|27034) Check if 'mytcp1' is among negotiated [mytcp1]?
(27020|27020)  22:55:41.883333 Writer::run_test starting to write pass 2
(27020|27020)  22:55:41.883666 Writer::run_test done writing.
(27019|27034) Check if 'mytcp1' is among negotiated [mytcp1]?
(27020|27020)  22:55:42.884005 Writer::run_test starting to write pass 3
(27020|27020)  22:55:42.884238 Writer::run_test done writing.
(27019|27034) Check if 'mytcp1' is among negotiated [mytcp1]?
(27020|27020)  22:55:43.884543 Writer::run_test starting to write pass 4
(27020|27020)  22:55:43.884761 Writer::run_test done writing.
(27019|27034) Check if 'mytcp1' is among negotiated [mytcp1]?
(27020|27020)  22:55:44.885063 Writer::run_test starting to write pass 5
(27020|27020)  22:55:44.885278 Writer::run_test done writing.
(27019|27034) Check if 'mytcp1' is among negotiated [mytcp1]?
(27020|27020) Writer::run_test finished.
(27020|27020) Check if 'mytcp1' is among negotiated [mytcp1]?
(27019|27034) Check if 'mytcp1' is among negotiated [mytcp1]?
(27020|27020) deleting entities1
(27020|27020) deleting participant1
(27019|27019) Shutting subscriber down ...
(27019|27019) deleting entities1
(27020|27020) Shutting publisher down ...
(27020|27020) Publisher shutdown complete.
(27020|27020) done.
(27019|27019) deleting participant1
(27019|27019) Subscriber shutting down svc part
(27019|27019) Subscriber shutdown complete
(27019|27019) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->34
status->0
failed->0
PASSED: 34

auto_run_tests_finished: tests/DCPS/ConfigTransports/run_test.pl Time:153s Result:0

==============================================================================

tests/DCPS/RtpsMessages/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsMessages/RtpsMessagesTest  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile RtpsMessagesTest.log -DCPSPendingTimeout 3 
RtpsMessagesTest PID: 27038 started at 2023-04-28 22:55:46
test PASSED.

auto_run_tests_finished: tests/DCPS/RtpsMessages/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/RtpsDiscovery/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/RtpsDiscoveryTest -DCPSConfigFile rtps_disc.ini -DCPSPendingTimeout 3 
test PID: 27040 started at 2023-04-28 22:55:46
(27040|27040) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
27040 Sub Domain Participant GUID=0103000c.297a35f2.69a0bf23.000001c1(76511fd5)
27040 Pub Domain Participant GUID=0103000c.297a35f2.69a0bf24.000001c1(c471c3c5)
27040 Read Participant BIT GUID=0103000c.297a35f2.69a0bf24.000001c1(c471c3c5) handle=3
27040 0103000c.297a35f2.69a0bf23.000001c1(76511fd5) discovered 0103000c.297a35f2.69a0bf24.000001c1(c471c3c5)
27040 0103000c.297a35f2.69a0bf24.000001c1(c471c3c5) discovered 0103000c.297a35f2.69a0bf23.000001c1(76511fd5)
27040 Read Publication BIT with key: 0103000c.297a35f2.69a0bf24.00000003(a8005118) and handle 10
	Participant's GUID=0103000c.297a35f2.69a0bf24.000001c1(c471c3c5)
	Topic: Movie Discussion List	Type: TestMsg
27040 Read Subscription BIT with key: 0103000c.297a35f2.69a0bf23.00000004(844418ab) and handle 12
	Participant's GUID=0103000c.297a35f2.69a0bf23.000001c1(76511fd5)
	Topic: Movie Discussion List	Type: TestMsg
27040 Read Publication BIT with key: 0103000c.297a35f2.69a0bf24.01000003(10bc367d) and handle 13
	Participant's GUID=0103000c.297a35f2.69a0bf24.000001c1(c471c3c5)
	Topic: Movie Discussion List	Type: TestMsg
27040 Read data sample: 42
27040 Read Participant BIT GUID=0103000c.297a35f2.69a0bf24.000001c1(c471c3c5) handle=3
27040 Read Publication BIT with key: 0103000c.297a35f2.69a0bf24.01000003(10bc367d) and handle 13
	Participant's GUID=0103000c.297a35f2.69a0bf24.000001c1(c471c3c5)
	Topic: Movie Discussion List	Type: TestMsg
27040 Read Subscription BIT with key: 0103000c.297a35f2.69a0bf23.00000004(844418ab) and handle 12
	Participant's GUID=0103000c.297a35f2.69a0bf23.000001c1(76511fd5)
	Topic: Movie Discussion List	Type: TestMsg
27040 Read Publication BIT with key: 0103000c.297a35f2.69a0bf24.01000003(10bc367d) and handle 13
	Participant's GUID=0103000c.297a35f2.69a0bf24.000001c1(c471c3c5)
	Topic: Movie Discussion List	Type: TestMsg
27040 Read Subscription BIT with key: 0103000c.297a35f2.69a0bf23.00000004(844418ab) and handle 12
	Participant's GUID=0103000c.297a35f2.69a0bf23.000001c1(76511fd5)
	Topic: Movie Discussion List	Type: TestMsg
27040 Cleaning up test
test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/RtpsDiscoveryTest -DCPSConfigFile rtps_disc_tcp.ini -DCPSPendingTimeout 3 
test PID: 27051 started at 2023-04-28 22:55:57
27051 Sub Domain Participant GUID=0103000c.297a35f2.69ab0903.000001c1(79049443)
27051 Pub Domain Participant GUID=0103000c.297a35f2.69ab0904.000001c1(cb244853)
27051 Read Participant BIT GUID=0103000c.297a35f2.69ab0904.000001c1(cb244853) handle=3
27051 0103000c.297a35f2.69ab0903.000001c1(79049443) discovered 0103000c.297a35f2.69ab0904.000001c1(cb244853)
27051 0103000c.297a35f2.69ab0904.000001c1(cb244853) discovered 0103000c.297a35f2.69ab0903.000001c1(79049443)
(27051|27051) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
27051 Read Publication BIT with key: 0103000c.297a35f2.69ab0904.00000003(a755da8e) and handle 10
	Participant's GUID=0103000c.297a35f2.69ab0904.000001c1(cb244853)
	Topic: Movie Discussion List	Type: TestMsg
27051 Read Subscription BIT with key: 0103000c.297a35f2.69ab0903.00000004(8b11933d) and handle 12
	Participant's GUID=0103000c.297a35f2.69ab0903.000001c1(79049443)
	Topic: Movie Discussion List	Type: TestMsg
27051 Read Publication BIT with key: 0103000c.297a35f2.69ab0904.01000003(1fe9bdeb) and handle 13
	Participant's GUID=0103000c.297a35f2.69ab0904.000001c1(cb244853)
	Topic: Movie Discussion List	Type: TestMsg
27051 Read data sample: 42
27051 Read Participant BIT GUID=0103000c.297a35f2.69ab0904.000001c1(cb244853) handle=3
27051 Read Publication BIT with key: 0103000c.297a35f2.69ab0904.01000003(1fe9bdeb) and handle 13
	Participant's GUID=0103000c.297a35f2.69ab0904.000001c1(cb244853)
	Topic: Movie Discussion List	Type: TestMsg
27051 Read Subscription BIT with key: 0103000c.297a35f2.69ab0903.00000004(8b11933d) and handle 12
	Participant's GUID=0103000c.297a35f2.69ab0903.000001c1(79049443)
	Topic: Movie Discussion List	Type: TestMsg
27051 Read Publication BIT with key: 0103000c.297a35f2.69ab0904.01000003(1fe9bdeb) and handle 13
	Participant's GUID=0103000c.297a35f2.69ab0904.000001c1(cb244853)
	Topic: Movie Discussion List	Type: TestMsg
27051 Read Subscription BIT with key: 0103000c.297a35f2.69ab0903.00000004(8b11933d) and handle 12
	Participant's GUID=0103000c.297a35f2.69ab0903.000001c1(79049443)
	Topic: Movie Discussion List	Type: TestMsg
27051 Cleaning up test
test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/RtpsDiscoveryTest -DCPSConfigFile rtps_disc_group.ini -DCPSPendingTimeout 3 
test PID: 27059 started at 2023-04-28 22:56:09
(27059|27059) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
27059 Sub Domain Participant GUID=0103000c.297a35f2.69b30eff.000001c1(bdf4cb0e)
27059 Pub Domain Participant GUID=0103000c.297a35f2.69b30f00.000001c1(bcccdf27)
27059 Read Participant BIT GUID=0103000c.297a35f2.69b30f00.000001c1(bcccdf27) handle=3
27059 0103000c.297a35f2.69b30eff.000001c1(bdf4cb0e) discovered 0103000c.297a35f2.69b30f00.000001c1(bcccdf27)
27059 0103000c.297a35f2.69b30f00.000001c1(bcccdf27) discovered 0103000c.297a35f2.69b30eff.000001c1(bdf4cb0e)
27059 Read Publication BIT with key: 0103000c.297a35f2.69b30f00.00000003(d0bd4dfa) and handle 10
	Participant's GUID=0103000c.297a35f2.69b30f00.000001c1(bcccdf27)
	Topic: Movie Discussion List	Type: TestMsg
27059 Read Subscription BIT with key: 0103000c.297a35f2.69b30eff.00000004(4fe1cc70) and handle 12
	Participant's GUID=0103000c.297a35f2.69b30eff.000001c1(bdf4cb0e)
	Topic: Movie Discussion List	Type: TestMsg
27059 Read Publication BIT with key: 0103000c.297a35f2.69b30f00.01000003(68012a9f) and handle 13
	Participant's GUID=0103000c.297a35f2.69b30f00.000001c1(bcccdf27)
	Topic: Movie Discussion List	Type: TestMsg
27059 Read data sample: 42
27059 Read Participant BIT GUID=0103000c.297a35f2.69b30f00.000001c1(bcccdf27) handle=3
27059 Read Publication BIT with key: 0103000c.297a35f2.69b30f00.01000003(68012a9f) and handle 13
	Participant's GUID=0103000c.297a35f2.69b30f00.000001c1(bcccdf27)
	Topic: Movie Discussion List	Type: TestMsg
27059 Read Subscription BIT with key: 0103000c.297a35f2.69b30eff.00000004(4fe1cc70) and handle 12
	Participant's GUID=0103000c.297a35f2.69b30eff.000001c1(bdf4cb0e)
	Topic: Movie Discussion List	Type: TestMsg
27059 Read Publication BIT with key: 0103000c.297a35f2.69b30f00.01000003(68012a9f) and handle 13
	Participant's GUID=0103000c.297a35f2.69b30f00.000001c1(bcccdf27)
	Topic: Movie Discussion List	Type: TestMsg
27059 Read Subscription BIT with key: 0103000c.297a35f2.69b30eff.00000004(4fe1cc70) and handle 12
	Participant's GUID=0103000c.297a35f2.69b30eff.000001c1(bdf4cb0e)
	Topic: Movie Discussion List	Type: TestMsg
27059 Cleaning up test
test PASSED.
Running sedp discovery leak test (different user data)
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/RtpsDiscoveryTest -DCPSConfigFile rtps_disc_group.ini -DCPSPendingTimeout 3 
test1 PID: 27069 started at 2023-04-28 22:56:21
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/RtpsDiscoveryTest -DCPSConfigFile rtps_disc_group.ini -value_base 100 -DCPSPendingTimeout 3 
test2 PID: 27070 started at 2023-04-28 22:56:21
(27070|27070) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(27069|27069) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
27070 TestConfig::set base=100
27070 Sub Domain Participant GUID=0103000c.297a35f2.69be0b80.000001c1(f76c4c63)
27070 Pub Domain Participant GUID=0103000c.297a35f2.69be0b81.000001c1(ca0c65d3)
27069 Sub Domain Participant GUID=0103000c.297a35f2.69bdf716.000001c1(fa925d2c)
27069 Pub Domain Participant GUID=0103000c.297a35f2.69bdf717.000001c1(c7f2749c)
27070 Read Participant BIT GUID=0103000c.297a35f2.69be0b81.000001c1(ca0c65d3) handle=3
27070 0103000c.297a35f2.69be0b80.000001c1(f76c4c63) discovered 0103000c.297a35f2.69be0b81.000001c1(ca0c65d3)
27069 Read Participant BIT GUID=0103000c.297a35f2.69bdf717.000001c1(c7f2749c) handle=3
27069 0103000c.297a35f2.69bdf716.000001c1(fa925d2c) discovered 0103000c.297a35f2.69bdf717.000001c1(c7f2749c)
27070 0103000c.297a35f2.69be0b81.000001c1(ca0c65d3) discovered 0103000c.297a35f2.69be0b80.000001c1(f76c4c63)
27070 Read Publication BIT with key: 0103000c.297a35f2.69be0b81.00000003(a67df70e) and handle 10
	Participant's GUID=0103000c.297a35f2.69be0b81.000001c1(ca0c65d3)
	Topic: Movie Discussion List	Type: TestMsg
27069 0103000c.297a35f2.69bdf717.000001c1(c7f2749c) discovered 0103000c.297a35f2.69bdf716.000001c1(fa925d2c)
27069 Read Publication BIT with key: 0103000c.297a35f2.69bdf717.00000003(ab83e641) and handle 10
	Participant's GUID=0103000c.297a35f2.69bdf717.000001c1(c7f2749c)
	Topic: Movie Discussion List	Type: TestMsg
27070 Read Subscription BIT with key: 0103000c.297a35f2.69be0b80.00000004(05794b1d) and handle 12
	Participant's GUID=0103000c.297a35f2.69be0b80.000001c1(f76c4c63)
	Topic: Movie Discussion List	Type: TestMsg
27069 Read Subscription BIT with key: 0103000c.297a35f2.69bdf716.00000004(08875a52) and handle 12
	Participant's GUID=0103000c.297a35f2.69bdf716.000001c1(fa925d2c)
	Topic: Movie Discussion List	Type: TestMsg
27070 Read Publication BIT with key: 0103000c.297a35f2.69be0b81.01000003(1ec1906b) and handle 13
	Participant's GUID=0103000c.297a35f2.69be0b81.000001c1(ca0c65d3)
	Topic: Movie Discussion List	Type: TestMsg
27070 Read data sample: 42
27069 Read Publication BIT with key: 0103000c.297a35f2.69bdf717.01000003(133f8124) and handle 13
	Participant's GUID=0103000c.297a35f2.69bdf717.000001c1(c7f2749c)
	Topic: Movie Discussion List	Type: TestMsg
27069 Read data sample: 42
27070 Read Participant BIT GUID=0103000c.297a35f2.69be0b81.000001c1(ca0c65d3) handle=3
27070 Read Publication BIT with key: 0103000c.297a35f2.69be0b81.01000003(1ec1906b) and handle 13
	Participant's GUID=0103000c.297a35f2.69be0b81.000001c1(ca0c65d3)
	Topic: Movie Discussion List	Type: TestMsg
27070 Read Subscription BIT with key: 0103000c.297a35f2.69be0b80.00000004(05794b1d) and handle 12
	Participant's GUID=0103000c.297a35f2.69be0b80.000001c1(f76c4c63)
	Topic: Movie Discussion List	Type: TestMsg
27069 Read Participant BIT GUID=0103000c.297a35f2.69bdf717.000001c1(c7f2749c) handle=3
27069 Read Publication BIT with key: 0103000c.297a35f2.69bdf717.01000003(133f8124) and handle 13
	Participant's GUID=0103000c.297a35f2.69bdf717.000001c1(c7f2749c)
	Topic: Movie Discussion List	Type: TestMsg
27069 Read Subscription BIT with key: 0103000c.297a35f2.69bdf716.00000004(08875a52) and handle 12
	Participant's GUID=0103000c.297a35f2.69bdf716.000001c1(fa925d2c)
	Topic: Movie Discussion List	Type: TestMsg
27070 Read Publication BIT with key: 0103000c.297a35f2.69be0b81.01000003(1ec1906b) and handle 13
	Participant's GUID=0103000c.297a35f2.69be0b81.000001c1(ca0c65d3)
	Topic: Movie Discussion List	Type: TestMsg
27070 Read Subscription BIT with key: 0103000c.297a35f2.69be0b80.00000004(05794b1d) and handle 12
	Participant's GUID=0103000c.297a35f2.69be0b80.000001c1(f76c4c63)
	Topic: Movie Discussion List	Type: TestMsg
27069 Read Publication BIT with key: 0103000c.297a35f2.69bdf717.01000003(133f8124) and handle 13
	Participant's GUID=0103000c.297a35f2.69bdf717.000001c1(c7f2749c)
	Topic: Movie Discussion List	Type: TestMsg
27069 Read Subscription BIT with key: 0103000c.297a35f2.69bdf716.00000004(08875a52) and handle 12
	Participant's GUID=0103000c.297a35f2.69bdf716.000001c1(fa925d2c)
	Topic: Movie Discussion List	Type: TestMsg
27070 Cleaning up test
27069 Cleaning up test
test PASSED.
Running sedp discovery leak test (same user data)
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/RtpsDiscoveryTest -DCPSConfigFile rtps_disc_group.ini -DCPSPendingTimeout 3 
test1 PID: 27097 started at 2023-04-28 22:56:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/RtpsDiscoveryTest -DCPSConfigFile rtps_disc_group.ini  -DCPSPendingTimeout 3 
test2 PID: 27098 started at 2023-04-28 22:56:32
(27097|27097) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(27098|27098) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
27098 Sub Domain Participant GUID=0103000c.297a35f2.69da84e0.000001c1(fe41f5d5)
27098 Pub Domain Participant GUID=0103000c.297a35f2.69da84e1.000001c1(c321dc65)
27097 Sub Domain Participant GUID=0103000c.297a35f2.69d9c142.000001c1(9adbb861)
27097 Pub Domain Participant GUID=0103000c.297a35f2.69d9c143.000001c1(a7bb91d1)
27098 Read Participant BIT GUID=0103000c.297a35f2.69da84e1.000001c1(c321dc65) handle=3
27097 Read Participant BIT GUID=0103000c.297a35f2.69d9c143.000001c1(a7bb91d1) handle=3
27098 0103000c.297a35f2.69da84e0.000001c1(fe41f5d5) discovered 0103000c.297a35f2.69da84e1.000001c1(c321dc65)
27097 0103000c.297a35f2.69d9c142.000001c1(9adbb861) discovered 0103000c.297a35f2.69d9c143.000001c1(a7bb91d1)
27098 0103000c.297a35f2.69da84e1.000001c1(c321dc65) discovered 0103000c.297a35f2.69da84e0.000001c1(fe41f5d5)
27097 0103000c.297a35f2.69d9c143.000001c1(a7bb91d1) discovered 0103000c.297a35f2.69d9c142.000001c1(9adbb861)
27098 Read Publication BIT with key: 0103000c.297a35f2.69da84e1.00000003(af504eb8) and handle 10
	Participant's GUID=0103000c.297a35f2.69da84e1.000001c1(c321dc65)
	Topic: Movie Discussion List	Type: TestMsg
27097 Read Publication BIT with key: 0103000c.297a35f2.69d9c143.00000003(cbca030c) and handle 10
	Participant's GUID=0103000c.297a35f2.69d9c143.000001c1(a7bb91d1)
	Topic: Movie Discussion List	Type: TestMsg
27098 Read Subscription BIT with key: 0103000c.297a35f2.69da84e0.00000004(0c54f2ab) and handle 12
	Participant's GUID=0103000c.297a35f2.69da84e0.000001c1(fe41f5d5)
	Topic: Movie Discussion List	Type: TestMsg
27097 Read Subscription BIT with key: 0103000c.297a35f2.69d9c142.00000004(68cebf1f) and handle 12
	Participant's GUID=0103000c.297a35f2.69d9c142.000001c1(9adbb861)
	Topic: Movie Discussion List	Type: TestMsg
27097 Read Publication BIT with key: 0103000c.297a35f2.69d9c143.01000003(73766469) and handle 13
	Participant's GUID=0103000c.297a35f2.69d9c143.000001c1(a7bb91d1)
	Topic: Movie Discussion List	Type: TestMsg
27098 Read Publication BIT with key: 0103000c.297a35f2.69da84e1.01000003(17ec29dd) and handle 13
	Participant's GUID=0103000c.297a35f2.69da84e1.000001c1(c321dc65)
	Topic: Movie Discussion List	Type: TestMsg
27097 Read data sample: 42
27098 Read data sample: 42
27097 Read Participant BIT GUID=0103000c.297a35f2.69d9c143.000001c1(a7bb91d1) handle=3
27097 Read Publication BIT with key: 0103000c.297a35f2.69d9c143.01000003(73766469) and handle 13
	Participant's GUID=0103000c.297a35f2.69d9c143.000001c1(a7bb91d1)
	Topic: Movie Discussion List	Type: TestMsg
27097 Read Subscription BIT with key: 0103000c.297a35f2.69d9c142.00000004(68cebf1f) and handle 12
	Participant's GUID=0103000c.297a35f2.69d9c142.000001c1(9adbb861)
	Topic: Movie Discussion List	Type: TestMsg
27098 Read Participant BIT GUID=0103000c.297a35f2.69da84e1.000001c1(c321dc65) handle=3
27098 Read Publication BIT with key: 0103000c.297a35f2.69da84e1.01000003(17ec29dd) and handle 13
	Participant's GUID=0103000c.297a35f2.69da84e1.000001c1(c321dc65)
	Topic: Movie Discussion List	Type: TestMsg
27098 Read Subscription BIT with key: 0103000c.297a35f2.69da84e0.00000004(0c54f2ab) and handle 12
	Participant's GUID=0103000c.297a35f2.69da84e0.000001c1(fe41f5d5)
	Topic: Movie Discussion List	Type: TestMsg
27097 Read Publication BIT with key: 0103000c.297a35f2.69d9c143.01000003(73766469) and handle 13
	Participant's GUID=0103000c.297a35f2.69d9c143.000001c1(a7bb91d1)
	Topic: Movie Discussion List	Type: TestMsg
27097 Read Subscription BIT with key: 0103000c.297a35f2.69d9c142.00000004(68cebf1f) and handle 12
	Participant's GUID=0103000c.297a35f2.69d9c142.000001c1(9adbb861)
	Topic: Movie Discussion List	Type: TestMsg
27098 Read Publication BIT with key: 0103000c.297a35f2.69da84e1.01000003(17ec29dd) and handle 13
	Participant's GUID=0103000c.297a35f2.69da84e1.000001c1(c321dc65)
	Topic: Movie Discussion List	Type: TestMsg
27098 Read Subscription BIT with key: 0103000c.297a35f2.69da84e0.00000004(0c54f2ab) and handle 12
	Participant's GUID=0103000c.297a35f2.69da84e0.000001c1(fe41f5d5)
	Topic: Movie Discussion List	Type: TestMsg
27098 Cleaning up test
27097 Cleaning up test
test PASSED.

auto_run_tests_finished: tests/DCPS/RtpsDiscovery/run_test.pl Time:58s Result:0

==============================================================================

tests/DCPS/MultiDiscovery/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 27122
*********************************
MultiDiscoveryTest creates 3 processes, each with a DW and DR.
DW's in each process use different forms of discovery to find/associate with the DR in their respective domain.
One DW is specified as the 'origin' and sends 10 messages to its associated DR.
Upon receipt, DR's pass the message to their process's DW which add's its id to the message's from field
and relays the messgae on until the loop is completed at the 'origin' DR
*********************************
Spawning alpha - Writer (12) in domain 12 using default discovery and Reader (13) in domain 31 using rtps discovery
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiDiscovery/MultiDiscoveryTest -DCPSConfigFile config.ini -DCPSDebugLevel 0 -origin 1 -reliable 1 -dw_static_disc 0 -dr_static_disc 0 -wdomain 12 -rdomain 31 -writer 000012 -reader 000013 -DCPSPendingTimeout 3 
alpha PID: 27129 started at 2023-04-28 22:56:44
Spawning beta - Writer (23) in domain 23 using static discovery and Reader (21) in domain 12 using default discovery
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiDiscovery/MultiDiscoveryTest -DCPSConfigFile config.ini -DCPSDebugLevel 0 -origin 0 -reliable 1 -dw_static_disc 1 -dr_static_disc 0 -wdomain 23 -rdomain 12 -dw_participant 000000000023 -writer 000023 -reader 000021 -DCPSPendingTimeout 3 
beta PID: 27130 started at 2023-04-28 22:56:44
Spawning gamma - Writer (31) in domain 31 using rtps discovery and Reader (32) in domain 23 using static discovery
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiDiscovery/MultiDiscoveryTest -DCPSConfigFile config.ini -DCPSDebugLevel 0 -origin 0 -reliable 1 -dw_static_disc 0 -dr_static_disc 1 -wdomain 31 -rdomain 23 -dr_participant 000000000032 -writer 000031 -reader 000032 -DCPSPendingTimeout 3 
gamma PID: 27131 started at 2023-04-28 22:56:44
(27129|27129) INFO: set_DCPS_debug_level: set to 0
(27129|27129) NOTICE: using DCPSDebugLevel value from command option (overrides value if it's in config file)
(27129|27129) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(27130|27130) INFO: set_DCPS_debug_level: set to 0
(27130|27130) NOTICE: using DCPSDebugLevel value from command option (overrides value if it's in config file)
(27130|27130) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(27129|27129) Service_Participant::get_domain_participant_factory: This is OpenDDS 3.25.0-dev using ACE 6.2a_p27
(27129|27129) Service_Participant::get_domain_participant_factory: log_level: debug DCPS_debug_level: 0
(27129|27129) Service_Participant::get_domain_participant_factory: machine: flea, x86_64 platform: Linux, 2.6.32-642.el6.x86_64, #1 SMP Wed Apr 13 00:51:26 EDT 2016
(27129|27129) Service_Participant::get_domain_participant_factory: compiler: g++ version 4.4.0
(27130|27130) Service_Participant::get_domain_participant_factory: This is OpenDDS 3.25.0-dev using ACE 6.2a_p27
(27130|27130) Service_Participant::get_domain_participant_factory: log_level: debug DCPS_debug_level: 0
(27130|27130) Service_Participant::get_domain_participant_factory: machine: flea, x86_64 platform: Linux, 2.6.32-642.el6.x86_64, #1 SMP Wed Apr 13 00:51:26 EDT 2016
(27130|27130) Service_Participant::get_domain_participant_factory: compiler: g++ version 4.4.0
(27129|27129) main() - writer domain: 12
(27129|27129) main() - reader domain: 31
(27130|27130) main() - writer domain: 23
(27130|27130) main() - reader domain: 12
(27131|27131) INFO: set_DCPS_debug_level: set to 0
(27131|27131) NOTICE: using DCPSDebugLevel value from command option (overrides value if it's in config file)
(27131|27131) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(27131|27131) Service_Participant::get_domain_participant_factory: This is OpenDDS 3.25.0-dev using ACE 6.2a_p27
(27131|27131) Service_Participant::get_domain_participant_factory: log_level: debug DCPS_debug_level: 0
(27131|27131) Service_Participant::get_domain_participant_factory: machine: flea, x86_64 platform: Linux, 2.6.32-642.el6.x86_64, #1 SMP Wed Apr 13 00:51:26 EDT 2016
(27131|27131) Service_Participant::get_domain_participant_factory: compiler: g++ version 4.4.0
(27129|27135) INFO: MulticastManager::join: joined group 239.255.0.1:15282 on eth0/10.201.200.79 (0xf347a0 joined count 1)
(27129|27135) INFO: MulticastManager::join: joined group ff03::1:15282 on eth0/fe80::20c:29ff:fe7a:35f2 (0xf347a0 joined count 2)
(27129|27135) INFO: MulticastManager::join: joined group 239.255.0.1:15282 on lo/127.0.0.1 (0xf347a0 joined count 3)
(27129|27135) INFO: MulticastManager::join: joined group ff03::1:15282 on lo/::1 (0xf347a0 joined count 4)
(27129|27135) INFO: MulticastManager::join: joined group 239.255.0.1:15282 on virbr0/192.168.122.1 (0xf347a0 joined count 5)
(27131|27131) main() - writer domain: 31
(27131|27131) main() - reader domain: 23
(27122|27122) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(27131|27131) Starting DataWriter 000031
(27131|27142) INFO: MulticastManager::join: joined group 239.255.0.1:15282 on eth0/10.201.200.79 (0x753440 joined count 1)
(27131|27142) INFO: MulticastManager::join: joined group ff03::1:15282 on eth0/fe80::20c:29ff:fe7a:35f2 (0x753440 joined count 2)
(27131|27142) INFO: MulticastManager::join: joined group 239.255.0.1:15282 on lo/127.0.0.1 (0x753440 joined count 3)
(27131|27142) INFO: MulticastManager::join: joined group ff03::1:15282 on lo/::1 (0x753440 joined count 4)
(27131|27142) INFO: MulticastManager::join: joined group 239.255.0.1:15282 on virbr0/192.168.122.1 (0x753440 joined count 5)
(27131|27131) Starting DataReader 000032 using writer with id: 000031
(27129|27129) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(27130|27130) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(27130|27130) Starting DataWriter 000023
(27129|27129) Starting DataWriter 000012
(27130|27130) Starting DataReader 000021 using writer with id: 000023
(27129|27129) Starting DataReader 000013 using writer with id: 000012
(27129|27129) DataWriter 000012 is the 'origin' so spawn writer task
(27129|27159) WriterTask::svc - starting for writer_id: 000012
(27129|27159) DataWriter 000012 has 0 of 1 readers
(27129|27159) DataWriter 000012 has 1 of 1 readers
(27130|27156) DataReader 000021 has received message: 0 from: 000012
(27130|27156) DataWriter 000023 has 0 of 1 readers
(27129|27159) DataWriter 000012 is waiting for acknowledgments
(27130|27156) DataWriter 000023 has 1 of 1 readers
(27130|27156) DataReader 000021 has received message: 1 from: 000012
(27130|27156) DataWriter 000023 has 1 of 1 readers
(27130|27156) DataReader 000021 has received message: 2 from: 000012
(27130|27156) DataWriter 000023 has 1 of 1 readers
(27130|27156) DataReader 000021 has received message: 3 from: 000012
(27130|27156) DataWriter 000023 has 1 of 1 readers
(27131|27148) DataReader 000032 has received message: 0 from: 000012->000023
(27131|27148) DataWriter 000031 has 0 of 1 readers
(27130|27156) DataReader 000021 has received message: 4 from: 000012
(27130|27156) DataWriter 000023 has 1 of 1 readers
(27130|27156) DataReader 000021 has received message: 5 from: 000012
(27130|27156) DataWriter 000023 has 1 of 1 readers
(27130|27156) DataReader 000021 has received message: 6 from: 000012
(27130|27156) DataWriter 000023 has 1 of 1 readers
(27130|27156) DataReader 000021 has received message: 7 from: 000012
(27130|27156) DataWriter 000023 has 1 of 1 readers
(27130|27156) DataReader 000021 has received message: 8 from: 000012
(27130|27156) DataWriter 000023 has 1 of 1 readers
(27130|27156) DataReader 000021 has received message: 9 from: 000012
(27130|27156) DataWriter 000023 has 1 of 1 readers
(27130|27156) DataReader 000021 has received expected number of samples
(27130|27156) DataWriter 000023 is waiting for acknowledgments
(27131|27148) DataWriter 000031 has 1 of 1 readers
(27131|27148) DataReader 000032 has received message: 1 from: 000012->000023
(27131|27148) DataWriter 000031 has 1 of 1 readers
(27131|27148) DataReader 000032 has received message: 2 from: 000012->000023
(27131|27148) DataWriter 000031 has 1 of 1 readers
(27131|27148) DataReader 000032 has received message: 3 from: 000012->000023
(27131|27148) DataWriter 000031 has 1 of 1 readers
(27131|27148) DataReader 000032 has received message: 4 from: 000012->000023
(27131|27148) DataWriter 000031 has 1 of 1 readers
(27131|27148) DataReader 000032 has received message: 5 from: 000012->000023
(27131|27148) DataWriter 000031 has 1 of 1 readers
(27131|27148) DataReader 000032 has received message: 6 from: 000012->000023
(27131|27148) DataWriter 000031 has 1 of 1 readers
(27131|27148) DataReader 000032 has received message: 7 from: 000012->000023
(27131|27148) DataWriter 000031 has 1 of 1 readers
(27131|27148) DataReader 000032 has received message: 8 from: 000012->000023
(27131|27148) DataWriter 000031 has 1 of 1 readers
(27131|27148) DataReader 000032 has received message: 9 from: 000012->000023
(27131|27148) DataWriter 000031 has 1 of 1 readers
(27131|27148) DataReader 000032 has received expected number of samples
(27131|27148) DataWriter 000031 is waiting for acknowledgments
(27129|27158) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(27129|27158) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(27129|27158) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(27129|27158) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(27129|27158) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(27129|27158) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(27129|27158) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(27129|27158) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(27129|27158) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(27129|27158) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(27129|27158) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(27129|27158) DataReader 000013 has received message: 0 from: 000012->000023->000031
(27129|27158) DataReader 000013 has received message: 1 from: 000012->000023->000031
(27129|27158) DataReader 000013 has received message: 2 from: 000012->000023->000031
(27129|27158) DataReader 000013 has received message: 3 from: 000012->000023->000031
(27129|27158) DataReader 000013 has received message: 4 from: 000012->000023->000031
(27129|27158) DataReader 000013 has received message: 5 from: 000012->000023->000031
(27129|27158) DataReader 000013 has received message: 6 from: 000012->000023->000031
(27129|27158) DataReader 000013 has received message: 7 from: 000012->000023->000031
(27129|27158) DataReader 000013 has received message: 8 from: 000012->000023->000031
(27129|27158) DataReader 000013 has received message: 9 from: 000012->000023->000031
(27129|27158) DataReader 000013 has received expected number of samples
(27129|27159) DataWriter 000012 is done
(27129|27129) DataReader 000013 is done
(27129|27129) DataReader 000013 Expected number of samples received
(27131|27131) DataReader 000032 is done
(27131|27131) DataReader 000032 Expected number of samples received
(27130|27130) DataReader 000021 is done
(27130|27130) DataReader 000021 Expected number of samples received
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/MultiDiscovery/run_test.pl Time:6s Result:0

==============================================================================

tests/DCPS/DomainRange/run_test.pl #

*********************************
DomainRangeTest creates a single process with 1 DW and 4 DRs.

Domains and transports are dynamically configured from the
templates in config.ini. The DW in each domain sends 10
messages to its DRs.
*********************************
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DomainRange/DomainRangeTest -DCPSConfigFile config.ini -DCPSDebugLevel 10  -domain 2 -domain 8 -domain 20 -domain 50 -domain 8 -DCPSTransportDebugLevel 1 -ORBLogFile alpha.log -DCPSPendingTimeout 3 
alpha PID: 27162 started at 2023-04-28 22:56:51
test PASSED.
*********************************
DomainRangeTest creates a single process with 1 DW and 4 DRs.

Domains and transports are dynamically configured from the
templates in config.ini. The DW in each domain sends 10
messages to its DRs.
*********************************
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DomainRange/DomainRangeTest -DCPSConfigFile config.ini -DCPSDebugLevel 10 -bind secondary_config -domain 2 -domain 8 -domain 20 -domain 50 -domain 8 -DCPSTransportDebugLevel 1 -ORBLogFile alpha.log -DCPSPendingTimeout 3 
alpha PID: 27270 started at 2023-04-28 22:57:00
test PASSED.

auto_run_tests_finished: tests/DCPS/DomainRange/run_test.pl Time:20s Result:0

==============================================================================

tests/DCPS/StaticDiscoveryReconnect/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StaticDiscoveryReconnect/StaticDiscoveryTest -DCPSConfigFile config.ini -writer -DCPSPendingTimeout 3 
writer1 PID: 27379 started at 2023-04-28 22:57:10
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StaticDiscoveryReconnect/StaticDiscoveryTest -DCPSConfigFile config.ini -reader -toggle -DCPSPendingTimeout 3 
reader1 PID: 27380 started at 2023-04-28 22:57:10
(27380|27380) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(27379|27379) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
Creating reader
Writer found reader
Deleting reader
Writer lost reader
Creating reader
Writer found reader
test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StaticDiscoveryReconnect/StaticDiscoveryTest -DCPSConfigFile config.ini -reader -DCPSPendingTimeout 3 
reader2 PID: 27397 started at 2023-04-28 22:57:43
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StaticDiscoveryReconnect/StaticDiscoveryTest -DCPSConfigFile config.ini -writer -toggle -DCPSPendingTimeout 3 
writer2 PID: 27398 started at 2023-04-28 22:57:43
(27398|27398) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(27397|27397) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
Creating writer
Reader found writer
Deleting writer
Reader lost writer
Creating writer
Reader found writer
test PASSED.

auto_run_tests_finished: tests/DCPS/StaticDiscoveryReconnect/run_test.pl Time:66s Result:0

==============================================================================

tests/transport/rtps/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps/subscriber -h 127.0.0.1 -p 25965 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 27408 started at 2023-04-28 22:58:16
STARTING MAIN IN SUBSCRIBER
***Ready written to subready.txt
***Association Data created for Publication for SimpleDataReader to init
Associating with pub...
***Simple Data Reader init:: publication completed
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps/publisher -h 127.0.0.1 -p 25965 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 27412 started at 2023-04-28 22:58:17
test PASSED.
Running with multicast...
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps/subscriber -h 127.0.0.1 -p 24470 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 27416 started at 2023-04-28 22:58:18
STARTING MAIN IN SUBSCRIBER
***Ready written to subready.txt
***Association Data created for Publication for SimpleDataReader to init
Associating with pub...
***Simple Data Reader init:: publication completed
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps/publisher -h 239.255.0.2 -p 7401 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 27420 started at 2023-04-28 22:58:18
test PASSED.

auto_run_tests_finished: tests/transport/rtps/run_test.pl Time:3s Result:0

==============================================================================

tests/transport/rtps_reliability/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_reliability/rtps_reliability  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile rtps_reliability.log -DCPSPendingTimeout 3 
rtps_reliability PID: 27425 started at 2023-04-28 22:58:19
>>> Starting test of DataReader
data_received with seq#: 1
Received submessage type: 14
recv_an() data retransmit 1
Received submessage type: 14
recv_an() no retransmission requested
Received submessage type: 14
recv_an() data retransmit 2
recv_an() gap retransmit 4
data_received with seq#: 2
data_received with seq#: 3
data_received with seq#: 5
Received submessage type: 14
recv_an() no retransmission requested
Received submessage type: 14
recv_an() no retransmission requested
recv_nackfrag() retransmit 6:2
data_received with seq#: 6
Received submessage type: 14
recv_an() no retransmission requested
Received submessage type: 14
recv_an() no retransmission requested
Received submessage type: 14
recv_an() no retransmission requested
recv_nackfrag() retransmit 7:2
Received submessage type: 14
recv_an() no retransmission requested
data_received with seq#: 7
data_received with seq#: 8
Received submessage type: 14
recv_an() no retransmission requested
>>> Starting test of DataWriter
Received submessage type: 14
recv_hb() first = 1 last = 0
Received submessage type: 14
recv_hb() first = 1 last = 0
Received submessage type: 14
recv_hb() first = 1 last = 0
Received submessage type: 14
recv_hb() first = 1 last = 0
sending with seq#: 1
sending with seq#: 2
sending with seq#: 3
Received submessage type: 9
recv_data() seq = 1
Received submessage type: 9
recv_data() seq = 2
Received submessage type: 9
recv_data() seq = 3
Received submessage type: 14
recv_hb() first = 1 last = 0
Received submessage type: 14
recv_hb() first = 1 last = 3
recv_hb() requesting retransmit of #2
SimpleDataWriter::data_delivered()
Received submessage type: 9
recv_data() seq = 2
Received submessage type: 14
recv_hb() first = 2 last = 3
Received submessage type: 14
recv_hb() first = 2 last = 3
sending with seq#: 5
recv_gap() gapStart = 4 gapListBase = 5
Received submessage type: 9
recv_data() seq = 5
Received submessage type: 14
recv_hb() first = 2 last = 3
Received submessage type: 14
recv_hb() first = 2 last = 5
SimpleDataWriter::data_delivered()
SimpleDataWriter::data_delivered()
SimpleDataWriter::data_delivered()
test PASSED.

auto_run_tests_finished: tests/transport/rtps_reliability/run_test.pl Time:14s Result:0

==============================================================================

tests/transport/spdp/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/spdp/spdp_transport  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile spdp_transport.log -DCPSPendingTimeout 3 
spdp_transport PID: 27440 started at 2023-04-28 22:58:33
(27440|27440) INFO: set_DCPS_debug_level: set to 1
(27440|27443) INFO: MulticastManager::join: joined group 239.255.0.1:7402 on eth0/10.201.200.79 (0x1ffe0b8 joined count 1)
(27440|27443) INFO: MulticastManager::join: joined group ff03::2:7401 on eth0/fe80::20c:29ff:fe7a:35f2 (0x1ffe0b8 joined count 2)
(27440|27443) INFO: MulticastManager::join: joined group 239.255.0.1:7402 on lo/127.0.0.1 (0x1ffe0b8 joined count 3)
(27440|27443) INFO: MulticastManager::join: joined group ff03::2:7401 on lo/::1 (0x1ffe0b8 joined count 4)
(27440|27443) INFO: MulticastManager::join: joined group 239.255.0.1:7402 on virbr0/192.168.122.1 (0x1ffe0b8 joined count 5)
(27440|27443) INFO: MulticastManager::join: joined group 239.255.0.1:7532 on eth0/10.201.200.79 (0x1fd3640 joined count 1)
(27440|27443) INFO: MulticastManager::join: joined group ff03::1:7532 on eth0/fe80::20c:29ff:fe7a:35f2 (0x1fd3640 joined count 2)
(27440|27443) INFO: MulticastManager::join: joined group 239.255.0.1:7532 on lo/127.0.0.1 (0x1fd3640 joined count 3)
(27440|27443) INFO: MulticastManager::join: joined group ff03::1:7532 on lo/::1 (0x1fd3640 joined count 4)
(27440|27443) INFO: MulticastManager::join: joined group 239.255.0.1:7532 on virbr0/192.168.122.1 (0x1fd3640 joined count 5)
(27440|27440) spdp_transport.cpp:run_test() addr_array[0]: 127.0.0.1:12345
(27440|27440) spdp_transport.cpp:run_test() addr_array[1]: 10.201.200.79:12345
(27440|27440) spdp_transport.cpp:run_test() addr_array[2]: 192.168.122.1:12345
(27440|27440) spdp_transport.cpp:run_test() addr_array[3]: ::1:12345
(27440|27440) spdp_transport.cpp:run_test() addr_array[4]: fe80::20c:29ff:fe7a:35f2%2:12345
Basic Reset Test
seq: 1
(27440|27443) Spdp::handle_participant_data - 0103000c.297a35f2.6b3092a5.000001c1(5e283d4e) discovered 0103000c.297a35f2.6b306103.000001c1(32493ccf) lease 5:00 from 10.201.200.79:38398 (0)
(27440|27443) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.6b3092a5.000300c3(ab7bd37a) remote 0103000c.297a35f2.6b306103.000300c4(597e4758)
(27440|27443) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.6b3092a5.000301c3(b260e23b) remote 0103000c.297a35f2.6b306103.000301c4(40657619)
(27440|27443) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.6b3092a5.000003c2(f5170e76) remote 0103000c.297a35f2.6b306103.000003c7(e91cfb78)
(27440|27443) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.6b3092a5.000004c2(ba5698b1) remote 0103000c.297a35f2.6b306103.000004c7(a65d6dbf)
(27440|27443) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.6b3092a5.000200c2(ddbe89db) remote 0103000c.297a35f2.6b306103.000200c7(c1b57cd5)
seq: 2
seq: 3
seq: 4
seq: 5
seq: 1
seq: 2
seq: 3
seq: 4
(27440|27443) Spdp::handle_participant_data - 0103000c.297a35f2.6b3092a5.000001c1(5e283d4e) discovered 0103000c.297a35f2.6b306103.000001c1(32493ccf) lease 5:00 from 10.201.200.79:38398 (0)
(27440|27443) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.6b3092a5.000300c3(ab7bd37a) remote 0103000c.297a35f2.6b306103.000300c4(597e4758)
(27440|27443) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.6b3092a5.000301c3(b260e23b) remote 0103000c.297a35f2.6b306103.000301c4(40657619)
(27440|27443) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.6b3092a5.000003c2(f5170e76) remote 0103000c.297a35f2.6b306103.000003c7(e91cfb78)
(27440|27443) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.6b3092a5.000004c2(ba5698b1) remote 0103000c.297a35f2.6b306103.000004c7(a65d6dbf)
(27440|27443) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.6b3092a5.000200c2(ddbe89db) remote 0103000c.297a35f2.6b306103.000200c7(c1b57cd5)
seq: 5
seq: 6
seq: 7
Reset Within Limits Test
seq: 8
seq: 6
seq: 7
seq: 8
seq: 9
Duplicate Sequence Numbers Test
seq: 1
(27440|27443) Spdp::handle_participant_data - 0103000c.297a35f2.6b3092a5.000001c1(5e283d4e) discovered 0103000c.297a35f2.6b306103.000001c1(32493ccf) lease 5:00 from 10.201.200.79:38398 (0)
(27440|27443) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.6b3092a5.000300c3(ab7bd37a) remote 0103000c.297a35f2.6b306103.000300c4(597e4758)
(27440|27443) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.6b3092a5.000301c3(b260e23b) remote 0103000c.297a35f2.6b306103.000301c4(40657619)
(27440|27443) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.6b3092a5.000003c2(f5170e76) remote 0103000c.297a35f2.6b306103.000003c7(e91cfb78)
(27440|27443) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.6b3092a5.000004c2(ba5698b1) remote 0103000c.297a35f2.6b306103.000004c7(a65d6dbf)
(27440|27443) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.6b3092a5.000200c2(ddbe89db) remote 0103000c.297a35f2.6b306103.000200c7(c1b57cd5)
  seq: 2
  seq: 3
  seq: 4
seq: 2
  seq: 3
  seq: 4
  seq: 5
seq: 3
  seq: 4
  seq: 5
  seq: 6
seq: 4
  seq: 5
  seq: 6
  seq: 7
seq: 5
  seq: 6
  seq: 7
  seq: 8
Overflow Test
  seq: 2147483647 4294967290
(27440|27443) Spdp::handle_participant_data - 0103000c.297a35f2.6b3092a5.000001c1(5e283d4e) discovered 0103000c.297a35f2.6b306103.000001c1(32493ccf) lease 5:00 from 10.201.200.79:38398 (0)
(27440|27443) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.6b3092a5.000300c3(ab7bd37a) remote 0103000c.297a35f2.6b306103.000300c4(597e4758)
(27440|27443) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.6b3092a5.000301c3(b260e23b) remote 0103000c.297a35f2.6b306103.000301c4(40657619)
(27440|27443) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.6b3092a5.000003c2(f5170e76) remote 0103000c.297a35f2.6b306103.000003c7(e91cfb78)
(27440|27443) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.6b3092a5.000004c2(ba5698b1) remote 0103000c.297a35f2.6b306103.000004c7(a65d6dbf)
(27440|27443) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.6b3092a5.000200c2(ddbe89db) remote 0103000c.297a35f2.6b306103.000200c7(c1b57cd5)
  seq: 2147483647 4294967291
  seq: 2147483647 4294967292
  seq: 2147483647 4294967293
  seq: 2147483647 4294967294
  seq: 2147483647 4294967295
  seq: 0 0
  seq: 0 1
  seq: 0 2
  seq: 0 3
(27440|27440) WARNING: DataLink[0x1ffe118]::~DataLink() - link still in use by 5 entities when deleted!
(27440|27440) Service_Participant::shutdown
(27440|27440) DomainParticipantFactoryImpl::~DomainParticipantFactoryImpl()
(27440|27440) Service_Participant::~Service_Participant
(27440|27440) Service_Participant::shutdown
test PASSED.

auto_run_tests_finished: tests/transport/spdp/run_test.pl Time:24s Result:0

==============================================================================

tests/transport/rtps_directed_write/run_test.pl #

Testing with best-effort readers...
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/subscriber -h 127.0.0.1 -p 13617 -r 0 -ORBLogFile sub_BestEffort.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
subscriber PID: 27446 started at 2023-04-28 22:58:57
Reader 01030000.01234567.76543210.11111107(3bef1e14) called associate()
Reader 01030000.01234567.76543210.22222207(0b3777a2) called associate()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/publisher -h 127.0.0.1 -p 13617 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 27450 started at 2023-04-28 22:58:57
test PASSED.

Testing with best-effort readers, multicast...
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/subscriber -h 127.0.0.1 -p 19050 -r 0 -ORBLogFile sub_BestEffort_multicast.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
subscriber PID: 27452 started at 2023-04-28 22:58:58
Reader 01030000.01234567.76543210.11111107(3bef1e14) called associate()
Reader 01030000.01234567.76543210.22222207(0b3777a2) called associate()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/publisher -h 239.255.0.2 -p 7401 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 27456 started at 2023-04-28 22:58:58
test PASSED.

Testing with reliable readers...
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/subscriber -h 127.0.0.1 -p 28458 -r 1 -ORBLogFile sub_Reliable.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
subscriber PID: 27458 started at 2023-04-28 22:58:59
Reader 01030000.01234567.76543210.11111107(3bef1e14) called associate()
Reader 01030000.01234567.76543210.22222207(0b3777a2) called associate()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/publisher -h 127.0.0.1 -p 28458 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 27462 started at 2023-04-28 22:58:59
test PASSED.

Testing with reliable readers, multicast...
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/subscriber -h 127.0.0.1 -p 26447 -r 1 -ORBLogFile sub_Reliable_multicast.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
subscriber PID: 27464 started at 2023-04-28 22:59:00
Reader 01030000.01234567.76543210.11111107(3bef1e14) called associate()
Reader 01030000.01234567.76543210.22222207(0b3777a2) called associate()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/publisher -h 239.255.0.2 -p 7401 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 27468 started at 2023-04-28 22:59:01
test PASSED.

auto_run_tests_finished: tests/transport/rtps_directed_write/run_test.pl Time:5s Result:0

==============================================================================

tests/transport/best_effort_reader/run_test.pl #

Testing best-effort readers...
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/best_effort_reader/subscriber -h 127.0.0.1 -p 18212 -ORBLogFile sub.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
subscriber PID: 27471 started at 2023-04-28 22:59:02
01030000.01234567.11111111.11111102(3bc047cf) <- 01030000.01234567.22222222.11111107(bd0cef44) associated
01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.11111107(bd0cef44) associated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.11111107(bd0cef44) associated
Reader1 associated with 3 writer(s)

01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.22222207(8dd486f2) associated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.22222207(8dd486f2) associated
Reader2 associated with 2 writer(s)

01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.33333307(2b4c5c5f) associated
Reader3 associated with 1 writer(s)

*** Ready written to subready.txt ***
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/best_effort_reader/publisher -h 127.0.0.1 -p 18212 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 27475 started at 2023-04-28 22:59:02
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.33333307(2b4c5c5f) disassociated
01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.22222207(8dd486f2) disassociated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.22222207(8dd486f2) disassociated
01030000.01234567.11111111.11111102(3bc047cf) <- 01030000.01234567.22222222.11111107(bd0cef44) disassociated
01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.11111107(bd0cef44) disassociated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.11111107(bd0cef44) disassociated
test PASSED.

Testing best-effort readers, multicast...
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/best_effort_reader/subscriber -h 127.0.0.1 -p 24427 -ORBLogFile sub_multicast.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
subscriber PID: 27477 started at 2023-04-28 22:59:03
01030000.01234567.11111111.11111102(3bc047cf) <- 01030000.01234567.22222222.11111107(bd0cef44) associated
01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.11111107(bd0cef44) associated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.11111107(bd0cef44) associated
Reader1 associated with 3 writer(s)

01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.22222207(8dd486f2) associated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.22222207(8dd486f2) associated
Reader2 associated with 2 writer(s)

01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.33333307(2b4c5c5f) associated
Reader3 associated with 1 writer(s)

*** Ready written to subready.txt ***
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/best_effort_reader/publisher -h 239.255.0.2 -p 7401 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 27481 started at 2023-04-28 22:59:03
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.33333307(2b4c5c5f) disassociated
01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.22222207(8dd486f2) disassociated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.22222207(8dd486f2) disassociated
01030000.01234567.11111111.11111102(3bc047cf) <- 01030000.01234567.22222222.11111107(bd0cef44) disassociated
01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.11111107(bd0cef44) disassociated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.11111107(bd0cef44) disassociated
test PASSED.

auto_run_tests_finished: tests/transport/best_effort_reader/run_test.pl Time:2s Result:0

==============================================================================

tests/DCPS/ManyTopicTest/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 27484
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/publisher -t all -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3  -o /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/
publisher PID: 27491 started at 2023-04-28 22:59:04
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/subscriber -t all -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber1.log -DCPSPendingTimeout 3  -o /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/
subscriber1 PID: 27492 started at 2023-04-28 22:59:04
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/subscriber -t all -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber2.log -DCPSPendingTimeout 3  -o /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/
subscriber2 PID: 27493 started at 2023-04-28 22:59:04
(27493|27493)  22:59:04.524900 subscriber main
(27492|27492)  22:59:04.536897 subscriber main
(27484|27484) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(27491|27491)  22:59:04.560989 publisher main
  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740746 848845000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740746 848845000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740746 896704000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740746 896704000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740746 902741000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740746 902741000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740746 908809000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740746 908809000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740746 913712000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740746 913712000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740746 917594000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740746 917594000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740746 920257000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740746 920257000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740746 951664000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740746 951664000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740746 961452000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740746 961452000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740746 961897000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740746 961897000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740746 966265000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740746 966265000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740746 969812000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740746 969812000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740746 972221000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740746 972221000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740746 978773000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740746 978773000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740746 993769000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740746 993769000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740746 998556000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740746 998556000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 3024000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 3024000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 7158000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 7158000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 8635000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 8635000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 15209000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 15209000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 63551000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 63551000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 64721000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 64721000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 69774000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 69774000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 103522000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 103522000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 110598000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 110598000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 117087000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 117087000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 150575000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 150575000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 181073000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 181073000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 200721000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 200721000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 211028000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 211028000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 214746000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 214746000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 234656000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 234656000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 243039000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 243039000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 245717000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 245717000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 247138000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 247138000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 252402000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 252402000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 270007000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 270007000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 311667000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 311667000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 332883000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 332883000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 399370000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740747 399370000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 2
foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 4
foo2[0]: text = message 1, key = 3
foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 1
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 2
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 1
foo2[0]: text = message 2, key = 3
foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 4
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 2
foo2[0]: text = message 3, key = 3
foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 4
foo2[0]: text = message 4, key = 3
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 2
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 1
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 2
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 1
foo2[0]: text = message 5, key = 3
foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 4
foo2[0]: text = message 6, key = 3
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 1
foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 4
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 2
foo2[0]: text = message 7, key = 3
foo2[0]: text = message 8, key = 3
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 1
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 2
foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 4
foo2[0]: text = message 9, key = 3
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 1
foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 4
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 2
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 1
foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 4
foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 4
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 2
foo2[0]: text = message 10, key = 3
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 2
foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 4
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 1
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 1

*** T1 received 10 samples.

*** T2 received 10 samples.

*** T3 received 10 samples.

*** T4 received 10 samples.
foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 2
foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 4
foo2[0]: text = message 1, key = 3
foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 1
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 2
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 1
foo2[0]: text = message 2, key = 3
foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 4
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 2
foo2[0]: text = message 3, key = 3
foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 4
foo2[0]: text = message 4, key = 3
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 2
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 1
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 2
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 1
foo2[0]: text = message 5, key = 3
foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 4
foo2[0]: text = message 6, key = 3
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 1
foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 4
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 2
foo2[0]: text = message 7, key = 3
foo2[0]: text = message 8, key = 3
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 1
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 2
foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 4
foo2[0]: text = message 9, key = 3
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 1
foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 4
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 2
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 1
foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 4
foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 4
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 2
foo2[0]: text = message 10, key = 3
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 2
foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 4
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 1
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 1

*** T1 received 10 samples.

*** T2 received 10 samples.

*** T3 received 10 samples.

*** T4 received 10 samples.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ManyTopicTest/run_test.pl Time:4s Result:0

==============================================================================

tests/DCPS/ManyTopicTest/run_test.pl rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/publisher -t all -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3  -o /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/
publisher PID: 27519 started at 2023-04-28 22:59:08
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/subscriber -t all -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber1.log -DCPSPendingTimeout 3  -o /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/
subscriber1 PID: 27520 started at 2023-04-28 22:59:08
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/subscriber -t all -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber2.log -DCPSPendingTimeout 3  -o /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/
subscriber2 PID: 27521 started at 2023-04-28 22:59:08
(27519|27519)  22:59:08.397944 publisher main
(27520|27520)  22:59:08.408926 subscriber main
(27521|27521)  22:59:08.413201 subscriber main
  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740750 828287999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740750 828287999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740750 849682999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740750 849682999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740750 851864999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740750 851864999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740750 862955999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740750 862955999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740750 878535999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740750 878535999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740750 880590999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740750 880590999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740750 882769999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740750 882769999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740750 892934999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740750 892934999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740750 895460999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740750 895460999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740750 913389999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740750 913703999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740750 913389999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740750 913703999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740750 920260999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740750 920260999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740750 928004999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740750 928004999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740750 962068999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740750 962068999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740750 993786999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740750 993786999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 7984999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 7984999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 9096999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 9096999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 11182999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 11182999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 39489999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 39489999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 60414999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 60414999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 67390999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 67390999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 75851999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 75851999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 112038999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 112038999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 116697999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 116697999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 122973999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 122973999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 136425999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 136425999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 137007999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 137007999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 153783999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 153783999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 154277999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 154277999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 169477999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 169477999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 172605999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 172605999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 175395999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 175395999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 197732999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 197732999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 207422999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 207422999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 228356999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 228356999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 230986999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 230986999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 242984999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 242984999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 267302999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 267302999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 288893999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 288893999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 319602999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682740751 319602999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 4
foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 3
foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 1
foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 1
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 4
foo2[0]: text = message 1, key = 2
foo2[0]: text = message 2, key = 2
foo2[0]: text = message 3, key = 2
foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 1
foo2[0]: text = message 4, key = 2
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 3
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 4
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 3
foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 1
foo2[0]: text = message 5, key = 2
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 4
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 3
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 3
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 3
foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 1
foo2[0]: text = message 6, key = 2
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 4
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 3
foo2[0]: text = message 7, key = 2
foo2[0]: text = message 8, key = 2
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 4
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 3
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 3
foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 1
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 3
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 4
foo2[0]: text = message 9, key = 2
foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 1
foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 1
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 4
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 4
foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 1
foo2[0]: text = message 10, key = 2
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 4
foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 1

*** T1 received 10 samples.

*** T2 received 10 samples.

*** T3 received 10 samples.

*** T4 received 10 samples.
foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 4
foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 3
foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 1
foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 1
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 4
foo2[0]: text = message 1, key = 2
foo2[0]: text = message 2, key = 2
foo2[0]: text = message 3, key = 2
foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 1
foo2[0]: text = message 4, key = 2
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 3
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 4
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 3
foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 1
foo2[0]: text = message 5, key = 2
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 4
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 3
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 3
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 3
foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 1
foo2[0]: text = message 6, key = 2
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 4
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 3
foo2[0]: text = message 7, key = 2
foo2[0]: text = message 8, key = 2
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 4
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 3
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 3
foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 1
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 3
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 4
foo2[0]: text = message 9, key = 2
foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 1
foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 1
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 4
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 4
foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 1
foo2[0]: text = message 10, key = 2
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 4
foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 1

*** T1 received 10 samples.

*** T2 received 10 samples.

*** T3 received 10 samples.

*** T4 received 10 samples.
test PASSED.

auto_run_tests_finished: tests/DCPS/ManyTopicTest/run_test.pl rtps Time:4s Result:0

==============================================================================

tests/DCPS/ManyTopicMultiProcess/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 27542
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/publisher -p1 -p2 -s6 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile proc1.log -DCPSPendingTimeout 3  -T /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/
proc1 PID: 27549 started at 2023-04-28 22:59:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/publisher -p3 -p4 -p5 -s7 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile proc2.log -DCPSPendingTimeout 3  -T /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/
proc2 PID: 27550 started at 2023-04-28 22:59:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/subscriber -s1 -s2 -s3 -s4 -s5 -p6 -p7 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile proc3.log -DCPSPendingTimeout 3  -T /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/
proc3 PID: 27551 started at 2023-04-28 22:59:12
(27549|27549)  22:59:12.467641 publisher main
(27550|27550)  22:59:12.468830 publisher main
(27551|27551)  22:59:12.469742 subscriber main
(27542|27542) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
T1 foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 0.000000
	foo4[0][1]: value = -1.000000
	foo4[0][2]: value = -2.000000
	foo4[0][3]: value = -3.000000
	foo4[0][4]: value = -4.000000
	foo4[0][5]: value = -5.000000
	foo4[0][6]: value = -6.000000
	foo4[0][7]: value = -7.000000
	foo4[0][8]: value = -8.000000
	foo4[0][9]: value = -9.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 0.000000
	foo4[0][1]: value = -1.000000
	foo4[0][2]: value = -2.000000
	foo4[0][3]: value = -3.000000
	foo4[0][4]: value = -4.000000
	foo4[0][5]: value = -5.000000
	foo4[0][6]: value = -6.000000
	foo4[0][7]: value = -7.000000
	foo4[0][8]: value = -8.000000
	foo4[0][9]: value = -9.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 0.000000
	foo4[0][1]: value = -1.000000
	foo4[0][2]: value = -2.000000
	foo4[0][3]: value = -3.000000
	foo4[0][4]: value = -4.000000
	foo4[0][5]: value = -5.000000
	foo4[0][6]: value = -6.000000
	foo4[0][7]: value = -7.000000
	foo4[0][8]: value = -8.000000
	foo4[0][9]: value = -9.000000
T3 foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 1
T4 foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 2
T5 foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 3
T1 foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 1.000000
	foo4[0][1]: value = 0.000000
	foo4[0][2]: value = -1.000000
	foo4[0][3]: value = -2.000000
	foo4[0][4]: value = -3.000000
	foo4[0][5]: value = -4.000000
	foo4[0][6]: value = -5.000000
	foo4[0][7]: value = -6.000000
	foo4[0][8]: value = -7.000000
	foo4[0][9]: value = -8.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 1.000000
	foo4[0][1]: value = 0.000000
	foo4[0][2]: value = -1.000000
	foo4[0][3]: value = -2.000000
	foo4[0][4]: value = -3.000000
	foo4[0][5]: value = -4.000000
	foo4[0][6]: value = -5.000000
	foo4[0][7]: value = -6.000000
	foo4[0][8]: value = -7.000000
	foo4[0][9]: value = -8.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 1.000000
	foo4[0][1]: value = 0.000000
	foo4[0][2]: value = -1.000000
	foo4[0][3]: value = -2.000000
	foo4[0][4]: value = -3.000000
	foo4[0][5]: value = -4.000000
	foo4[0][6]: value = -5.000000
	foo4[0][7]: value = -6.000000
	foo4[0][8]: value = -7.000000
	foo4[0][9]: value = -8.000000
T3 foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 1
T4 foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 2
T5 foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 3
T1 foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 4.000000
	foo4[0][1]: value = 3.000000
	foo4[0][2]: value = 2.000000
	foo4[0][3]: value = 1.000000
	foo4[0][4]: value = 0.000000
	foo4[0][5]: value = -1.000000
	foo4[0][6]: value = -2.000000
	foo4[0][7]: value = -3.000000
	foo4[0][8]: value = -4.000000
	foo4[0][9]: value = -5.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 4.000000
	foo4[0][1]: value = 3.000000
	foo4[0][2]: value = 2.000000
	foo4[0][3]: value = 1.000000
	foo4[0][4]: value = 0.000000
	foo4[0][5]: value = -1.000000
	foo4[0][6]: value = -2.000000
	foo4[0][7]: value = -3.000000
	foo4[0][8]: value = -4.000000
	foo4[0][9]: value = -5.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 4.000000
	foo4[0][1]: value = 3.000000
	foo4[0][2]: value = 2.000000
	foo4[0][3]: value = 1.000000
	foo4[0][4]: value = 0.000000
	foo4[0][5]: value = -1.000000
	foo4[0][6]: value = -2.000000
	foo4[0][7]: value = -3.000000
	foo4[0][8]: value = -4.000000
	foo4[0][9]: value = -5.000000
T3 foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 1
T4 foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 2
T5 foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 3
T1 foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 9.000000
	foo4[0][1]: value = 8.000000
	foo4[0][2]: value = 7.000000
	foo4[0][3]: value = 6.000000
	foo4[0][4]: value = 5.000000
	foo4[0][5]: value = 4.000000
	foo4[0][6]: value = 3.000000
	foo4[0][7]: value = 2.000000
	foo4[0][8]: value = 1.000000
	foo4[0][9]: value = 0.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 9.000000
	foo4[0][1]: value = 8.000000
	foo4[0][2]: value = 7.000000
	foo4[0][3]: value = 6.000000
	foo4[0][4]: value = 5.000000
	foo4[0][5]: value = 4.000000
	foo4[0][6]: value = 3.000000
	foo4[0][7]: value = 2.000000
	foo4[0][8]: value = 1.000000
	foo4[0][9]: value = 0.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 9.000000
	foo4[0][1]: value = 8.000000
	foo4[0][2]: value = 7.000000
	foo4[0][3]: value = 6.000000
	foo4[0][4]: value = 5.000000
	foo4[0][5]: value = 4.000000
	foo4[0][6]: value = 3.000000
	foo4[0][7]: value = 2.000000
	foo4[0][8]: value = 1.000000
	foo4[0][9]: value = 0.000000
T3 foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 1
T4 foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 2
T5 foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 3
T1 foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 16.000000
	foo4[0][1]: value = 15.000000
	foo4[0][2]: value = 14.000000
	foo4[0][3]: value = 13.000000
	foo4[0][4]: value = 12.000000
	foo4[0][5]: value = 11.000000
	foo4[0][6]: value = 10.000000
	foo4[0][7]: value = 9.000000
	foo4[0][8]: value = 8.000000
	foo4[0][9]: value = 7.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 16.000000
	foo4[0][1]: value = 15.000000
	foo4[0][2]: value = 14.000000
	foo4[0][3]: value = 13.000000
	foo4[0][4]: value = 12.000000
	foo4[0][5]: value = 11.000000
	foo4[0][6]: value = 10.000000
	foo4[0][7]: value = 9.000000
	foo4[0][8]: value = 8.000000
	foo4[0][9]: value = 7.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 16.000000
	foo4[0][1]: value = 15.000000
	foo4[0][2]: value = 14.000000
	foo4[0][3]: value = 13.000000
	foo4[0][4]: value = 12.000000
	foo4[0][5]: value = 11.000000
	foo4[0][6]: value = 10.000000
	foo4[0][7]: value = 9.000000
	foo4[0][8]: value = 8.000000
	foo4[0][9]: value = 7.000000
T3 foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 1
T4 foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 2
T5 foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 3
T1 foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 25.000000
	foo4[0][1]: value = 24.000000
	foo4[0][2]: value = 23.000000
	foo4[0][3]: value = 22.000000
	foo4[0][4]: value = 21.000000
	foo4[0][5]: value = 20.000000
	foo4[0][6]: value = 19.000000
	foo4[0][7]: value = 18.000000
	foo4[0][8]: value = 17.000000
	foo4[0][9]: value = 16.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 25.000000
	foo4[0][1]: value = 24.000000
	foo4[0][2]: value = 23.000000
	foo4[0][3]: value = 22.000000
	foo4[0][4]: value = 21.000000
	foo4[0][5]: value = 20.000000
	foo4[0][6]: value = 19.000000
	foo4[0][7]: value = 18.000000
	foo4[0][8]: value = 17.000000
	foo4[0][9]: value = 16.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 25.000000
	foo4[0][1]: value = 24.000000
	foo4[0][2]: value = 23.000000
	foo4[0][3]: value = 22.000000
	foo4[0][4]: value = 21.000000
	foo4[0][5]: value = 20.000000
	foo4[0][6]: value = 19.000000
	foo4[0][7]: value = 18.000000
	foo4[0][8]: value = 17.000000
	foo4[0][9]: value = 16.000000
T3 foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 1
T4 foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 2
T5 foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 3
T1 foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 36.000000
	foo4[0][1]: value = 35.000000
	foo4[0][2]: value = 34.000000
	foo4[0][3]: value = 33.000000
	foo4[0][4]: value = 32.000000
	foo4[0][5]: value = 31.000000
	foo4[0][6]: value = 30.000000
	foo4[0][7]: value = 29.000000
	foo4[0][8]: value = 28.000000
	foo4[0][9]: value = 27.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 36.000000
	foo4[0][1]: value = 35.000000
	foo4[0][2]: value = 34.000000
	foo4[0][3]: value = 33.000000
	foo4[0][4]: value = 32.000000
	foo4[0][5]: value = 31.000000
	foo4[0][6]: value = 30.000000
	foo4[0][7]: value = 29.000000
	foo4[0][8]: value = 28.000000
	foo4[0][9]: value = 27.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 36.000000
	foo4[0][1]: value = 35.000000
	foo4[0][2]: value = 34.000000
	foo4[0][3]: value = 33.000000
	foo4[0][4]: value = 32.000000
	foo4[0][5]: value = 31.000000
	foo4[0][6]: value = 30.000000
	foo4[0][7]: value = 29.000000
	foo4[0][8]: value = 28.000000
	foo4[0][9]: value = 27.000000
T3 foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 1
T4 foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 2
T5 foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 3
T1 foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 49.000000
	foo4[0][1]: value = 48.000000
	foo4[0][2]: value = 47.000000
	foo4[0][3]: value = 46.000000
	foo4[0][4]: value = 45.000000
	foo4[0][5]: value = 44.000000
	foo4[0][6]: value = 43.000000
	foo4[0][7]: value = 42.000000
	foo4[0][8]: value = 41.000000
	foo4[0][9]: value = 40.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 49.000000
	foo4[0][1]: value = 48.000000
	foo4[0][2]: value = 47.000000
	foo4[0][3]: value = 46.000000
	foo4[0][4]: value = 45.000000
	foo4[0][5]: value = 44.000000
	foo4[0][6]: value = 43.000000
	foo4[0][7]: value = 42.000000
	foo4[0][8]: value = 41.000000
	foo4[0][9]: value = 40.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 49.000000
	foo4[0][1]: value = 48.000000
	foo4[0][2]: value = 47.000000
	foo4[0][3]: value = 46.000000
	foo4[0][4]: value = 45.000000
	foo4[0][5]: value = 44.000000
	foo4[0][6]: value = 43.000000
	foo4[0][7]: value = 42.000000
	foo4[0][8]: value = 41.000000
	foo4[0][9]: value = 40.000000
T3 foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 1
T4 foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 2
T5 foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 3
T1 foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 64.000000
	foo4[0][1]: value = 63.000000
	foo4[0][2]: value = 62.000000
	foo4[0][3]: value = 61.000000
	foo4[0][4]: value = 60.000000
	foo4[0][5]: value = 59.000000
	foo4[0][6]: value = 58.000000
	foo4[0][7]: value = 57.000000
	foo4[0][8]: value = 56.000000
	foo4[0][9]: value = 55.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 64.000000
	foo4[0][1]: value = 63.000000
	foo4[0][2]: value = 62.000000
	foo4[0][3]: value = 61.000000
	foo4[0][4]: value = 60.000000
	foo4[0][5]: value = 59.000000
	foo4[0][6]: value = 58.000000
	foo4[0][7]: value = 57.000000
	foo4[0][8]: value = 56.000000
	foo4[0][9]: value = 55.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 64.000000
	foo4[0][1]: value = 63.000000
	foo4[0][2]: value = 62.000000
	foo4[0][3]: value = 61.000000
	foo4[0][4]: value = 60.000000
	foo4[0][5]: value = 59.000000
	foo4[0][6]: value = 58.000000
	foo4[0][7]: value = 57.000000
	foo4[0][8]: value = 56.000000
	foo4[0][9]: value = 55.000000
T3 foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 1
T4 foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 2
T5 foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 3
T1 foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 81.000000
	foo4[0][1]: value = 80.000000
	foo4[0][2]: value = 79.000000
	foo4[0][3]: value = 78.000000
	foo4[0][4]: value = 77.000000
	foo4[0][5]: value = 76.000000
	foo4[0][6]: value = 75.000000
	foo4[0][7]: value = 74.000000
	foo4[0][8]: value = 73.000000
	foo4[0][9]: value = 72.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 81.000000
	foo4[0][1]: value = 80.000000
	foo4[0][2]: value = 79.000000
	foo4[0][3]: value = 78.000000
	foo4[0][4]: value = 77.000000
	foo4[0][5]: value = 76.000000
	foo4[0][6]: value = 75.000000
	foo4[0][7]: value = 74.000000
	foo4[0][8]: value = 73.000000
	foo4[0][9]: value = 72.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 81.000000
	foo4[0][1]: value = 80.000000
	foo4[0][2]: value = 79.000000
	foo4[0][3]: value = 78.000000
	foo4[0][4]: value = 77.000000
	foo4[0][5]: value = 76.000000
	foo4[0][6]: value = 75.000000
	foo4[0][7]: value = 74.000000
	foo4[0][8]: value = 73.000000
	foo4[0][9]: value = 72.000000
T3 foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 1
T4 foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 2
T5 foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 3

*** T1 received 10 samples.

*** T2 received 10 samples.

*** T2 received 10 samples.

*** T2 received 10 samples.

*** T3 receiveT6 foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 1
T6 foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 1
T6 foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 1
T6 foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 1
T6 foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 1
T6 foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 1
T6 foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 1
T6 foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 1
T6 foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 1
T6 foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 1

*** T6 received 10 samples.
T7 foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 2
T7 foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 2
T7 foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 2
T7 foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 2
T7 foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 2
T7 foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 2
T7 foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 2
T7 foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 2
T7 foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 2
T7 foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 2

*** T7 received 10 samples.
d 10 samples.

*** T4 received 10 samples.

*** T5 received 10 samples.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ManyTopicMultiProcess/run_test.pl Time:16s Result:0

==============================================================================

tests/DCPS/Monitor/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -DCPSDebugLevel 6 -ORBDebugLevel 10 -ORBLogFile DCPSInfoRepo.log -o repo.ior 
TAO (27583|27583) - Completed initializing the process-wide service context
TAO (27583|27583) - Default ORB services initialization begins
TAO (27583|27583) - ORBInitializer_Registry::register_orb_initializer 0 @0x24307d0
TAO (27583|27583) - ORBInitializer_Registry::register_orb_initializer 1 @0x242dcd0
TAO (27583|27583) - Default ORB services initialization completed
TAO (27583|27583) - We are the default ORB ...
TAO (27583|27583) - Initializing the orb-specific services
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Monitor/monitor  -DCPSTransportDebugLevel 6 -ORBDebugLevel 10 -ORBLogFile mon.log -DCPSConfigFile mon.ini -DCPSDebugLevel 10
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Monitor/publisher  -i 0 -ORBDebugLevel 10 -ORBLogFile pub.log -DCPSConfigFile pub.ini -DCPSDebugLevel 10
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Monitor/subscriber  -DCPSTransportDebugLevel 6 -ORBDebugLevel 10 -ORBLogFile sub.log -DCPSConfigFile sub.ini -DCPSDebugLevel 10
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
INFO: monitor being killed.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
mon_count=59
test PASSED.

auto_run_tests_finished: tests/DCPS/Monitor/run_test.pl Time:4s Result:0

==============================================================================

tests/DCPS/PersistentInfoRepo/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -ORBSvcConf mySvc.conf -orbendpoint iiop://:32346 
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/PersistentInfoRepo/publisher -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub1.log -DCPSBit 0   -DCPSConfigFile tcp.ini -stage 1 -messages 60
(27628)Pub Stage Creating App
(27628)Pub Creating topic
Options::parse
(27628)Pub Stage 1 Creating writer
(27628)Pub Stage 1 waiting for 1 readers
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/PersistentInfoRepo/subscriber -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub1.log -DCPSBit 0   -DCPSConfigFile tcp.ini -reliable 1 -stage 1
(27633)Sub Creating App
(27633)Sub Creating topic
Options::parse
(27633)Sub Creating Stage 1 reader
(27633)Sub Stage 1 waiting for 2 writer to come and go
(27628)Pub Stage 1 done waiting for reader
(27628)Pub Stage 1 sending id=1
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
(27628)Pub Stage 1 waiting for acks from sub
(27628)Pub Stage 1 done waiting for acks from sub
(27628)Pub Stage 1 waiting for 1 readers
(27628)Pub Stage 1 done waiting for reader
(27628)Pub Stage 1 sending id=2
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -ORBSvcConf mySvc.conf -orbendpoint iiop://:32346 
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/PersistentInfoRepo/publisher -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub2.log -DCPSBit 0   -DCPSConfigFile tcp.ini -stage 2 -messages 60
(27660)Pub Stage Creating App
(27660)Pub Creating topic
Options::parse
(27660)Pub Stage 2 Creating writer
(27660)Pub Stage 2 waiting for 2 readers
(27660)Pub Stage 2 done waiting for reader
(27660)Pub Stage 2 sending id=3
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/PersistentInfoRepo/subscriber -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub2.log -DCPSBit 0   -DCPSConfigFile tcp.ini -reliable 1 -stage 2
(27665)Sub Creating App
(27665)Sub Creating topic
Options::parse
(27665)Sub Creating Stage 2 reader
(27665)Sub Stage 2 waiting for 2 writer to come and go
(27628)Pub Stage 1 waiting for acks from sub
(27628)Pub Stage 1 done waiting for acks from sub
(27628)Pub Stage 1 DDSTopic going out of scope
(27628)Pub Stage 1 DDSApp going out of scope (shutdown)
(27628)Pub Stage 1 returning status=0
(27660)Pub Stage 2 waiting for acks from sub
(27660)Pub Stage 2 done waiting for acks from sub
(27660)Pub Stage 2 DDSTopic going out of scope
(27665)(27633)Sub Stage Sub Stage 21 done waiting
 done waiting
(27665)Sub Stage 2 DDSApp going out of scope
(27633)Sub Stage 1 DDSApp going out of scope
(27633)Sub Stage 1 returning status=0
(27665)Sub Stage 2 returning status=0
(27660)Pub Stage 2 DDSApp going out of scope (shutdown)
(27660)Pub Stage 2 returning status=0
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/PersistentInfoRepo/run_test.pl Time:121s Result:0

==============================================================================

tests/FACE/Messenger/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Subscriber/subscriber face_config.ini  -DCPSPendingTimeout 3 
Subscriber PID: 27693 started at 2023-04-28 23:01:34
Subscriber: about to Receive_Message()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Publisher/publisher face_config.ini -DCPSPendingTimeout 3 
Publisher PID: 27700 started at 2023-04-28 23:01:39
Publisher: about to Send_Message()
Hello, world.	0
test PASSED.

auto_run_tests_finished: tests/FACE/Messenger/run_test.pl Time:26s Result:0

==============================================================================

tests/FACE/Messenger/run_test.pl callback #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Subscriber/subscriber face_config.ini callback -DCPSPendingTimeout 3 
Subscriber PID: 27709 started at 2023-04-28 23:01:59
Subscriber: about to Register_Callback()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Publisher/publisher face_config.ini -DCPSPendingTimeout 3 
Publisher PID: 27715 started at 2023-04-28 23:02:04
Publisher: about to Send_Message()
In callback() (the 1 time): Hello, world.	0	message_type_id: 1	message_size: 32
In callback() (the 2 time): Hello, world.	0	message_type_id: 1	message_size: 32
test PASSED.

auto_run_tests_finished: tests/FACE/Messenger/run_test.pl callback Time:25s Result:0

==============================================================================

tests/FACE/Messenger/run_test.pl static #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Subscriber/subscriber face_config_static.ini  -DCPSPendingTimeout 3 
Subscriber PID: 27723 started at 2023-04-28 23:02:24
Subscriber: about to Receive_Message()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Publisher/publisher face_config_static.ini -DCPSPendingTimeout 3 
Publisher PID: 27735 started at 2023-04-28 23:02:29
Publisher: about to Send_Message()
Hello, world.	0
test PASSED.

auto_run_tests_finished: tests/FACE/Messenger/run_test.pl static Time:25s Result:0

==============================================================================

tests/FACE/Messenger/run_test.pl static callback #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Subscriber/subscriber face_config_static.ini callback -DCPSPendingTimeout 3 
Subscriber PID: 27741 started at 2023-04-28 23:02:49
Subscriber: about to Register_Callback()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Publisher/publisher face_config_static.ini -DCPSPendingTimeout 3 
Publisher PID: 27745 started at 2023-04-28 23:02:54
Publisher: about to Send_Message()
In callback() (the 1 time): Hello, world.	0	message_type_id: 1	message_size: 32
In callback() (the 2 time): Hello, world.	0	message_type_id: 1	message_size: 32
test PASSED.

auto_run_tests_finished: tests/FACE/Messenger/run_test.pl static callback Time:25s Result:0

==============================================================================

tests/FACE/MultiDomainMessenger/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/MultiDomainMessenger/Subscriber/subscriber  -DCPSPendingTimeout 3 
Subscriber PID: 27752 started at 2023-04-28 23:03:14
Subscriber1: about to Receive_Message()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/MultiDomainMessenger/Publisher/publisher  -DCPSPendingTimeout 3 
Publisher PID: 27762 started at 2023-04-28 23:03:19
Publisher1: about to Send_Message()
Publisher2: about to Send_Message()
Hello, world.	0
Subscriber2: about to Receive_Message()
Hello, world.	0
test PASSED.

auto_run_tests_finished: tests/FACE/MultiDomainMessenger/run_test.pl Time:25s Result:0

==============================================================================

tests/FACE/MultiDomainMessenger/run_test.pl callback #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/MultiDomainMessenger/Subscriber/subscriber callback -DCPSPendingTimeout 3 
Subscriber PID: 27782 started at 2023-04-28 23:03:39
Subscriber1: about to Register_Callback()
Subscriber2: about to Register_Callback()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/MultiDomainMessenger/Publisher/publisher  -DCPSPendingTimeout 3 
Publisher PID: 27792 started at 2023-04-28 23:03:44
Publisher1: about to Send_Message()
Publisher2: about to Send_Message()
In callback() (the 1 time): Hello, world.	0	message_type_id: 1	message_size: 32
In callback() (the 2 time): Hello, world.	0	message_type_id: 1	message_size: 32
In callback() (the 3 time): Hello, world.	0	message_type_id: 1	message_size: 32
In callback() (the 4 time): Hello, world.	0	message_type_id: 1	message_size: 32
test PASSED.

auto_run_tests_finished: tests/FACE/MultiDomainMessenger/run_test.pl callback Time:25s Result:0

==============================================================================

tests/FACE/SingleProcessMessenger/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/SingleProcessMessenger/SingleProcess/singleprocess face_config.ini  -DCPSPendingTimeout 3 
SingleProcess PID: 27803 started at 2023-04-28 23:04:05
Publisher: about to Send_Message()
Subscriber: about to Receive_Message()
Hello, world.	0
test PASSED.

auto_run_tests_finished: tests/FACE/SingleProcessMessenger/run_test.pl Time:6s Result:0

==============================================================================

tests/FACE/SingleProcessMessenger/run_test.pl callback #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/SingleProcessMessenger/SingleProcess/singleprocess face_config.ini callback -DCPSPendingTimeout 3 
SingleProcess PID: 27810 started at 2023-04-28 23:04:10
Subscriber: about to Register_Callback()
Publisher: about to Send_Message()
In callback() (the 1 time): Hello, world.	0	message_type_id: 1	message_size: 32
test PASSED.

auto_run_tests_finished: tests/FACE/SingleProcessMessenger/run_test.pl callback Time:10s Result:0

==============================================================================

tests/FACE/CallbackAndReceive/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/CallbackAndReceive/Subscriber/subscriber  -DCPSPendingTimeout 3 
Subscriber PID: 27820 started at 2023-04-28 23:04:20
Subscriber: about to Register_Callback()
Subscriber: about to Receive_Message()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/CallbackAndReceive/Publisher/publisher  -DCPSPendingTimeout 3 
Publisher PID: 27827 started at 2023-04-28 23:04:25
Publisher: about to send_message()
  sending 0
  sending 1
  sending 2
  sending 3
  sending 4
  sending 5
  sending 6
  sending 7
  sending 8
  sending 9
  sending 10
In callback() (the 1 time): Hello, world.	0	message_type_id: 1	message_size: 32
  sending 11
  sending 12
In callback() (the 2 time): Hello, world.	1	message_type_id: 1	message_size: 32
Subscriber: about to Unregister_Callback()
  sending 13
  sending 14
  sending 15
  sending 16
  sending 17
  sending 18
  sending 19
Receive_Message: (the 0 time): Hello, world.	10	ttid: 3
Receive_Message: (the 1 time): Hello, world.	11	ttid: 4
Receive_Message: (the 2 time): Hello, world.	13	ttid: 5
Receive_Message: (the 3 time): Hello, world.	15	ttid: 6
Receive_Message: (the 4 time): Hello, world.	16	ttid: 7
Receive_Message: (the 5 time): Hello, world.	17	ttid: 8
Receive_Message: (the 6 time): Hello, world.	18	ttid: 9
Receive_Message: (the 7 time): Hello, world.	19	ttid: 10
(27820|27820) Callback was triggered 2 times
(27820|27820) Receive Message was triggered 8 times
test PASSED.

auto_run_tests_finished: tests/FACE/CallbackAndReceive/run_test.pl Time:35s Result:0

==============================================================================

tests/FACE/Header/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Header/Subscriber/subscriber  -DCPSPendingTimeout 3 
Subscriber PID: 27843 started at 2023-04-28 23:04:55
Subscriber: about to Register_Callback()
Subscriber: about to Receive_Message()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Header/Publisher/publisher  -DCPSPendingTimeout 3 
Publisher PID: 27849 started at 2023-04-28 23:05:00
Publisher: about to send_message() 10x for callbacks
  sending 0
  sending 1
  sending 2
  sending 3
  sending 4
  sending 5
  sending 6
  sending 7
  sending 8
  sending 9
Sleep - wait for callback to unregister
In callback() (the 1 time): Hello, world.	0	msg_instance_guid: 69922b6700000002	message_type_id: 1	message_size: 24	transaction_id: 1
In callback() Message Header - tid: 1
	platform view guid: 1
	source timestamp: 1682741110812233999
	instance guid: 69922b6700000002
	source guid: 9645061
	validity 1
In callback() (the 2 time): Hello, world.	1	msg_instance_guid: 69922b6700000003	message_type_id: 1	message_size: 24	transaction_id: 2
In callback() Message Header - tid: 2
	platform view guid: 1
	source timestamp: 1682741110813323999
	instance guid: 69922b6700000003
	source guid: 9645061
	validity 1
In callback() (the 3 time): Hello, world.	2	msg_instance_guid: 69922b6700000004	message_type_id: 1	message_size: 24	transaction_id: 3
In callback() Message Header - tid: 3
	platform view guid: 1
	source timestamp: 1682741110813539999
	instance guid: 69922b6700000004
	source guid: 9645061
	validity 1
In callback() (the 4 time): Hello, world.	3	msg_instance_guid: 69922b6700000005	message_type_id: 1	message_size: 24	transaction_id: 4
In callback() Message Header - tid: 4
	platform view guid: 1
	source timestamp: 1682741110813752999
	instance guid: 69922b6700000005
	source guid: 9645061
	validity 1
In callback() (the 5 time): Hello, world.	4	msg_instance_guid: 69922b6700000006	message_type_id: 1	message_size: 24	transaction_id: 5
In callback() Message Header - tid: 5
	platform view guid: 1
	source timestamp: 1682741110813952999
	instance guid: 69922b6700000006
	source guid: 9645061
	validity 1
In callback() (the 6 time): Hello, world.	5	msg_instance_guid: 69922b6700000007	message_type_id: 1	message_size: 24	transaction_id: 6
In callback() Message Header - tid: 6
	platform view guid: 1
	source timestamp: 1682741110814145999
	instance guid: 69922b6700000007
	source guid: 9645061
	validity 1
In callback() (the 7 time): Hello, world.	6	msg_instance_guid: 69922b6700000008	message_type_id: 1	message_size: 24	transaction_id: 7
In callback() Message Header - tid: 7
	platform view guid: 1
	source timestamp: 1682741110814339999
	instance guid: 69922b6700000008
	source guid: 9645061
	validity 1
In callback() (the 8 time): Hello, world.	7	msg_instance_guid: 69922b6700000009	message_type_id: 1	message_size: 24	transaction_id: 8
In callback() Message Header - tid: 8
	platform view guid: 1
	source timestamp: 1682741110814539999
	instance guid: 69922b6700000009
	source guid: 9645061
	validity 1
In callback() (the 9 time): Hello, world.	8	msg_instance_guid: 69922b670000000a	message_type_id: 1	message_size: 24	transaction_id: 9
In callback() Message Header - tid: 9
	platform view guid: 1
	source timestamp: 1682741110814752999
	instance guid: 69922b670000000a
	source guid: 9645061
	validity 1
In callback() (the 10 time): Hello, world.	9	msg_instance_guid: 69922b670000000b	message_type_id: 1	message_size: 24	transaction_id: 10
In callback() Message Header - tid: 10
	platform view guid: 1
	source timestamp: 1682741110814954999
	instance guid: 69922b670000000b
	source guid: 9645061
	validity 1
Subscriber: about to Unregister_Callback()
Sleep - done waiting for callback to unregister
Publisher: about to send_message() 10x for receives
  sending 10
  sending 11
  sending 12
  sending 13
  sending 14
  sending 15
  sending 16
  sending 17
  sending 18
  sending 19
Receive_Message: (the 0 time): Hello, world.	15	msg_instance_guid: 69922b6700000011	ttid: 11
Message Header - tid: 11
	platform view guid: 1
	source timestamp: 1682741130816958999
	instance guid: 69922b6700000011
	source guid: 9645061
	validity 1
Receive_Message: (the 1 time): Hello, world.	17	msg_instance_guid: 69922b6700000013	ttid: 12
Message Header - tid: 12
	platform view guid: 1
	source timestamp: 1682741130817362999
	instance guid: 69922b6700000013
	source guid: 9645061
	validity 1
Receive_Message: (the 2 time): Hello, world.	18	msg_instance_guid: 69922b6700000014	ttid: 13
Message Header - tid: 13
	platform view guid: 1
	source timestamp: 1682741130817561999
	instance guid: 69922b6700000014
	source guid: 9645061
	validity 1
Receive_Message: (the 3 time): Hello, world.	19	msg_instance_guid: 69922b6700000015	ttid: 14
Message Header - tid: 14
	platform view guid: 1
	source timestamp: 1682741130817777999
	instance guid: 69922b6700000015
	source guid: 9645061
	validity 1
(27843|27843) Callback was triggered 10 times
(27843|27843) Receive Message was triggered 4 times
test PASSED.

auto_run_tests_finished: tests/FACE/Header/run_test.pl Time:50s Result:0

==============================================================================

tests/FACE/Reliability/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Reliability/Subscriber/subscriber  -DCPSPendingTimeout 3 
Subscriber PID: 27867 started at 2023-04-28 23:05:45
Subscriber: about to receive_message()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Reliability/Publisher/publisher  -DCPSPendingTimeout 3 
Publisher PID: 27874 started at 2023-04-28 23:05:50
Publisher: about to test timeout values in send_message()
Test 1: sending with TIMEOUT=1 MAX_BLOCKING=INF, should return INVALID_PARAM
Test 1: PASSED
Test 2: sending with TIMEOUT=0 MAX_BLOCKING=Default (100000000 nsec), should return INVALID_PARAM
Test 2: PASSED
Test 3: sending msg 0 with TIMEOUT=100000000 nsec MAX_BLOCKING=Default (100000000 nsec), should succeed
Hello, world.	0
Test 3: PASSED
Test 4: sending msg 1 with TIMEOUT=200000000 nsec MAX_BLOCKING=Default (100000000 nsec), should succeed
Hello, world.	1
Test 4: PASSED
Publisher: about to send_message()
  sending 2
Hello, world.	2
  sending 3
Hello, world.	3
  sending 4
Hello, world.	4
  sending 5
Hello, world.	5
  sending 6
Hello, world.	6
  sending 7
Hello, world.	7
  sending 8
Hello, world.	8
  sending 9
Hello, world.	9
  sending 10
Hello, world.	10
  sending 11
Hello, world.	11
  sending 12
Hello, world.	12
  sending 13
Hello, world.	13
  sending 14
Hello, world.	14
  sending 15
Hello, world.	15
  sending 16
Hello, world.	16
  sending 17
Hello, world.	17
  sending 18
Hello, world.	18
  sending 19
Hello, world.	19
test PASSED.

auto_run_tests_finished: tests/FACE/Reliability/run_test.pl Time:53s Result:0

==============================================================================

tests/FACE/Partition/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Subscriber/subscriber 1 -DCPSPendingTimeout 3 
Subscriber1 PID: 27889 started at 2023-04-28 23:06:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Subscriber/subscriber 2 -DCPSPendingTimeout 3 
Subscriber2 PID: 27890 started at 2023-04-28 23:06:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Subscriber/subscriber 3 -DCPSPendingTimeout 3 
Subscriber3 PID: 27891 started at 2023-04-28 23:06:38
(27889|27889) Subscriber: about to receive_message()
(27890|27890) Subscriber: about to receive_message()
(27891|27891) Subscriber: about to receive_message()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Publisher/publisher 1 -DCPSPendingTimeout 3 
Publisher1 PID: 27907 started at 2023-04-28 23:06:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Publisher/publisher 2 -DCPSPendingTimeout 3 
Publisher2 PID: 27908 started at 2023-04-28 23:06:41
(27907|27907) Publisher: about to send_message()
(27907|27907) sending part: 1
(27891|27891) Subscriber3: Hello, world. part: 1
(27891|27891) Subscriber: about to receive_message()
(27889|27889) Subscriber1: Hello, world. part: 1
(27908|27908) Publisher: about to send_message()
(27908|27908) sending part: 2
(27890|27890) Subscriber2: Hello, world. part: 2
(27890|27890) Subscriber: about to receive_message()
(27891|27891) Subscriber3: Hello, world. part: 2
(27891|27891) Subscriber: about to receive_message()
(27908|27908) sending part: 2
(27890|27890) Subscriber2: Hello, world. part: 2
(27891|27891) Subscriber3: Hello, world. part: 2
test PASSED.

auto_run_tests_finished: tests/FACE/Partition/run_test.pl Time:19s Result:0

==============================================================================

tests/FACE/Compiler/idl_test1_main/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test1_main/idl_test1  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile idl_test1.log -DCPSPendingTimeout 3 
idl_test1 PID: 27922 started at 2023-04-28 23:06:57
serialized_size_bound => <unbounded>
serialized_size => 90
BEFORE WRITING, LENGTH: 0, BUFFER:

AFTER WRITING, LENGTH: 90, BUFFER:
4d 00 00 00 13 00 00 00  00 ff 80 3d 02 00 00 00   M..........=....
07 00 0b 00 01 00 00 00  00 00 00 00 00 00 00 00   ................
05 00 00 00 66 6f 75 72  00 f0 25 27 77 00 00 00   ....four..%'w...
00 c0 f0 3f b3 00 00 00  00 b0 65 64 b4 00 00 00   ...?......ed....
00 a4 70 7d 3f ae 47 e1  3d 00 00 00 00 09 00 00   ..p}?.G.=.......
00 61 20 73 74 72 69 6e  67 00                     .a string.      

AFTER READING, LENGTH: 0, BUFFER:
4d 00 00 00 13 00 00 00  00 ff 80 3d 02 00 00 00   M..........=....
07 00 0b 00 01 00 00 00  00 00 00 00 00 00 00 00   ................
05 00 00 00 66 6f 75 72  00 f0 25 27 77 00 00 00   ....four..%'w...
00 c0 f0 3f b3 00 00 00  00 b0 65 64 b4 00 00 00   ...?......ed....
00 a4 70 7d 3f ae 47 e1  3d 00 00 00 00 09 00 00   ..p}?.G.=.......
00 61 20 73 74 72 69 6e  67 00                     .a string.      

key (expected:
4d 00 00 00                                        M...            
, observed:
4d 00 00 00                                        M...            
)
x (expected:
a4 70 7d 3f                                        .p}?            
, observed:
a4 70 7d 3f                                        .p}?            
)
y (expected:
ae 47 e1 3d                                        .G.=            
, observed:
ae 47 e1 3d                                        .G.=            
)
xcolor (expected:
00 00                                              ..              
, observed:
00 00                                              ..              
)
octer (expected:
13                                                 .               
, observed:
13                                                 .               
)
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test1_main/idl_test1 PASSED
test PASSED.

auto_run_tests_finished: tests/FACE/Compiler/idl_test1_main/run_test.pl Time:0s Result:0

==============================================================================

tests/FACE/Compiler/idl_test3_main/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test3_main/idl_test3  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile idl_test3.log -DCPSPendingTimeout 3 
idl_test3 PID: 27924 started at 2023-04-28 23:06:57
Xyz::StructOfArrayOfBoolean: serialized_size_bound(unaligned_encoding) => 5
Xyz::StructOfArrayOfBoolean: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfBoolean: serialized_size(unaligned_encoding, foo) => 5
Xyz::StructOfArrayOfBoolean: serialized_size(aligned_encoding, foo) => 5
Xyz::StructOfArrayOfBoolean: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfBoolean: AFTER WRITING, LENGTH: 5, BUFFER:
01 00 01 00 01                                     .....           

Xyz::StructOfArrayOfBoolean: try_marshaling PASSED
Xyz::StructOfArrayOfString: serialized_size_bound(unaligned_encoding) => 60
Xyz::StructOfArrayOfString: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfString: serialized_size(unaligned_encoding, foo) => 39
Xyz::StructOfArrayOfString: serialized_size(aligned_encoding, foo) => 49
Xyz::StructOfArrayOfString: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfString: AFTER WRITING, LENGTH: 39, BUFFER:
02 00 00 00 49 00 05 00  00 00 68 6f 70 65 00 05   ....I.....hope..
00 00 00 74 68 69 73 00  06 00 00 00 77 6f 72 6b   ...this.....work
73 00 01 00 00 00 00                               s......         

Xyz::StructOfArrayOfString: try_marshaling PASSED
Xyz::StructOfArrayOfChar: serialized_size_bound(unaligned_encoding) => 5
Xyz::StructOfArrayOfChar: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfChar: serialized_size(unaligned_encoding, foo) => 5
Xyz::StructOfArrayOfChar: serialized_size(aligned_encoding, foo) => 5
Xyz::StructOfArrayOfChar: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfChar: AFTER WRITING, LENGTH: 5, BUFFER:
41 42 43 44 45                                     ABCDE           

Xyz::StructOfArrayOfChar: try_marshaling PASSED
Xyz::StructOfArrayOfOctet: serialized_size_bound(unaligned_encoding) => 5
Xyz::StructOfArrayOfOctet: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfOctet: serialized_size(unaligned_encoding, foo) => 5
Xyz::StructOfArrayOfOctet: serialized_size(aligned_encoding, foo) => 5
Xyz::StructOfArrayOfOctet: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfOctet: AFTER WRITING, LENGTH: 5, BUFFER:
00 01 02 03 04                                     .....           

Xyz::StructOfArrayOfOctet: try_marshaling PASSED
Xyz::StructOfArrayOfLong: serialized_size_bound(unaligned_encoding) => 20
Xyz::StructOfArrayOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfLong: serialized_size(unaligned_encoding, foo) => 20
Xyz::StructOfArrayOfLong: serialized_size(aligned_encoding, foo) => 20
Xyz::StructOfArrayOfLong: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfLong: AFTER WRITING, LENGTH: 20, BUFFER:
00 00 00 00 01 00 00 00  02 00 00 00 03 00 00 00   ................
04 00 00 00                                        ....            

Xyz::StructOfArrayOfLong: try_marshaling PASSED
Xyz::StructOfArrayOfAnEnum: serialized_size_bound(unaligned_encoding) => 20
Xyz::StructOfArrayOfAnEnum: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfAnEnum: serialized_size(unaligned_encoding, foo) => 20
Xyz::StructOfArrayOfAnEnum: serialized_size(aligned_encoding, foo) => 20
Xyz::StructOfArrayOfAnEnum: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfAnEnum: AFTER WRITING, LENGTH: 20, BUFFER:
01 00 00 00 02 00 00 00  01 00 00 00 02 00 00 00   ................
01 00 00 00                                        ....            

Xyz::StructOfArrayOfAnEnum: try_marshaling PASSED
Xyz::StructOfArrayOfArrayOfLong: serialized_size_bound(unaligned_encoding) => 140
Xyz::StructOfArrayOfArrayOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfArrayOfLong: serialized_size(unaligned_encoding, foo) => 140
Xyz::StructOfArrayOfArrayOfLong: serialized_size(aligned_encoding, foo) => 140
Xyz::StructOfArrayOfArrayOfLong: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfArrayOfLong: AFTER WRITING, LENGTH: 140, BUFFER:
00 00 00 00 01 00 00 00  02 00 00 00 03 00 00 00   ................
04 00 00 00 05 00 00 00  06 00 00 00 07 00 00 00   ................
08 00 00 00 09 00 00 00  0a 00 00 00 0b 00 00 00   ................
0c 00 00 00 0d 00 00 00  0e 00 00 00 0f 00 00 00   ................
10 00 00 00 11 00 00 00  12 00 00 00 13 00 00 00   ................
14 00 00 00 15 00 00 00  16 00 00 00 17 00 00 00   ................
18 00 00 00 19 00 00 00  1a 00 00 00 1b 00 00 00   ................
1c 00 00 00 1d 00 00 00  1e 00 00 00 1f 00 00 00   ................
20 00 00 00 21 00 00 00  22 00 00 00                ...!..."...    

Xyz::StructOfArrayOfArrayOfLong: try_marshaling PASSED
Xyz::StructOfSeqOfBoolean: serialized_size_bound(unaligned_encoding) => 10
Xyz::StructOfSeqOfBoolean: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfBoolean: serialized_size(unaligned_encoding, foo) => 9
Xyz::StructOfSeqOfBoolean: serialized_size(aligned_encoding, foo) => 9
Xyz::StructOfSeqOfBoolean: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfBoolean: AFTER WRITING, LENGTH: 9, BUFFER:
05 00 00 00 01 00 01 00  01                        .........       

Xyz::StructOfSeqOfBoolean: try_marshaling PASSED
Xyz::StructOfSeqOfString: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size(unaligned_encoding, foo) => 43
Xyz::StructOfSeqOfString: serialized_size(aligned_encoding, foo) => 53
Xyz::StructOfSeqOfString: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfString: AFTER WRITING, LENGTH: 43, BUFFER:
05 00 00 00 02 00 00 00  49 00 05 00 00 00 68 6f   ........I.....ho
70 65 00 05 00 00 00 74  68 69 73 00 06 00 00 00   pe.....this.....
77 6f 72 6b 73 00 01 00  00 00 00                  works......     

Xyz::StructOfSeqOfString: try_marshaling PASSED
Xyz::StructOfSeqOfChar: serialized_size_bound(unaligned_encoding) => 10
Xyz::StructOfSeqOfChar: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfChar: serialized_size(unaligned_encoding, foo) => 9
Xyz::StructOfSeqOfChar: serialized_size(aligned_encoding, foo) => 9
Xyz::StructOfSeqOfChar: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfChar: AFTER WRITING, LENGTH: 9, BUFFER:
05 00 00 00 41 42 43 44  45                        ....ABCDE       

Xyz::StructOfSeqOfChar: try_marshaling PASSED
Xyz::StructOfSeqOfOctet: serialized_size_bound(unaligned_encoding) => 10
Xyz::StructOfSeqOfOctet: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfOctet: serialized_size(unaligned_encoding, foo) => 9
Xyz::StructOfSeqOfOctet: serialized_size(aligned_encoding, foo) => 9
Xyz::StructOfSeqOfOctet: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfOctet: AFTER WRITING, LENGTH: 9, BUFFER:
05 00 00 00 00 01 02 03  04                        .........       

Xyz::StructOfSeqOfOctet: try_marshaling PASSED
Xyz::StructOfSeqOfLong: serialized_size_bound(unaligned_encoding) => 28
Xyz::StructOfSeqOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfLong: serialized_size(unaligned_encoding, foo) => 24
Xyz::StructOfSeqOfLong: serialized_size(aligned_encoding, foo) => 24
Xyz::StructOfSeqOfLong: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfLong: AFTER WRITING, LENGTH: 24, BUFFER:
05 00 00 00 00 00 00 00  01 00 00 00 02 00 00 00   ................
03 00 00 00 04 00 00 00                            ........        

Xyz::StructOfSeqOfLong: try_marshaling PASSED
Xyz::StructOfSeqOfAnEnum: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::StructOfSeqOfAnEnum: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfAnEnum: serialized_size(unaligned_encoding, foo) => 24
Xyz::StructOfSeqOfAnEnum: serialized_size(aligned_encoding, foo) => 24
Xyz::StructOfSeqOfAnEnum: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfAnEnum: AFTER WRITING, LENGTH: 24, BUFFER:
05 00 00 00 01 00 00 00  02 00 00 00 01 00 00 00   ................
02 00 00 00 01 00 00 00                            ........        

Xyz::StructOfSeqOfAnEnum: try_marshaling PASSED
Xyz::StructOfArrayOfSeqOfLong: serialized_size_bound(unaligned_encoding) => 168
Xyz::StructOfArrayOfSeqOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfSeqOfLong: serialized_size(unaligned_encoding, foo) => 144
Xyz::StructOfArrayOfSeqOfLong: serialized_size(aligned_encoding, foo) => 144
Xyz::StructOfArrayOfSeqOfLong: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfSeqOfLong: AFTER WRITING, LENGTH: 144, BUFFER:
05 00 00 00 00 00 00 00  01 00 00 00 02 00 00 00   ................
03 00 00 00 04 00 00 00  05 00 00 00 05 00 00 00   ................
06 00 00 00 07 00 00 00  08 00 00 00 09 00 00 00   ................
05 00 00 00 0a 00 00 00  0b 00 00 00 0c 00 00 00   ................
0d 00 00 00 0e 00 00 00  05 00 00 00 0f 00 00 00   ................
10 00 00 00 11 00 00 00  12 00 00 00 13 00 00 00   ................
05 00 00 00 14 00 00 00  15 00 00 00 16 00 00 00   ................
17 00 00 00 18 00 00 00  05 00 00 00 19 00 00 00   ................
1a 00 00 00 1b 00 00 00  1c 00 00 00 1d 00 00 00   ................

Xyz::StructOfArrayOfSeqOfLong: try_marshaling PASSED
Xyz::StructOfSeqOfSeqOfLong: serialized_size_bound(unaligned_encoding) => 116
Xyz::StructOfSeqOfSeqOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfSeqOfLong: serialized_size(unaligned_encoding, foo) => 100
Xyz::StructOfSeqOfSeqOfLong: serialized_size(aligned_encoding, foo) => 100
Xyz::StructOfSeqOfSeqOfLong: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfSeqOfLong: AFTER WRITING, LENGTH: 100, BUFFER:
04 00 00 00 05 00 00 00  00 00 00 00 01 00 00 00   ................
02 00 00 00 03 00 00 00  04 00 00 00 05 00 00 00   ................
05 00 00 00 06 00 00 00  07 00 00 00 08 00 00 00   ................
09 00 00 00 05 00 00 00  0a 00 00 00 0b 00 00 00   ................
0c 00 00 00 0d 00 00 00  0e 00 00 00 05 00 00 00   ................
0f 00 00 00 10 00 00 00  11 00 00 00 12 00 00 00   ................
13 00 00 00                                        ....            

Xyz::StructOfSeqOfSeqOfLong: try_marshaling PASSED
Xyz::StructOfSeqOfString: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size(unaligned_encoding, foo) => 23
Xyz::StructOfSeqOfString: serialized_size(aligned_encoding, foo) => 26
Xyz::StructOfSeqOfString: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfString: AFTER WRITING, LENGTH: 23, BUFFER:
02 00 00 00 05 00 00 00  66 6f 75 72 00 06 00 00   ........four....
00 66 69 76 65 35 00                               .five5.         

Xyz::StructOfSeqOfString: try_marshaling PASSED
Xyz::Foo: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::Foo: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::Foo: serialized_size(unaligned_encoding, foo) => 3625
Xyz::Foo: serialized_size(aligned_encoding, foo) => 4136
Xyz::Foo: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::Foo: AFTER WRITING, LENGTH: 3625, BUFFER:
4d 00 00 00 13 14 00 00  00 00 ff 80 3d 05 00 00   M...........=...
00 66 6f 75 72 00 00 00  00 00 00 00 00 00 00 00   .four...........
00 00 00 01 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  01 00 00 00 00 01 00 00   ................
00 00 01 00 00 00 00 01  00 00 00 00 01 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 01 00  00 00 00 01 00 00 00 00   ................
01 00 00 00 00 01 00 00  00 00 01 00 00 00 00 01   ................
00 00 00 00 01 00 00 00  00 01 00 00 00 00 01 00   ................
00 00 00 01 00 00 00 00  01 00 00 00 00 01 00 00   ................
00 00 01 00 00 00 00 01  00 00 00 00 01 00 00 00   ................
00 01 00 00 00 00 01 00  00 00 00 01 00 00 00 00   ................
01 00 00 00 00 01 00 00  00 00 01 00 00 00 00 01   ................
00 00 00 00 01 00 00 00  00 01 00 00 00 00 01 00   ................
00 00 00 01 00 00 00 00  01 00 00 00 00 01 00 00   ................
00 00 01 00 00 00 00 01  00 00 00 00 01 00 00 00   ................
00 01 00 00 00 00 01 00  00 00 00 01 00 00 00 00   ................
01 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 5c f0 20   .............\. 
6b 3b 00 00 00 00 00 00  00 00 00 7b 38 e0 6b 3b   k;.........{8.k;
00 00 00 a0 49 3e ba 6d  7f 00 00 aa 82 20 6b 3b   ....I>.m..... k;
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 b9 40 20 6c 3b  00 00 00 01 00 00 00 00   ....@ l;........
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 40 de b7 bf fb ff 00  00 00 00 00 01 00 00 00   .@..............
00 fc 5c a1 3b 00 00 00  00 00 00 00 6d 7f 00 00   ..\.;.......m...
00 01 00 00 00 00 01 00  00 00 00 01Xyz::Foo: try_marshaling PASSED
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test3_main/idl_test3 PASSED
test PASSED.

auto_run_tests_finished: tests/FACE/Compiler/idl_test3_main/run_test.pl Time:0s Result:0

==============================================================================

tests/FACE/Compiler/idl_test_fixed/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test_fixed/TestFixed  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile TestFixed.log -DCPSPendingTimeout 3 
TestFixed PID: 27926 started at 2023-04-28 23:06:57
test PASSED.

auto_run_tests_finished: tests/FACE/Compiler/idl_test_fixed/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/XTypes/run_test.pl #

----- IgnoreMemberNames_MutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableStructMatch.log --type MutableStruct --ignore-member-names -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableStructMatch PID: 27928 started at 2023-04-28 23:06:58
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableStructMatch.log --type ModifiedNameMutableStruct -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableStructMatch PID: 27929 started at 2023-04-28 23:06:58
test PASSED.
----- MutableUnionNoMatchDisc --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchDisc.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchDisc PID: 27940 started at 2023-04-28 23:06:59
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchDisc.log --type ModifiedDiscMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchDisc PID: 27941 started at 2023-04-28 23:06:59
test PASSED.
----- AppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableMatch.log --type AppendableStruct -DCPSPendingTimeout 3 
reader_AppendableMatch PID: 27952 started at 2023-04-28 23:06:59
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableMatch.log --type AdditionalPostfixFieldStruct -DCPSPendingTimeout 3 
writer_AppendableMatch PID: 27953 started at 2023-04-28 23:06:59
test PASSED.
----- FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructNoMatch.log --type FinalStructSub -DCPSPendingTimeout 3 
reader_FinalStructNoMatch PID: 27964 started at 2023-04-28 23:07:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructNoMatch.log --type ModifiedFinalStruct -DCPSPendingTimeout 3 
writer_FinalStructNoMatch PID: 27965 started at 2023-04-28 23:07:00
test PASSED.
----- IgnoreMemberNames_MutableUnionMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableUnionMatch.log --type MutableUnion --ignore-member-names -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableUnionMatch PID: 27976 started at 2023-04-28 23:07:01
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableUnionMatch.log --type ModifiedNameMutableUnion -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableUnionMatch PID: 27977 started at 2023-04-28 23:07:01
test PASSED.
----- NoXTypesMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName -DCPSPendingTimeout 3 
reader_NoXTypesMatchTypeNames PID: 27988 started at 2023-04-28 23:07:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName -DCPSPendingTimeout 3 
writer_NoXTypesMatchTypeNames PID: 27989 started at 2023-04-28 23:07:02
test PASSED.
----- Dependency --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Dependency.log --type AppendableStruct -DCPSPendingTimeout 3 
reader_Dependency PID: 28000 started at 2023-04-28 23:07:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Dependency.log --type AppendableStructWithDependency -DCPSPendingTimeout 3 
writer_Dependency PID: 28001 started at 2023-04-28 23:07:03
test PASSED.
----- DisallowTypeCoercion_FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructNoMatch.log --type FinalStructSub --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructNoMatch PID: 28012 started at 2023-04-28 23:07:04
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructNoMatch.log --type ModifiedFinalStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructNoMatch PID: 28013 started at 2023-04-28 23:07:04
test PASSED.
----- MutableUnion --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnion.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnion PID: 28024 started at 2023-04-28 23:07:04
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnion.log --type ModifiedMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnion PID: 28025 started at 2023-04-28 23:07:04
test PASSED.
----- MutableStruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStruct.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStruct PID: 28037 started at 2023-04-28 23:07:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStruct.log --type ModifiedMutableStruct -DCPSPendingTimeout 3 
writer_MutableStruct PID: 28038 started at 2023-04-28 23:07:05
test PASSED.
----- FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructMatch.log --type FinalStructSub -DCPSPendingTimeout 3 
reader_FinalStructMatch PID: 28049 started at 2023-04-28 23:07:06
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructMatch.log --type FinalStructPub -DCPSPendingTimeout 3 
writer_FinalStructMatch PID: 28050 started at 2023-04-28 23:07:06
test PASSED.
----- MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchType.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStructNoMatchType PID: 28061 started at 2023-04-28 23:07:07
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct -DCPSPendingTimeout 3 
writer_MutableStructNoMatchType PID: 28062 started at 2023-04-28 23:07:07
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes --force-type-validation -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_ny PID: 28073 started at 2023-04-28 23:07:08
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_ny PID: 28074 started at 2023-04-28 23:07:08
test PASSED.
----- Match_no_xtypes_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_nn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
reader_Match_no_xtypes_nn PID: 28085 started at 2023-04-28 23:07:09
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_nn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_Match_no_xtypes_nn PID: 28086 started at 2023-04-28 23:07:09
test PASSED.
----- DisallowTypeCoercion_FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructSub --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructMatch PID: 28097 started at 2023-04-28 23:07:10
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructPub -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructMatch PID: 28098 started at 2023-04-28 23:07:10
test PASSED.
----- Match_no_xtypes_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_yn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
reader_Match_no_xtypes_yn PID: 28109 started at 2023-04-28 23:07:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Match_no_xtypes_yn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_Match_no_xtypes_yn PID: 28110 started at 2023-04-28 23:07:11
test PASSED.
----- Match_no_xtypes_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Match_no_xtypes_ny.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
reader_Match_no_xtypes_ny PID: 28121 started at 2023-04-28 23:07:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_ny.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_Match_no_xtypes_ny PID: 28122 started at 2023-04-28 23:07:12
test PASSED.
----- ExtendedMutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedMutableStructMatch.log --type MutableStruct -DCPSPendingTimeout 3 
reader_ExtendedMutableStructMatch PID: 28133 started at 2023-04-28 23:07:13
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedMutableStructMatch.log --type MutableBaseStruct -DCPSPendingTimeout 3 
writer_ExtendedMutableStructMatch PID: 28134 started at 2023-04-28 23:07:13
test PASSED.
----- Tryconstruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Tryconstruct.log --type Trim20Struct -DCPSPendingTimeout 3 
reader_Tryconstruct PID: 28145 started at 2023-04-28 23:07:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Tryconstruct.log --type Trim64Struct -DCPSPendingTimeout 3 
writer_Tryconstruct PID: 28146 started at 2023-04-28 23:07:14
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchType.log --type MutableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchType PID: 28157 started at 2023-04-28 23:07:15
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchType PID: 28158 started at 2023-04-28 23:07:15
test PASSED.
----- ExtendedAppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedAppendableMatch.log --type ExtendedAppendableStruct -DCPSPendingTimeout 3 
reader_ExtendedAppendableMatch PID: 28169 started at 2023-04-28 23:07:15
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedAppendableMatch.log --type BaseAppendableStruct -DCPSPendingTimeout 3 
writer_ExtendedAppendableMatch PID: 28170 started at 2023-04-28 23:07:15
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch2 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AppendableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 28181 started at 2023-04-28 23:07:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AdditionalPrefixFieldStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 28182 started at 2023-04-28 23:07:16
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatchType.log --type MutableUnion --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatchType PID: 28193 started at 2023-04-28 23:07:17
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatchType PID: 28194 started at 2023-04-28 23:07:17
test PASSED.
----- NoXTypesNoMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type ReaderTypeName -DCPSPendingTimeout 3 
reader_NoXTypesNoMatchTypeNames PID: 28205 started at 2023-04-28 23:07:18
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type WriterTypeName -DCPSPendingTimeout 3 
writer_NoXTypesNoMatchTypeNames PID: 28206 started at 2023-04-28 23:07:18
test PASSED.
----- MutableStructNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchName.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStructNoMatchName PID: 28217 started at 2023-04-28 23:07:20
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchName.log --type ModifiedNameMutableStruct -DCPSPendingTimeout 3 
writer_MutableStructNoMatchName PID: 28218 started at 2023-04-28 23:07:20
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatch.log --type MutableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatch PID: 28229 started at 2023-04-28 23:07:20
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatch.log --type ModifiedMutableStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatch PID: 28230 started at 2023-04-28 23:07:20
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatch.log --type MutableUnion --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatch PID: 28241 started at 2023-04-28 23:07:21
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatch.log --type ModifiedMutableUnion -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatch PID: 28242 started at 2023-04-28 23:07:21
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes --force-type-validation -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_nn PID: 28253 started at 2023-04-28 23:07:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_nn PID: 28254 started at 2023-04-28 23:07:22
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes --force-type-validation -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_yn PID: 28265 started at 2023-04-28 23:07:23
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_yn PID: 28266 started at 2023-04-28 23:07:23
test PASSED.
----- MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchId.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStructNoMatchId PID: 28277 started at 2023-04-28 23:07:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchId.log --type ModifiedIdMutableStruct -DCPSPendingTimeout 3 
writer_MutableStructNoMatchId PID: 28278 started at 2023-04-28 23:07:24
test PASSED.
----- AppendableNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableNoMatch.log --type AppendableStruct -DCPSPendingTimeout 3 
reader_AppendableNoMatch PID: 28289 started at 2023-04-28 23:07:25
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableNoMatch.log --type AdditionalPrefixFieldStruct -DCPSPendingTimeout 3 
writer_AppendableNoMatch PID: 28290 started at 2023-04-28 23:07:25
test PASSED.
----- MutableUnionNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchName.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchName PID: 28301 started at 2023-04-28 23:07:25
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchName.log --type ModifiedNameMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchName PID: 28302 started at 2023-04-28 23:07:25
test PASSED.
----- PlainCdr --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_PlainCdr.log --type PlainCdrStruct -DCPSPendingTimeout 3 
reader_PlainCdr PID: 28313 started at 2023-04-28 23:07:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_PlainCdr.log --type PlainCdrStruct -DCPSPendingTimeout 3 
writer_PlainCdr PID: 28314 started at 2023-04-28 23:07:26
test PASSED.
----- MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchType.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchType PID: 28325 started at 2023-04-28 23:07:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchType PID: 28326 started at 2023-04-28 23:07:27
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch1 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AppendableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 28337 started at 2023-04-28 23:07:28
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AdditionalPostfixFieldStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 28338 started at 2023-04-28 23:07:28
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchId.log --type MutableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchId PID: 28349 started at 2023-04-28 23:07:29
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchId.log --type ModifiedIdMutableStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchId PID: 28350 started at 2023-04-28 23:07:29
test PASSED.

auto_run_tests_finished: tests/DCPS/XTypes/run_test.pl Time:33s Result:0

==============================================================================

tests/DCPS/XTypes/run_test.pl --dynamic-writers #

----- IgnoreMemberNames_MutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableStructMatch.log --type MutableStruct --ignore-member-names -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableStructMatch PID: 28370 started at 2023-04-28 23:07:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableStructMatch.log --type ModifiedNameMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableStructMatch PID: 28371 started at 2023-04-28 23:07:30
test PASSED.
----- MutableUnionNoMatchDisc --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchDisc.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchDisc PID: 28382 started at 2023-04-28 23:07:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchDisc.log --type ModifiedDiscMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchDisc PID: 28383 started at 2023-04-28 23:07:31
test PASSED.
----- AppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableMatch.log --type AppendableStruct -DCPSPendingTimeout 3 
reader_AppendableMatch PID: 28394 started at 2023-04-28 23:07:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableMatch.log --type AdditionalPostfixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_AppendableMatch PID: 28395 started at 2023-04-28 23:07:31
test PASSED.
----- FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructNoMatch.log --type FinalStructSub -DCPSPendingTimeout 3 
reader_FinalStructNoMatch PID: 28406 started at 2023-04-28 23:07:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructNoMatch.log --type ModifiedFinalStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_FinalStructNoMatch PID: 28407 started at 2023-04-28 23:07:32
test PASSED.
----- IgnoreMemberNames_MutableUnionMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableUnionMatch.log --type MutableUnion --ignore-member-names -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableUnionMatch PID: 28418 started at 2023-04-28 23:07:33
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableUnionMatch.log --type ModifiedNameMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableUnionMatch PID: 28419 started at 2023-04-28 23:07:33
test PASSED.
----- NoXTypesMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName -DCPSPendingTimeout 3 
reader_NoXTypesMatchTypeNames PID: 28432 started at 2023-04-28 23:07:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName --dynamic-ts -DCPSPendingTimeout 3 
writer_NoXTypesMatchTypeNames PID: 28433 started at 2023-04-28 23:07:34
test PASSED.
----- Dependency --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Dependency.log --type AppendableStruct -DCPSPendingTimeout 3 
reader_Dependency PID: 28444 started at 2023-04-28 23:07:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Dependency.log --type AppendableStructWithDependency --dynamic-ts -DCPSPendingTimeout 3 
writer_Dependency PID: 28445 started at 2023-04-28 23:07:35
test PASSED.
----- DisallowTypeCoercion_FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructNoMatch.log --type FinalStructSub --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructNoMatch PID: 28456 started at 2023-04-28 23:07:36
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructNoMatch.log --type ModifiedFinalStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructNoMatch PID: 28457 started at 2023-04-28 23:07:36
test PASSED.
----- MutableUnion --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnion.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnion PID: 28469 started at 2023-04-28 23:07:37
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnion.log --type ModifiedMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnion PID: 28470 started at 2023-04-28 23:07:37
test PASSED.
----- MutableStruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStruct.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStruct PID: 28481 started at 2023-04-28 23:07:37
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStruct.log --type ModifiedMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStruct PID: 28482 started at 2023-04-28 23:07:37
test PASSED.
----- FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructMatch.log --type FinalStructSub -DCPSPendingTimeout 3 
reader_FinalStructMatch PID: 28493 started at 2023-04-28 23:07:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructMatch.log --type FinalStructPub --dynamic-ts -DCPSPendingTimeout 3 
writer_FinalStructMatch PID: 28494 started at 2023-04-28 23:07:38
test PASSED.
----- MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchType.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStructNoMatchType PID: 28505 started at 2023-04-28 23:07:39
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStructNoMatchType PID: 28506 started at 2023-04-28 23:07:39
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes --force-type-validation -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_ny PID: 28517 started at 2023-04-28 23:07:40
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_ny PID: 28518 started at 2023-04-28 23:07:40
test PASSED.
----- Match_no_xtypes_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_nn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
reader_Match_no_xtypes_nn PID: 28529 started at 2023-04-28 23:07:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_nn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_Match_no_xtypes_nn PID: 28530 started at 2023-04-28 23:07:41
test PASSED.
----- DisallowTypeCoercion_FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructSub --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructMatch PID: 28541 started at 2023-04-28 23:07:42
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructPub --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructMatch PID: 28542 started at 2023-04-28 23:07:42
test PASSED.
----- Match_no_xtypes_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_yn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
reader_Match_no_xtypes_yn PID: 28553 started at 2023-04-28 23:07:42
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Match_no_xtypes_yn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_Match_no_xtypes_yn PID: 28554 started at 2023-04-28 23:07:42
test PASSED.
----- Match_no_xtypes_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Match_no_xtypes_ny.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
reader_Match_no_xtypes_ny PID: 28565 started at 2023-04-28 23:07:43
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_ny.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_Match_no_xtypes_ny PID: 28566 started at 2023-04-28 23:07:43
test PASSED.
----- ExtendedMutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedMutableStructMatch.log --type MutableStruct -DCPSPendingTimeout 3 
reader_ExtendedMutableStructMatch PID: 28577 started at 2023-04-28 23:07:44
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedMutableStructMatch.log --type MutableBaseStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_ExtendedMutableStructMatch PID: 28578 started at 2023-04-28 23:07:44
test PASSED.
----- Tryconstruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Tryconstruct.log --type Trim20Struct -DCPSPendingTimeout 3 
reader_Tryconstruct PID: 28589 started at 2023-04-28 23:07:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Tryconstruct.log --type Trim64Struct --dynamic-ts -DCPSPendingTimeout 3 
writer_Tryconstruct PID: 28590 started at 2023-04-28 23:07:45
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchType.log --type MutableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchType PID: 28601 started at 2023-04-28 23:07:46
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchType PID: 28602 started at 2023-04-28 23:07:46
test PASSED.
----- ExtendedAppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedAppendableMatch.log --type ExtendedAppendableStruct -DCPSPendingTimeout 3 
reader_ExtendedAppendableMatch PID: 28613 started at 2023-04-28 23:07:47
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedAppendableMatch.log --type BaseAppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_ExtendedAppendableMatch PID: 28614 started at 2023-04-28 23:07:47
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch2 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AppendableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 28625 started at 2023-04-28 23:07:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AdditionalPrefixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 28626 started at 2023-04-28 23:07:48
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatchType.log --type MutableUnion --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatchType PID: 28637 started at 2023-04-28 23:07:49
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatchType PID: 28638 started at 2023-04-28 23:07:49
test PASSED.
----- NoXTypesNoMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type ReaderTypeName -DCPSPendingTimeout 3 
reader_NoXTypesNoMatchTypeNames PID: 28649 started at 2023-04-28 23:07:49
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type WriterTypeName --dynamic-ts -DCPSPendingTimeout 3 
writer_NoXTypesNoMatchTypeNames PID: 28650 started at 2023-04-28 23:07:49
test PASSED.
----- MutableStructNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchName.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStructNoMatchName PID: 28661 started at 2023-04-28 23:07:50
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchName.log --type ModifiedNameMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStructNoMatchName PID: 28662 started at 2023-04-28 23:07:50
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatch.log --type MutableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatch PID: 28673 started at 2023-04-28 23:07:51
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatch.log --type ModifiedMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatch PID: 28674 started at 2023-04-28 23:07:51
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatch.log --type MutableUnion --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatch PID: 28685 started at 2023-04-28 23:07:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatch.log --type ModifiedMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatch PID: 28686 started at 2023-04-28 23:07:52
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes --force-type-validation -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_nn PID: 28697 started at 2023-04-28 23:07:53
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_nn PID: 28698 started at 2023-04-28 23:07:53
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes --force-type-validation -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_yn PID: 28709 started at 2023-04-28 23:07:54
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_yn PID: 28710 started at 2023-04-28 23:07:54
test PASSED.
----- MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchId.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStructNoMatchId PID: 28721 started at 2023-04-28 23:07:54
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchId.log --type ModifiedIdMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStructNoMatchId PID: 28722 started at 2023-04-28 23:07:54
test PASSED.
----- AppendableNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableNoMatch.log --type AppendableStruct -DCPSPendingTimeout 3 
reader_AppendableNoMatch PID: 28733 started at 2023-04-28 23:07:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableNoMatch.log --type AdditionalPrefixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_AppendableNoMatch PID: 28734 started at 2023-04-28 23:07:55
test PASSED.
----- MutableUnionNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchName.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchName PID: 28745 started at 2023-04-28 23:07:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchName.log --type ModifiedNameMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchName PID: 28746 started at 2023-04-28 23:07:56
test PASSED.
----- PlainCdr --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_PlainCdr.log --type PlainCdrStruct -DCPSPendingTimeout 3 
reader_PlainCdr PID: 28757 started at 2023-04-28 23:07:57
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_PlainCdr.log --type PlainCdrStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_PlainCdr PID: 28758 started at 2023-04-28 23:07:57
test PASSED.
----- MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchType.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchType PID: 28769 started at 2023-04-28 23:07:58
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchType PID: 28770 started at 2023-04-28 23:07:58
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch1 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AppendableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 28781 started at 2023-04-28 23:07:59
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AdditionalPostfixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 28782 started at 2023-04-28 23:07:59
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchId.log --type MutableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchId PID: 28793 started at 2023-04-28 23:08:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchId.log --type ModifiedIdMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchId PID: 28794 started at 2023-04-28 23:08:00
test PASSED.

auto_run_tests_finished: tests/DCPS/XTypes/run_test.pl --dynamic-writers Time:31s Result:0

==============================================================================

tests/DCPS/XTypes/run_test.pl --dynamic-readers #

----- IgnoreMemberNames_MutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableStructMatch.log --type MutableStruct --ignore-member-names --dynamic-ts -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableStructMatch PID: 28806 started at 2023-04-28 23:08:01
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableStructMatch.log --type ModifiedNameMutableStruct -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableStructMatch PID: 28807 started at 2023-04-28 23:08:01
test PASSED.
----- MutableUnionNoMatchDisc --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchDisc.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchDisc PID: 28819 started at 2023-04-28 23:08:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchDisc.log --type ModifiedDiscMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchDisc PID: 28820 started at 2023-04-28 23:08:02
test PASSED.
----- AppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableMatch.log --type AppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_AppendableMatch PID: 28831 started at 2023-04-28 23:08:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableMatch.log --type AdditionalPostfixFieldStruct -DCPSPendingTimeout 3 
writer_AppendableMatch PID: 28832 started at 2023-04-28 23:08:03
test PASSED.
----- FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructNoMatch.log --type FinalStructSub --dynamic-ts -DCPSPendingTimeout 3 
reader_FinalStructNoMatch PID: 28843 started at 2023-04-28 23:08:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructNoMatch.log --type ModifiedFinalStruct -DCPSPendingTimeout 3 
writer_FinalStructNoMatch PID: 28844 started at 2023-04-28 23:08:03
test PASSED.
----- IgnoreMemberNames_MutableUnionMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableUnionMatch.log --type MutableUnion --ignore-member-names --dynamic-ts -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableUnionMatch PID: 28855 started at 2023-04-28 23:08:04
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableUnionMatch.log --type ModifiedNameMutableUnion -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableUnionMatch PID: 28856 started at 2023-04-28 23:08:04
test PASSED.
----- NoXTypesMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName --dynamic-ts -DCPSPendingTimeout 3 
reader_NoXTypesMatchTypeNames PID: 28867 started at 2023-04-28 23:08:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName -DCPSPendingTimeout 3 
writer_NoXTypesMatchTypeNames PID: 28868 started at 2023-04-28 23:08:05
test PASSED.
----- Dependency --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Dependency.log --type AppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_Dependency PID: 28879 started at 2023-04-28 23:08:06
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Dependency.log --type AppendableStructWithDependency -DCPSPendingTimeout 3 
writer_Dependency PID: 28880 started at 2023-04-28 23:08:06
test PASSED.
----- DisallowTypeCoercion_FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructNoMatch.log --type FinalStructSub --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructNoMatch PID: 28891 started at 2023-04-28 23:08:07
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructNoMatch.log --type ModifiedFinalStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructNoMatch PID: 28892 started at 2023-04-28 23:08:07
test PASSED.
----- MutableUnion --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnion.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnion PID: 28903 started at 2023-04-28 23:08:08
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnion.log --type ModifiedMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnion PID: 28904 started at 2023-04-28 23:08:08
test PASSED.
----- MutableStruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStruct.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStruct PID: 28916 started at 2023-04-28 23:08:10
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStruct.log --type ModifiedMutableStruct -DCPSPendingTimeout 3 
writer_MutableStruct PID: 28917 started at 2023-04-28 23:08:10
test PASSED.
----- FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructMatch.log --type FinalStructSub --dynamic-ts -DCPSPendingTimeout 3 
reader_FinalStructMatch PID: 28928 started at 2023-04-28 23:08:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructMatch.log --type FinalStructPub -DCPSPendingTimeout 3 
writer_FinalStructMatch PID: 28929 started at 2023-04-28 23:08:11
test PASSED.
----- MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchType.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStructNoMatchType PID: 28940 started at 2023-04-28 23:08:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct -DCPSPendingTimeout 3 
writer_MutableStructNoMatchType PID: 28941 started at 2023-04-28 23:08:12
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes --force-type-validation --dynamic-ts -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_ny PID: 28952 started at 2023-04-28 23:08:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_ny PID: 28953 started at 2023-04-28 23:08:12
test PASSED.
----- Match_no_xtypes_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_nn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
reader_Match_no_xtypes_nn PID: 28964 started at 2023-04-28 23:08:13
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_nn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_Match_no_xtypes_nn PID: 28965 started at 2023-04-28 23:08:13
test PASSED.
----- DisallowTypeCoercion_FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructSub --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructMatch PID: 28976 started at 2023-04-28 23:08:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructPub -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructMatch PID: 28977 started at 2023-04-28 23:08:14
test PASSED.
----- Match_no_xtypes_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_yn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
reader_Match_no_xtypes_yn PID: 28988 started at 2023-04-28 23:08:15
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Match_no_xtypes_yn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_Match_no_xtypes_yn PID: 28989 started at 2023-04-28 23:08:15
test PASSED.
----- Match_no_xtypes_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Match_no_xtypes_ny.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
reader_Match_no_xtypes_ny PID: 29000 started at 2023-04-28 23:08:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_ny.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_Match_no_xtypes_ny PID: 29001 started at 2023-04-28 23:08:16
test PASSED.
----- ExtendedMutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedMutableStructMatch.log --type MutableStruct --dynamic-ts --skip-read -DCPSPendingTimeout 3 
reader_ExtendedMutableStructMatch PID: 29012 started at 2023-04-28 23:08:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedMutableStructMatch.log --type MutableBaseStruct -DCPSPendingTimeout 3 
writer_ExtendedMutableStructMatch PID: 29013 started at 2023-04-28 23:08:16
test PASSED.
----- Tryconstruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Tryconstruct.log --type Trim20Struct --dynamic-ts --skip-read -DCPSPendingTimeout 3 
reader_Tryconstruct PID: 29024 started at 2023-04-28 23:08:17
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Tryconstruct.log --type Trim64Struct -DCPSPendingTimeout 3 
writer_Tryconstruct PID: 29025 started at 2023-04-28 23:08:17
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchType.log --type MutableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchType PID: 29036 started at 2023-04-28 23:08:18
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchType PID: 29037 started at 2023-04-28 23:08:18
test PASSED.
----- ExtendedAppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedAppendableMatch.log --type ExtendedAppendableStruct --dynamic-ts --skip-read -DCPSPendingTimeout 3 
reader_ExtendedAppendableMatch PID: 29048 started at 2023-04-28 23:08:19
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedAppendableMatch.log --type BaseAppendableStruct -DCPSPendingTimeout 3 
writer_ExtendedAppendableMatch PID: 29049 started at 2023-04-28 23:08:19
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch2 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AppendableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 29061 started at 2023-04-28 23:08:20
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AdditionalPrefixFieldStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 29062 started at 2023-04-28 23:08:20
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatchType.log --type MutableUnion --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatchType PID: 29073 started at 2023-04-28 23:08:21
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatchType PID: 29074 started at 2023-04-28 23:08:21
test PASSED.
----- NoXTypesNoMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type ReaderTypeName --dynamic-ts -DCPSPendingTimeout 3 
reader_NoXTypesNoMatchTypeNames PID: 29085 started at 2023-04-28 23:08:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type WriterTypeName -DCPSPendingTimeout 3 
writer_NoXTypesNoMatchTypeNames PID: 29086 started at 2023-04-28 23:08:22
test PASSED.
----- MutableStructNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchName.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStructNoMatchName PID: 29097 started at 2023-04-28 23:08:23
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchName.log --type ModifiedNameMutableStruct -DCPSPendingTimeout 3 
writer_MutableStructNoMatchName PID: 29098 started at 2023-04-28 23:08:23
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatch.log --type MutableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatch PID: 29109 started at 2023-04-28 23:08:23
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatch.log --type ModifiedMutableStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatch PID: 29110 started at 2023-04-28 23:08:23
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatch.log --type MutableUnion --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatch PID: 29121 started at 2023-04-28 23:08:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatch.log --type ModifiedMutableUnion -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatch PID: 29122 started at 2023-04-28 23:08:24
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes --force-type-validation --dynamic-ts -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_nn PID: 29133 started at 2023-04-28 23:08:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_nn PID: 29134 started at 2023-04-28 23:08:24
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes --force-type-validation --dynamic-ts -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_yn PID: 29145 started at 2023-04-28 23:08:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_yn PID: 29146 started at 2023-04-28 23:08:26
test PASSED.
----- MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchId.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStructNoMatchId PID: 29157 started at 2023-04-28 23:08:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchId.log --type ModifiedIdMutableStruct -DCPSPendingTimeout 3 
writer_MutableStructNoMatchId PID: 29158 started at 2023-04-28 23:08:27
test PASSED.
----- AppendableNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableNoMatch.log --type AppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_AppendableNoMatch PID: 29169 started at 2023-04-28 23:08:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableNoMatch.log --type AdditionalPrefixFieldStruct -DCPSPendingTimeout 3 
writer_AppendableNoMatch PID: 29170 started at 2023-04-28 23:08:27
test PASSED.
----- MutableUnionNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchName.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchName PID: 29181 started at 2023-04-28 23:08:28
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchName.log --type ModifiedNameMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchName PID: 29182 started at 2023-04-28 23:08:28
test PASSED.
----- PlainCdr --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_PlainCdr.log --type PlainCdrStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_PlainCdr PID: 29201 started at 2023-04-28 23:08:29
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_PlainCdr.log --type PlainCdrStruct -DCPSPendingTimeout 3 
writer_PlainCdr PID: 29202 started at 2023-04-28 23:08:29
test PASSED.
----- MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchType.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchType PID: 29213 started at 2023-04-28 23:08:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchType PID: 29214 started at 2023-04-28 23:08:30
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch1 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AppendableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 29225 started at 2023-04-28 23:08:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AdditionalPostfixFieldStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 29226 started at 2023-04-28 23:08:31
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchId.log --type MutableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchId PID: 29237 started at 2023-04-28 23:08:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchId.log --type ModifiedIdMutableStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchId PID: 29238 started at 2023-04-28 23:08:32
test PASSED.

auto_run_tests_finished: tests/DCPS/XTypes/run_test.pl --dynamic-readers Time:32s Result:0

==============================================================================

tests/DCPS/XTypes/run_test.pl --dynamic-writers --dynamic-readers #

----- IgnoreMemberNames_MutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableStructMatch.log --type MutableStruct --ignore-member-names --dynamic-ts -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableStructMatch PID: 29250 started at 2023-04-28 23:08:33
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableStructMatch.log --type ModifiedNameMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableStructMatch PID: 29251 started at 2023-04-28 23:08:33
test PASSED.
----- MutableUnionNoMatchDisc --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchDisc.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchDisc PID: 29262 started at 2023-04-28 23:08:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchDisc.log --type ModifiedDiscMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchDisc PID: 29263 started at 2023-04-28 23:08:34
test PASSED.
----- AppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableMatch.log --type AppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_AppendableMatch PID: 29274 started at 2023-04-28 23:08:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableMatch.log --type AdditionalPostfixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_AppendableMatch PID: 29275 started at 2023-04-28 23:08:35
test PASSED.
----- FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructNoMatch.log --type FinalStructSub --dynamic-ts -DCPSPendingTimeout 3 
reader_FinalStructNoMatch PID: 29286 started at 2023-04-28 23:08:36
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructNoMatch.log --type ModifiedFinalStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_FinalStructNoMatch PID: 29287 started at 2023-04-28 23:08:36
test PASSED.
----- IgnoreMemberNames_MutableUnionMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableUnionMatch.log --type MutableUnion --ignore-member-names --dynamic-ts -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableUnionMatch PID: 29298 started at 2023-04-28 23:08:36
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableUnionMatch.log --type ModifiedNameMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableUnionMatch PID: 29299 started at 2023-04-28 23:08:36
test PASSED.
----- NoXTypesMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName --dynamic-ts -DCPSPendingTimeout 3 
reader_NoXTypesMatchTypeNames PID: 29310 started at 2023-04-28 23:08:37
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName --dynamic-ts -DCPSPendingTimeout 3 
writer_NoXTypesMatchTypeNames PID: 29311 started at 2023-04-28 23:08:37
test PASSED.
----- Dependency --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Dependency.log --type AppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_Dependency PID: 29322 started at 2023-04-28 23:08:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Dependency.log --type AppendableStructWithDependency --dynamic-ts -DCPSPendingTimeout 3 
writer_Dependency PID: 29323 started at 2023-04-28 23:08:38
test PASSED.
----- DisallowTypeCoercion_FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructNoMatch.log --type FinalStructSub --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructNoMatch PID: 29334 started at 2023-04-28 23:08:40
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructNoMatch.log --type ModifiedFinalStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructNoMatch PID: 29335 started at 2023-04-28 23:08:40
test PASSED.
----- MutableUnion --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnion.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnion PID: 29346 started at 2023-04-28 23:08:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnion.log --type ModifiedMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnion PID: 29347 started at 2023-04-28 23:08:41
test PASSED.
----- MutableStruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStruct.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStruct PID: 29358 started at 2023-04-28 23:08:42
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStruct.log --type ModifiedMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStruct PID: 29359 started at 2023-04-28 23:08:42
test PASSED.
----- FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructMatch.log --type FinalStructSub --dynamic-ts -DCPSPendingTimeout 3 
reader_FinalStructMatch PID: 29370 started at 2023-04-28 23:08:43
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructMatch.log --type FinalStructPub --dynamic-ts -DCPSPendingTimeout 3 
writer_FinalStructMatch PID: 29371 started at 2023-04-28 23:08:43
test PASSED.
----- MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchType.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStructNoMatchType PID: 29382 started at 2023-04-28 23:08:44
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStructNoMatchType PID: 29383 started at 2023-04-28 23:08:44
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes --force-type-validation --dynamic-ts -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_ny PID: 29394 started at 2023-04-28 23:08:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_ny PID: 29395 started at 2023-04-28 23:08:45
test PASSED.
----- Match_no_xtypes_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_nn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
reader_Match_no_xtypes_nn PID: 29406 started at 2023-04-28 23:08:46
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_nn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_Match_no_xtypes_nn PID: 29407 started at 2023-04-28 23:08:46
test PASSED.
----- DisallowTypeCoercion_FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructSub --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructMatch PID: 29418 started at 2023-04-28 23:08:46
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructPub --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructMatch PID: 29419 started at 2023-04-28 23:08:46
test PASSED.
----- Match_no_xtypes_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_yn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
reader_Match_no_xtypes_yn PID: 29430 started at 2023-04-28 23:08:47
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Match_no_xtypes_yn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_Match_no_xtypes_yn PID: 29431 started at 2023-04-28 23:08:47
test PASSED.
----- Match_no_xtypes_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Match_no_xtypes_ny.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
reader_Match_no_xtypes_ny PID: 29442 started at 2023-04-28 23:08:49
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_ny.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_Match_no_xtypes_ny PID: 29443 started at 2023-04-28 23:08:49
test PASSED.
----- ExtendedMutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedMutableStructMatch.log --type MutableStruct --dynamic-ts --skip-read -DCPSPendingTimeout 3 
reader_ExtendedMutableStructMatch PID: 29454 started at 2023-04-28 23:08:50
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedMutableStructMatch.log --type MutableBaseStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_ExtendedMutableStructMatch PID: 29455 started at 2023-04-28 23:08:50
test PASSED.
----- Tryconstruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Tryconstruct.log --type Trim20Struct --dynamic-ts --skip-read -DCPSPendingTimeout 3 
reader_Tryconstruct PID: 29466 started at 2023-04-28 23:08:51
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Tryconstruct.log --type Trim64Struct --dynamic-ts -DCPSPendingTimeout 3 
writer_Tryconstruct PID: 29467 started at 2023-04-28 23:08:51
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchType.log --type MutableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchType PID: 29478 started at 2023-04-28 23:08:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchType PID: 29479 started at 2023-04-28 23:08:52
test PASSED.
----- ExtendedAppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedAppendableMatch.log --type ExtendedAppendableStruct --dynamic-ts --skip-read -DCPSPendingTimeout 3 
reader_ExtendedAppendableMatch PID: 29490 started at 2023-04-28 23:08:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedAppendableMatch.log --type BaseAppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_ExtendedAppendableMatch PID: 29491 started at 2023-04-28 23:08:52
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch2 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AppendableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 29502 started at 2023-04-28 23:08:53
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AdditionalPrefixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 29503 started at 2023-04-28 23:08:53
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatchType.log --type MutableUnion --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatchType PID: 29514 started at 2023-04-28 23:08:54
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatchType PID: 29515 started at 2023-04-28 23:08:54
test PASSED.
----- NoXTypesNoMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type ReaderTypeName --dynamic-ts -DCPSPendingTimeout 3 
reader_NoXTypesNoMatchTypeNames PID: 29526 started at 2023-04-28 23:08:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type WriterTypeName --dynamic-ts -DCPSPendingTimeout 3 
writer_NoXTypesNoMatchTypeNames PID: 29527 started at 2023-04-28 23:08:55
test PASSED.
----- MutableStructNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchName.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStructNoMatchName PID: 29538 started at 2023-04-28 23:08:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchName.log --type ModifiedNameMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStructNoMatchName PID: 29539 started at 2023-04-28 23:08:55
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatch.log --type MutableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatch PID: 29550 started at 2023-04-28 23:08:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatch.log --type ModifiedMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatch PID: 29551 started at 2023-04-28 23:08:56
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatch.log --type MutableUnion --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatch PID: 29562 started at 2023-04-28 23:08:57
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatch.log --type ModifiedMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatch PID: 29563 started at 2023-04-28 23:08:57
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes --force-type-validation --dynamic-ts -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_nn PID: 29574 started at 2023-04-28 23:08:58
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_nn PID: 29575 started at 2023-04-28 23:08:58
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes --force-type-validation --dynamic-ts -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_yn PID: 29586 started at 2023-04-28 23:08:59
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_yn PID: 29587 started at 2023-04-28 23:08:59
test PASSED.
----- MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchId.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStructNoMatchId PID: 29598 started at 2023-04-28 23:09:01
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchId.log --type ModifiedIdMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStructNoMatchId PID: 29599 started at 2023-04-28 23:09:01
test PASSED.
----- AppendableNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableNoMatch.log --type AppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_AppendableNoMatch PID: 29610 started at 2023-04-28 23:09:01
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableNoMatch.log --type AdditionalPrefixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_AppendableNoMatch PID: 29611 started at 2023-04-28 23:09:01
test PASSED.
----- MutableUnionNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchName.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchName PID: 29622 started at 2023-04-28 23:09:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchName.log --type ModifiedNameMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchName PID: 29623 started at 2023-04-28 23:09:02
test PASSED.
----- PlainCdr --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_PlainCdr.log --type PlainCdrStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_PlainCdr PID: 29634 started at 2023-04-28 23:09:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_PlainCdr.log --type PlainCdrStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_PlainCdr PID: 29635 started at 2023-04-28 23:09:03
test PASSED.
----- MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchType.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchType PID: 29646 started at 2023-04-28 23:09:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchType PID: 29647 started at 2023-04-28 23:09:03
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch1 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AppendableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 29658 started at 2023-04-28 23:09:04
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AdditionalPostfixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 29659 started at 2023-04-28 23:09:04
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchId.log --type MutableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchId PID: 29670 started at 2023-04-28 23:09:04
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchId.log --type ModifiedIdMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchId PID: 29671 started at 2023-04-28 23:09:04
test PASSED.

auto_run_tests_finished: tests/DCPS/XTypes/run_test.pl --dynamic-writers --dynamic-readers Time:32s Result:0

==============================================================================

tests/DCPS/DynamicTypes/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder my_struct_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_my_struct_final_XCDR1.log -DCPSPendingTimeout 3 
reader_my_struct_final_XCDR1 PID: 29683 started at 2023-04-28 23:09:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub my_struct_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_my_struct_final_XCDR1.log -DCPSPendingTimeout 3 
writer_my_struct_final_XCDR1 PID: 29684 started at 2023-04-28 23:09:05
struct Dynamic::my_struct_final
  Dynamic::long_struct_arr_final my_long_struct_arr Dynamic::long_struct_final[2] =
    [0] struct Dynamic::long_struct_final
      Int32 my_long = 1
    [1] struct Dynamic::long_struct_final
      Int32 my_long = 2
  Dynamic::inner_union_seq_final my_inner_union_seq Dynamic::inner_union_final[2] =
    [0] union Dynamic::inner_union_final
      Int32 discriminator = -2147483647
      Boolean b = true
    [1] union Dynamic::inner_union_final
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = true
        [1] = false
  Dynamic::enum_arr my_enum_arr Dynamic::EnumType[2] =
    [0] = V1
    [1] = V2
  Int8 my_int8 = 1
  UInt8 my_uint8 = 2
  Int16 my_short = 3
  UInt16 my_ushort = 4
  Int32 my_long = 5
  UInt32 my_ulong = 6
  Int64 my_longlong = 7
  UInt64 my_ulonglong = 8
  Float32 my_float = 9.25
  Float64 my_double = 10.5
  Float128 my_longdouble = 11.075
  Boolean my_boolean = true
  Byte my_byte = 0x0c
  Char8 my_char = 'd'
  Char16 my_wchar = L'e'
  String8 my_string = "Hello"
  WString16 my_wstring = L"World"
  Dynamic::bool_seq my_alias_seq Boolean[2] =
    [0] = true
    [1] = false
  Dynamic::char_arr my_alias_array Char8[2] =
    [0] = 'a'
    [1] = 'b'
  Sequence my_anon_seq Dynamic::EnumType[2] =
    [0] = V2
    [1] = V1
  Array my_anon_arr Int16[2] =
    [0] = 5
    [1] = 6

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder my_struct_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_my_struct_final_XCDR2.log -DCPSPendingTimeout 3 
reader_my_struct_final_XCDR2 PID: 29695 started at 2023-04-28 23:09:06
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub my_struct_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_my_struct_final_XCDR2.log -DCPSPendingTimeout 3 
writer_my_struct_final_XCDR2 PID: 29696 started at 2023-04-28 23:09:06
struct Dynamic::my_struct_final
  Dynamic::long_struct_arr_final my_long_struct_arr Dynamic::long_struct_final[2] =
    [0] struct Dynamic::long_struct_final
      Int32 my_long = 1
    [1] struct Dynamic::long_struct_final
      Int32 my_long = 2
  Dynamic::inner_union_seq_final my_inner_union_seq Dynamic::inner_union_final[2] =
    [0] union Dynamic::inner_union_final
      Int32 discriminator = -2147483647
      Boolean b = true
    [1] union Dynamic::inner_union_final
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = true
        [1] = false
  Dynamic::enum_arr my_enum_arr Dynamic::EnumType[2] =
    [0] = V1
    [1] = V2
  Int8 my_int8 = 1
  UInt8 my_uint8 = 2
  Int16 my_short = 3
  UInt16 my_ushort = 4
  Int32 my_long = 5
  UInt32 my_ulong = 6
  Int64 my_longlong = 7
  UInt64 my_ulonglong = 8
  Float32 my_float = 9.25
  Float64 my_double = 10.5
  Float128 my_longdouble = 11.075
  Boolean my_boolean = true
  Byte my_byte = 0x0c
  Char8 my_char = 'd'
  Char16 my_wchar = L'e'
  String8 my_string = "Hello"
  WString16 my_wstring = L"World"
  Dynamic::bool_seq my_alias_seq Boolean[2] =
    [0] = true
    [1] = false
  Dynamic::char_arr my_alias_array Char8[2] =
    [0] = 'a'
    [1] = 'b'
  Sequence my_anon_seq Dynamic::EnumType[2] =
    [0] = V2
    [1] = V1
  Array my_anon_arr Int16[2] =
    [0] = 5
    [1] = 6

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder my_struct_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_my_struct_appendable_XCDR1.log -DCPSPendingTimeout 3 
reader_my_struct_appendable_XCDR1 PID: 29707 started at 2023-04-28 23:09:06
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub my_struct_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_my_struct_appendable_XCDR1.log -DCPSPendingTimeout 3 
writer_my_struct_appendable_XCDR1 PID: 29708 started at 2023-04-28 23:09:06
struct Dynamic::my_struct_appendable
  Dynamic::long_struct_arr_appendable my_long_struct_arr Dynamic::long_struct_appendable[2] =
    [0] struct Dynamic::long_struct_appendable
      Int32 my_long = 1
    [1] struct Dynamic::long_struct_appendable
      Int32 my_long = 2
  Dynamic::inner_union_seq_appendable my_inner_union_seq Dynamic::inner_union_appendable[2] =
    [0] union Dynamic::inner_union_appendable
      Int32 discriminator = -2147483647
      Boolean b = true
    [1] union Dynamic::inner_union_appendable
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = true
        [1] = false
  Dynamic::enum_arr my_enum_arr Dynamic::EnumType[2] =
    [0] = V1
    [1] = V2
  Int8 my_int8 = 1
  UInt8 my_uint8 = 2
  Int16 my_short = 3
  UInt16 my_ushort = 4
  Int32 my_long = 5
  UInt32 my_ulong = 6
  Int64 my_longlong = 7
  UInt64 my_ulonglong = 8
  Float32 my_float = 9.25
  Float64 my_double = 10.5
  Float128 my_longdouble = 11.075
  Boolean my_boolean = true
  Byte my_byte = 0x0c
  Char8 my_char = 'd'
  Char16 my_wchar = L'e'
  String8 my_string = "Hello"
  WString16 my_wstring = L"World"
  Dynamic::bool_seq my_alias_seq Boolean[2] =
    [0] = true
    [1] = false
  Dynamic::char_arr my_alias_array Char8[2] =
    [0] = 'a'
    [1] = 'b'
  Sequence my_anon_seq Dynamic::EnumType[2] =
    [0] = V2
    [1] = V1
  Array my_anon_arr Int16[2] =
    [0] = 5
    [1] = 6

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder my_struct_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_my_struct_appendable_XCDR2.log -DCPSPendingTimeout 3 
reader_my_struct_appendable_XCDR2 PID: 29719 started at 2023-04-28 23:09:06
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub my_struct_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_my_struct_appendable_XCDR2.log -DCPSPendingTimeout 3 
writer_my_struct_appendable_XCDR2 PID: 29720 started at 2023-04-28 23:09:06
struct Dynamic::my_struct_appendable
  Dynamic::long_struct_arr_appendable my_long_struct_arr Dynamic::long_struct_appendable[2] =
    [0] struct Dynamic::long_struct_appendable
      Int32 my_long = 1
    [1] struct Dynamic::long_struct_appendable
      Int32 my_long = 2
  Dynamic::inner_union_seq_appendable my_inner_union_seq Dynamic::inner_union_appendable[2] =
    [0] union Dynamic::inner_union_appendable
      Int32 discriminator = -2147483647
      Boolean b = true
    [1] union Dynamic::inner_union_appendable
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = true
        [1] = false
  Dynamic::enum_arr my_enum_arr Dynamic::EnumType[2] =
    [0] = V1
    [1] = V2
  Int8 my_int8 = 1
  UInt8 my_uint8 = 2
  Int16 my_short = 3
  UInt16 my_ushort = 4
  Int32 my_long = 5
  UInt32 my_ulong = 6
  Int64 my_longlong = 7
  UInt64 my_ulonglong = 8
  Float32 my_float = 9.25
  Float64 my_double = 10.5
  Float128 my_longdouble = 11.075
  Boolean my_boolean = true
  Byte my_byte = 0x0c
  Char8 my_char = 'd'
  Char16 my_wchar = L'e'
  String8 my_string = "Hello"
  WString16 my_wstring = L"World"
  Dynamic::bool_seq my_alias_seq Boolean[2] =
    [0] = true
    [1] = false
  Dynamic::char_arr my_alias_array Char8[2] =
    [0] = 'a'
    [1] = 'b'
  Sequence my_anon_seq Dynamic::EnumType[2] =
    [0] = V2
    [1] = V1
  Array my_anon_arr Int16[2] =
    [0] = 5
    [1] = 6

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder my_struct_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_my_struct_mutable_XCDR2.log -DCPSPendingTimeout 3 
reader_my_struct_mutable_XCDR2 PID: 29731 started at 2023-04-28 23:09:06
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub my_struct_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_my_struct_mutable_XCDR2.log -DCPSPendingTimeout 3 
writer_my_struct_mutable_XCDR2 PID: 29732 started at 2023-04-28 23:09:06
struct Dynamic::my_struct_mutable
  Dynamic::long_struct_arr_mutable my_long_struct_arr Dynamic::long_struct_mutable[2] =
    [0] struct Dynamic::long_struct_mutable
      Int32 my_long = 1
    [1] struct Dynamic::long_struct_mutable
      Int32 my_long = 2
  Dynamic::inner_union_seq_mutable my_inner_union_seq Dynamic::inner_union_mutable[2] =
    [0] union Dynamic::inner_union_mutable
      Int32 discriminator = -2147483647
      Boolean b = true
    [1] union Dynamic::inner_union_mutable
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = true
        [1] = false
  Dynamic::enum_arr my_enum_arr Dynamic::EnumType[2] =
    [0] = V1
    [1] = V2
  Int8 my_int8 = 1
  UInt8 my_uint8 = 2
  Int16 my_short = 3
  UInt16 my_ushort = 4
  Int32 my_long = 5
  UInt32 my_ulong = 6
  Int64 my_longlong = 7
  UInt64 my_ulonglong = 8
  Float32 my_float = 9.25
  Float64 my_double = 10.5
  Float128 my_longdouble = 11.075
  Boolean my_boolean = true
  Byte my_byte = 0x0c
  Char8 my_char = 'd'
  Char16 my_wchar = L'e'
  String8 my_string = "Hello"
  WString16 my_wstring = L"World"
  Dynamic::bool_seq my_alias_seq Boolean[2] =
    [0] = true
    [1] = false
  Dynamic::char_arr my_alias_array Char8[2] =
    [0] = 'a'
    [1] = 'b'
  Sequence my_anon_seq Dynamic::EnumType[2] =
    [0] = V2
    [1] = V1
  Array my_anon_arr Int16[2] =
    [0] = 5
    [1] = 6

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_struct_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_struct_final_XCDR1.log -DCPSPendingTimeout 3 
reader_outer_struct_final_XCDR1 PID: 29743 started at 2023-04-28 23:09:07
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_struct_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_struct_final_XCDR1.log -DCPSPendingTimeout 3 
writer_outer_struct_final_XCDR1 PID: 29744 started at 2023-04-28 23:09:07
struct Dynamic::outer_struct_final
  struct Dynamic::inner_struct_final is
    union Dynamic::inner_union_final iu
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = false
        [1] = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_struct_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_struct_final_XCDR2.log -DCPSPendingTimeout 3 
reader_outer_struct_final_XCDR2 PID: 29755 started at 2023-04-28 23:09:07
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_struct_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_struct_final_XCDR2.log -DCPSPendingTimeout 3 
writer_outer_struct_final_XCDR2 PID: 29756 started at 2023-04-28 23:09:07
struct Dynamic::outer_struct_final
  struct Dynamic::inner_struct_final is
    union Dynamic::inner_union_final iu
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = false
        [1] = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_struct_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_struct_appendable_XCDR1.log -DCPSPendingTimeout 3 
reader_outer_struct_appendable_XCDR1 PID: 29767 started at 2023-04-28 23:09:08
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_struct_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_struct_appendable_XCDR1.log -DCPSPendingTimeout 3 
writer_outer_struct_appendable_XCDR1 PID: 29768 started at 2023-04-28 23:09:08
struct Dynamic::outer_struct_appendable
  struct Dynamic::inner_struct_appendable is
    union Dynamic::inner_union_appendable iu
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = false
        [1] = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_struct_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_struct_appendable_XCDR2.log -DCPSPendingTimeout 3 
reader_outer_struct_appendable_XCDR2 PID: 29779 started at 2023-04-28 23:09:08
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_struct_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_struct_appendable_XCDR2.log -DCPSPendingTimeout 3 
writer_outer_struct_appendable_XCDR2 PID: 29780 started at 2023-04-28 23:09:08
struct Dynamic::outer_struct_appendable
  struct Dynamic::inner_struct_appendable is
    union Dynamic::inner_union_appendable iu
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = false
        [1] = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_struct_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_struct_mutable_XCDR2.log -DCPSPendingTimeout 3 
reader_outer_struct_mutable_XCDR2 PID: 29791 started at 2023-04-28 23:09:09
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_struct_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_struct_mutable_XCDR2.log -DCPSPendingTimeout 3 
writer_outer_struct_mutable_XCDR2 PID: 29792 started at 2023-04-28 23:09:09
struct Dynamic::outer_struct_mutable
  struct Dynamic::inner_struct_mutable is
    union Dynamic::inner_union_mutable iu
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = false
        [1] = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder inner_union_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_inner_union_final_XCDR1.log -DCPSPendingTimeout 3 
reader_inner_union_final_XCDR1 PID: 29803 started at 2023-04-28 23:09:09
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub inner_union_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_inner_union_final_XCDR1.log -DCPSPendingTimeout 3 
writer_inner_union_final_XCDR1 PID: 29804 started at 2023-04-28 23:09:09
union Dynamic::inner_union_final
  Int32 discriminator = -2147483647
  Boolean b = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder inner_union_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_inner_union_final_XCDR2.log -DCPSPendingTimeout 3 
reader_inner_union_final_XCDR2 PID: 29815 started at 2023-04-28 23:09:10
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub inner_union_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_inner_union_final_XCDR2.log -DCPSPendingTimeout 3 
writer_inner_union_final_XCDR2 PID: 29816 started at 2023-04-28 23:09:10
union Dynamic::inner_union_final
  Int32 discriminator = -2147483647
  Boolean b = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder inner_union_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_inner_union_appendable_XCDR1.log -DCPSPendingTimeout 3 
reader_inner_union_appendable_XCDR1 PID: 29827 started at 2023-04-28 23:09:10
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub inner_union_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_inner_union_appendable_XCDR1.log -DCPSPendingTimeout 3 
writer_inner_union_appendable_XCDR1 PID: 29828 started at 2023-04-28 23:09:10
union Dynamic::inner_union_appendable
  Int32 discriminator = -2147483647
  Boolean b = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder inner_union_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_inner_union_appendable_XCDR2.log -DCPSPendingTimeout 3 
reader_inner_union_appendable_XCDR2 PID: 29839 started at 2023-04-28 23:09:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub inner_union_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_inner_union_appendable_XCDR2.log -DCPSPendingTimeout 3 
writer_inner_union_appendable_XCDR2 PID: 29840 started at 2023-04-28 23:09:11
union Dynamic::inner_union_appendable
  Int32 discriminator = -2147483647
  Boolean b = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder inner_union_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_inner_union_mutable_XCDR2.log -DCPSPendingTimeout 3 
reader_inner_union_mutable_XCDR2 PID: 29851 started at 2023-04-28 23:09:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub inner_union_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_inner_union_mutable_XCDR2.log -DCPSPendingTimeout 3 
writer_inner_union_mutable_XCDR2 PID: 29852 started at 2023-04-28 23:09:11
union Dynamic::inner_union_mutable
  Int32 discriminator = -2147483647
  Boolean b = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_union_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_union_final_XCDR1.log -DCPSPendingTimeout 3 
reader_outer_union_final_XCDR1 PID: 29863 started at 2023-04-28 23:09:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_union_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_union_final_XCDR1.log -DCPSPendingTimeout 3 
writer_outer_union_final_XCDR1 PID: 29864 started at 2023-04-28 23:09:12
union Dynamic::outer_union_final
  Dynamic::EnumType discriminator = V1
  struct Dynamic::inner_struct_final is
    union Dynamic::inner_union_final iu
      Int32 discriminator = 1
      Int32 l = 5

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_union_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_union_final_XCDR2.log -DCPSPendingTimeout 3 
reader_outer_union_final_XCDR2 PID: 29875 started at 2023-04-28 23:09:13
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_union_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_union_final_XCDR2.log -DCPSPendingTimeout 3 
writer_outer_union_final_XCDR2 PID: 29876 started at 2023-04-28 23:09:13
union Dynamic::outer_union_final
  Dynamic::EnumType discriminator = V1
  struct Dynamic::inner_struct_final is
    union Dynamic::inner_union_final iu
      Int32 discriminator = 1
      Int32 l = 5

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_union_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_union_appendable_XCDR1.log -DCPSPendingTimeout 3 
reader_outer_union_appendable_XCDR1 PID: 29887 started at 2023-04-28 23:09:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_union_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_union_appendable_XCDR1.log -DCPSPendingTimeout 3 
writer_outer_union_appendable_XCDR1 PID: 29888 started at 2023-04-28 23:09:14
union Dynamic::outer_union_appendable
  Dynamic::EnumType discriminator = V1
  struct Dynamic::inner_struct_appendable is
    union Dynamic::inner_union_appendable iu
      Int32 discriminator = 1
      Int32 l = 5

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_union_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_union_appendable_XCDR2.log -DCPSPendingTimeout 3 
reader_outer_union_appendable_XCDR2 PID: 29899 started at 2023-04-28 23:09:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_union_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_union_appendable_XCDR2.log -DCPSPendingTimeout 3 
writer_outer_union_appendable_XCDR2 PID: 29900 started at 2023-04-28 23:09:14
union Dynamic::outer_union_appendable
  Dynamic::EnumType discriminator = V1
  struct Dynamic::inner_struct_appendable is
    union Dynamic::inner_union_appendable iu
      Int32 discriminator = 1
      Int32 l = 5

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_union_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_union_mutable_XCDR2.log -DCPSPendingTimeout 3 
reader_outer_union_mutable_XCDR2 PID: 29911 started at 2023-04-28 23:09:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_union_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_union_mutable_XCDR2.log -DCPSPendingTimeout 3 
writer_outer_union_mutable_XCDR2 PID: 29912 started at 2023-04-28 23:09:14
union Dynamic::outer_union_mutable
  Dynamic::EnumType discriminator = V1
  struct Dynamic::inner_struct_mutable is
    union Dynamic::inner_union_mutable iu
      Int32 discriminator = 1
      Int32 l = 5

test PASSED.
20 tests ran

auto_run_tests_finished: tests/DCPS/DynamicTypes/run_test.pl Time:10s Result:0

==============================================================================

tests/DCPS/UnregisterType/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 29924
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/UnregisterType/unregister_type_test -DCPSBit 0 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile unregister_type_test.log -DCPSPendingTimeout 3 
unregister_type_test PID: 29931 started at 2023-04-28 23:09:15
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/UnregisterType/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/DataRepresentation/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DataRepresentation/DataRepresentation -DCPSConfigFile rtps_disc.ini -DCPSPendingTimeout 3 
test PID: 29935 started at 2023-04-28 23:09:15
(29935|29935) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
INFO: register_type[DefaultType]
INFO: create_topic[DefaultType Topic]
(29935|29935) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: create_topic[DefaultType Topic XCDR2]
(29935|29935) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: create_topic[DefaultType Topic XML]
(29935|29935) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: unregister_type[DefaultType]
INFO: register_type[Xcdr2Xcdr1Type]
INFO: create_topic[Xcdr2Xcdr1Type Topic]
INFO: create_topic[Xcdr2Xcdr1Type Topic XCDR2]
INFO: unregister_type[Xcdr2Xcdr1Type]
INFO: register_type[Xcdr1Type]
INFO: create_topic[Xcdr1Type Topic]
INFO: create_topic[Xcdr1Type Topic XCDR2]
INFO: create_topic[Xcdr1Type Topic XML]
INFO: unregister_type[Xcdr1Type]
INFO: register_type[Xcdr2Type]
INFO: create_topic[Xcdr2Type Topic]
INFO: create_topic[Xcdr2Type Topic XCDR2]
INFO: unregister_type[Xcdr2Type]
INFO: register_type[XmlType]
INFO: create_topic[XmlType Topic]
(29935|29935) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 (29935|29935) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: unregister_type[XmlType]
INFO: 98 of 98 cases passed
test PASSED.

auto_run_tests_finished: tests/DCPS/DataRepresentation/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/DataRepresentation/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DataRepresentation/DataRepresentation -DCPSConfigFile rtps_disc.ini -DCPSPendingTimeout 3 
test PID: 29942 started at 2023-04-28 23:09:16
(29942|29942) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
INFO: register_type[DefaultType]
INFO: create_topic[DefaultType Topic]
(29942|29942) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: create_topic[DefaultType Topic XCDR2]
(29942|29942) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: create_topic[DefaultType Topic XML]
(29942|29942) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: unregister_type[DefaultType]
INFO: register_type[Xcdr2Xcdr1Type]
INFO: create_topic[Xcdr2Xcdr1Type Topic]
INFO: create_topic[Xcdr2Xcdr1Type Topic XCDR2]
INFO: unregister_type[Xcdr2Xcdr1Type]
INFO: register_type[Xcdr1Type]
INFO: create_topic[Xcdr1Type Topic]
INFO: create_topic[Xcdr1Type Topic XCDR2]
INFO: create_topic[Xcdr1Type Topic XML]
INFO: unregister_type[Xcdr1Type]
INFO: register_type[Xcdr2Type]
INFO: create_topic[Xcdr2Type Topic]
INFO: create_topic[Xcdr2Type Topic XCDR2]
INFO: unregister_type[Xcdr2Type]
INFO: register_type[XmlType]
INFO: create_topic[XmlType Topic]
(29942|29942) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 (29942|29942) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: unregister_type[XmlType]
INFO: 98 of 98 cases passed
test PASSED.

auto_run_tests_finished: tests/DCPS/DataRepresentation/run_test.pl rtps_disc Time:0s Result:0

==============================================================================

tests/DCPS/HelloWorld/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 29949
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/HelloWorld/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 29957 started at 2023-04-28 23:09:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/HelloWorld/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 29958 started at 2023-04-28 23:09:16
(29949|29949) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/HelloWorld/run_test.pl Time:3s Result:0

==============================================================================

tests/DCPS/HelloWorld/run_test.pl ini=rtps.ini #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/HelloWorld/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSConfigFile rtps.ini  -DCPSPendingTimeout 3 
publisher PID: 29976 started at 2023-04-28 23:09:19
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/HelloWorld/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSConfigFile rtps.ini  -DCPSPendingTimeout 3 
subscriber PID: 29977 started at 2023-04-28 23:09:19
test PASSED.

auto_run_tests_finished: tests/DCPS/HelloWorld/run_test.pl ini=rtps.ini Time:2s Result:0

==============================================================================

tests/DCPS/ZeroEnum/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroEnum/publisher -DCPSDebugLevel 4 -DCPSConfigFile rtps.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 29989 started at 2023-04-28 23:09:21
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroEnum/subscriber -DCPSDebugLevel 4 -DCPSConfigFile rtps.ini  -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 29990 started at 2023-04-28 23:09:21
test PASSED.

auto_run_tests_finished: tests/DCPS/ZeroEnum/run_test.pl Time:3s Result:0

==============================================================================

tests/DCPS/TypeSupportPlugin/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TypeSupportPlugin/TypeSupportPluginUser  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile test.log -DCPSPendingTimeout 3 
test PID: 30002 started at 2023-04-28 23:09:24
test PASSED.

auto_run_tests_finished: tests/DCPS/TypeSupportPlugin/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/DynamicData/run_test.pl dyn=dw ini=rtps.ini #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicData/publisher -dynamic -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSConfigFile rtps.ini  -DCPSPendingTimeout 3 
publisher PID: 30009 started at 2023-04-28 23:09:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicData/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSConfigFile rtps.ini  -DCPSPendingTimeout 3 
subscriber PID: 30010 started at 2023-04-28 23:09:24
test PASSED.

auto_run_tests_finished: tests/DCPS/DynamicData/run_test.pl dyn=dw ini=rtps.ini Time:2s Result:0

==============================================================================

tests/DCPS/DynamicData/run_test.pl dyn=dr ini=rtps.ini #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicData/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSConfigFile rtps.ini  -DCPSPendingTimeout 3 
publisher PID: 30023 started at 2023-04-28 23:09:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicData/subscriber -dynamic -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSConfigFile rtps.ini  -DCPSPendingTimeout 3 
subscriber PID: 30024 started at 2023-04-28 23:09:26
test PASSED.

auto_run_tests_finished: tests/DCPS/DynamicData/run_test.pl dyn=dr ini=rtps.ini Time:2s Result:0

End #

Sat Apr 29 04:09:28 2023 UTC