Daily Build Log


Begin #

Fri Apr 28 20:24:53 2023 UTC


Setup #

GIT

Fri Apr 28 20:24:53 2023 UTC

Running: git  log -1
commit da2160f02edad2383da21fd7c232b532dc9f5d2e
Author: Justin Wilson <wilsonj@objectcomputing.com>
Date:   Fri Apr 28 13:03:38 2023 -0500

    Merge pull request #4135 from mitza-oci/typesupport-data-conversions
    
    Fixed warnings in FooTypeSupportImpl::encode_to_bytes
cannot remove id 2392080 (Invalid argument)
cannot remove id 2424849 (Invalid argument)
cannot remove id 6586386 (Invalid argument)
cannot remove id 6619155 (Invalid argument)
cannot remove id 9732117 (Invalid argument)
cannot remove id 9764886 (Invalid argument)
cannot remove id 12877847 (Invalid argument)
cannot remove id 12910616 (Invalid argument)
cannot remove id 13926425 (Invalid argument)
cannot remove id 13959194 (Invalid argument)
cannot remove id 124649499 (Invalid argument)
cannot remove id 138969117 (Invalid argument)
cannot remove id 146079774 (Invalid argument)

Config #

print OS Version

Fri Apr 28 20:24:53 2023 UTC

Hostname

flea

OS version (uname -a)

Linux flea 2.6.32-642.el6.x86_64 #1 SMP Wed Apr 13 00:51:26 EDT 2016 x86_64 x86_64 x86_64 GNU/Linux

Linux Standard Base and Distribution information (lsb_release -a)

LSB Version: :base-4.0-amd64:base-4.0-noarch:core-4.0-amd64:core-4.0-noarch:graphics-4.0-amd64:graphics-4.0-noarch:printing-4.0-amd64:printing-4.0-noarch Distributor ID: RedHatEnterpriseServer Description: Red Hat Enterprise Linux Server release 6.8 (Santiago) Release: 6.8 Codename: Santiago

RedHat Linux Version (/etc/redhat-release)

Red Hat Enterprise Linux Server release 6.8 (Santiago)

Linux Kernel Version (/proc/version)

Linux version 2.6.32-642.el6.x86_64 (mockbuild@x86-033.build.eng.bos.redhat.com) (gcc version 4.4.7 20120313 (Red Hat 4.4.7-17) (GCC) ) #1 SMP Wed Apr 13 00:51:26 EDT 2016

IP network address information (ip addr show)

1: lo: <LOOPBACK,UP,LOWER_UP> mtu 65536 qdisc noqueue state UNKNOWN link/loopback 00:00:00:00:00:00 brd 00:00:00:00:00:00 inet 127.0.0.1/8 scope host lo inet6 ::1/128 scope host valid_lft forever preferred_lft forever 2: eth0: <BROADCAST,MULTICAST,UP,LOWER_UP> mtu 1500 qdisc mq state UP qlen 1000 link/ether 00:0c:29:7a:35:f2 brd ff:ff:ff:ff:ff:ff inet 10.201.200.79/22 brd 10.201.203.255 scope global eth0 inet6 fe80::20c:29ff:fe7a:35f2/64 scope link valid_lft forever preferred_lft forever 3: virbr0: <BROADCAST,MULTICAST,UP,LOWER_UP> mtu 1500 qdisc noqueue state UNKNOWN link/ether 52:54:00:73:46:ea brd ff:ff:ff:ff:ff:ff inet 192.168.122.1/24 brd 192.168.122.255 scope global virbr0 4: virbr0-nic: <BROADCAST,MULTICAST> mtu 1500 qdisc noop state DOWN qlen 500 link/ether 52:54:00:73:46:ea brd ff:ff:ff:ff:ff:ff

Disk space information (df -k)

Filesystem 1K-blocks Used Available Use% Mounted on /dev/mapper/vg_flea-lv_root 14225776 7627780 5868704 57% / /dev/sdb1 41153840 37597292 1459400 97% /tao_builds

Processor info

model name : Intel(R) Xeon(R) CPU X5550 @ 2.67GHz model name : Intel(R) Xeon(R) CPU X5550 @ 2.67GHz

Memory info

MemTotal: 3924416 kB

Approximate BogoMIPS (larger means faster)

10324.4406153846

print Environment Variables

Fri Apr 28 20:24:54 2023 UTC

Environment:

ACE_ROOT=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE ACE_TEST_LOG_STUCK_STACKS=1 ACE_WORKSPACE=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0 AUTOBUILD_ROOT=/distcomp/nightly/autobuild BOOST_ROOT=/usr BUILD_CAUSE=UPSTREAMTRIGGER BUILD_CAUSE_UPSTREAMTRIGGER=true BUILD_DISPLAY_NAME=#1586 BUILD_ID=1586 BUILD_NUMBER=1586 BUILD_TAG=jenkins-dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1-1586 BUILD_URL=http://jenkins.ociweb.com:8080/job/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/1586/ CI=true DDS_ROOT=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS EXECUTOR_NUMBER=0 GIT_BRANCH=origin/master GIT_CHECKOUT_DIR=OpenDDS GIT_COMMIT=da2160f02edad2383da21fd7c232b532dc9f5d2e GIT_PREVIOUS_COMMIT=fa417f89fbc80007ad623c943f40fc7be39ce401 GIT_PREVIOUS_SUCCESSFUL_COMMIT=be83ddb4cab2ee4eaa9d0adeb3584ce926bbb741 GIT_URL=git://git.ociweb.com/git/opendds/OpenDDS.git HOME=/home/jenkins HUDSON_COOKIE=68c43836-b534-48e3-a759-7ddf26a5c000 HUDSON_HOME=/tao_builds/jenkins HUDSON_SERVER_COOKIE=d5d63b175c08171a HUDSON_URL=http://jenkins.ociweb.com:8080/ JAVA_HOME=/usr JENKINS_HOME=/tao_builds/jenkins JENKINS_SERVER_COOKIE=d5d63b175c08171a JENKINS_URL=http://jenkins.ociweb.com:8080/ JOB_BASE_NAME=dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1 JOB_DISPLAY_URL=http://jenkins.ociweb.com:8080/job/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/display/redirect JOB_NAME=dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1 JOB_URL=http://jenkins.ociweb.com:8080/job/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/ LD_LIBRARY_PATH=/usr/sfw/lib:/usr/local/lib:/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/lib:/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/lib: LOGNAME=jenkins MAIL=/var/mail/jenkins MPC_ROOT=/tao_builds/jenkins/workspace/mpc_flea NIGHTLY_ROOT=/distcomp/nightly NODE_LABELS=boost flea git jdk16 linux NODE_NAME=flea OPENDDS_RTPS_DEFAULT_D0=132 PATH=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin:/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin:/usr/local/bin:/usr/bin:/bin:/usr/sbin:/sbin:/usr/ccs/bin: PWD=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1 ROOT_BUILD_CAUSE=SCMTRIGGER ROOT_BUILD_CAUSE_SCMTRIGGER=true RUN_ARTIFACTS_DISPLAY_URL=http://jenkins.ociweb.com:8080/job/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/1586/display/redirect?page=artifacts RUN_CHANGES_DISPLAY_URL=http://jenkins.ociweb.com:8080/job/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/1586/display/redirect?page=changes RUN_DISPLAY_URL=http://jenkins.ociweb.com:8080/job/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/1586/display/redirect RUN_TESTS_DISPLAY_URL=http://jenkins.ociweb.com:8080/job/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/1586/display/redirect?page=tests SHELL=/bin/bash SHLVL=1 SSH_CLIENT=10.201.200.26 51908 22 SSH_CONNECTION=10.201.200.26 51908 10.201.200.79 22 TAO_ROOT=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/TAO USER=jenkins WORKSPACE=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1 WORKSPACE_TMP=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1@tmp

PrintACEConfig

Fri Apr 28 20:24:54 2023 UTC

XML Config file: /tmp/looc5x8v04.xml
================ Autobuild ChangeLog ================
================ ACE OCIChangeLog ================
Fri Feb  3 16:15:46 UTC 2023  Adam Mitz  <mitza@objectcomputing.com>
================ TAO OCIChangeLog ================
Fri Feb  3 16:15:46 UTC 2023  Adam Mitz  <mitza@objectcomputing.com>
================ config.h ================
#include "ace/config-linux.h"
================ default.features ================
ipv6=1
================ platform_macros.GNU ================
debug=1
optimize=0
ipv6=1

include $(ACE_ROOT)/include/makeinclude/platform_linux.GNU

print make Version

Fri Apr 28 20:24:54 2023 UTC

Make version (make -v)

GNU Make 3.81 Copyright (C) 2006 Free Software Foundation, Inc. This is free software; see the source for copying conditions. There is NO warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. This program built for x86_64-redhat-linux-gnu

CMake Version ("/tao_builds/taoadmin/cmake/bin/cmake" --version)

Fri Apr 28 20:24:54 2023 UTC

cmake version 3.15.1

CMake suite maintained and supported by Kitware (kitware.com/cmake).

check compiler gcc

Fri Apr 28 20:24:55 2023 UTC

================ Compiler version ================
Using built-in specs.
Target: x86_64-redhat-linux
Configured with: ../configure --prefix=/usr --mandir=/usr/share/man --infodir=/usr/share/info --with-bugurl=http://bugzilla.redhat.com/bugzilla --enable-bootstrap --enable-shared --enable-threads=posix --enable-checking=release --with-system-zlib --enable-__cxa_atexit --disable-libunwind-exceptions --enable-gnu-unique-object --enable-languages=c,c++,objc,obj-c++,java,fortran,ada --enable-java-awt=gtk --disable-dssi --with-java-home=/usr/lib/jvm/java-1.5.0-gcj-1.5.0.0/jre --enable-libgcj-multifile --enable-java-maintainer-mode --with-ecj-jar=/usr/share/java/eclipse-ecj.jar --disable-libjava-multilib --with-ppl --with-cloog --with-tune=generic --with-arch_32=i686 --build=x86_64-redhat-linux
Thread model: posix
gcc version 4.4.7 20120313 (Red Hat 4.4.7-17) (GCC) 
GNU ld version 2.20.51.0.2-5.44.el6 20100205

PrintAutobuildConfig

Fri Apr 28 20:24:55 2023 UTC

================ Autobuild file /tmp/looc5x8v04.xml ================
<autobuild>
  <configuration>
    <environment name="ACE_ROOT"        value="/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE"/>
    <variable name="cmake_command" value="/tao_builds/taoadmin/cmake/bin/cmake"/>
    <environment name="DDS_ROOT"        value="/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS"/>
    <environment name="LD_LIBRARY_PATH" value="/usr/sfw/lib:/usr/local/lib:/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/lib:/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/lib:"/>
    <environment name="OPENDDS_RTPS_DEFAULT_D0" value="132"/>
    <environment name="PATH"            value="/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin:/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin:/usr/local/bin:/usr/bin:/bin:/usr/sbin:/sbin:/usr/ccs/bin:"/>
    <environment name="TAO_ROOT"        value="/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/TAO"/>
    <environment name="ACE_TEST_LOG_STUCK_STACKS"  value="1"/>
    <variable    name="build_name"      value="gcc_i1d1o0"/>
    <variable    name="creator"         value="mitza"/>
    <variable    name="log_file"        value="gcc_i1d1o0.log"/>
    <variable    name="log_root"        value="/www-docs/autobuild_logs/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1"/>
    <variable    name="project_root"    value="/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS"/>
    <variable    name="root"            value="/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1"/>
    <variable    name="junit_xml_output" value="Tests"/>
  </configuration>

  <!-- Build preparation -->
  <command name="status"             options="on"/>
  <command name="log"                options="on"/>
  <command name="git"                options="dir=OpenDDS log -1"/>
  <command name="rem_sems"/>
  <command name="file_manipulation"  options="type=create file=setenv.sh">
export ACE_ROOT="${ACE_WORKSPACE}/ACE"
export DDS_ROOT="${WORKSPACE}/OpenDDS"
export LD_LIBRARY_PATH="/usr/sfw/lib:/usr/local/lib:${WORKSPACE}/OpenDDS/lib:${ACE_WORKSPACE}/ACE/lib:"
export MPC_ROOT="/home/taoadmin/MPC"
export PATH="${WORKSPACE}/OpenDDS/bin:${ACE_WORKSPACE}/ACE/bin:/usr/local/bin:/usr/bin:/bin:/usr/sbin:/sbin:/usr/ccs/bin:"
export TAO_ROOT="${ACE_WORKSPACE}/TAO"
export ACE_TEST_LOG_STUCK_STACKS=1
export OPENDDS_RTPS_DEFAULT_D0=132
  </command>
  <command name="file_manipulation"  options="type=create file=OpenDDS/user_macros.GNU">
content_subscription=0
ownership_profile=0
object_model_profile=0
persistence_profile=0
  </command>
  <command name="print_os_version"/>
  <command name="print_env_vars"/>
  <command name="print_ace_config"   options="ACE=OCIChangeLog TAO=OCIChangeLog CIAO=OCIChangeLog XML_URL=https://svn.ociweb.com/viewvc/nightly"/>
  <command name="print_make_version"/>
  <command name="print_cmake_version"/>
  <command name="check_compiler"     options="gcc"/>
  <command name="print_autobuild_config"/>
  <command name="generate_workspace" options="-type gnuace DDS.mwc -features content_subscription=0,ownership_profile=0,object_model_profile=0,persistence_profile=0"/>

  <!-- Build dependencies -->
  <command name="make" options="-s -k -j2 find=*akefile dir=. depend"/>
  <command name="cmake" dir="OpenDDS/tests/googletest">
    <arg name="add_config_args">-Wno-deprecated</arg>
    <arg name="var_CMAKE_INSTALL_PREFIX">install</arg>
    <arg name="var_CMAKE_INSTALL_LIBDIR">lib</arg>
    <arg name="add_build_args">--target install -- -s -k -j2</arg>
  </command>

  <!-- Build targets -->
  <command name="make" options="-s -k -j2 find=*akefile dir=."/>

  <!-- Post build -->
  <command name="auto_run_tests"  options="script_path=tests dir=. -Config DDS_NO_OBJECT_MODEL_PROFILE -Config DDS_NO_OWNERSHIP_PROFILE -Config DDS_NO_PERSISTENCE_PROFILE -Config DDS_NO_CONTENT_SUBSCRIPTION -Config IPV6"/>
  <command name="log"             options="off"/>
  <command name="process_logs"    options="copy=1 prettify=1"/>
  <command name="status"          options="off"/>
</autobuild>

Setup #

Generate Workspaces

Fri Apr 28 20:24:55 2023 UTC

Running: perl "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin/mwc.pl" -type gnuace DDS.mwc -features content_subscription=0,ownership_profile=0,object_model_profile=0,persistence_profile=0
MPC_ROOT was set to /tao_builds/jenkins/workspace/mpc_flea.
Using .../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin/MakeProjectCreator/config/MPC.cfg
CIAO_ROOT was used in the configuration file, but was not defined.
DANCE_ROOT was used in the configuration file, but was not defined.
Generating 'gnuace' output using DDS.mwc
Skipping ishapes (ishapes.mpc); it requires qt5.
Skipping Bench_dashboard_summarizer (Bench_dashboard_summarizer.mpc); it avoids no_cxx11.
Skipping Bench_Common (Bench_Common.mpc); it avoids no_cxx11.
Skipping Bench_udp_latency (Bench_udp_latency.mpc); it avoids no_cxx11.
Skipping Bench_Idl (Bench_Idl.mpc); it avoids no_cxx11.
Skipping Bench_Worker (Bench_Worker.mpc); it avoids no_cxx11.
Skipping Unit_Tests_ScenarioAllocationTest (unit_tests.mpc); it avoids no_rapidjson.
Skipping Bench_test_controller (Bench_test_controller.mpc); it avoids no_cxx11.
Skipping Bench_Builder (Bench_Builder.mpc); it avoids no_cxx11.
Skipping Bench_tcp_latency (Bench_tcp_latency.mpc); it avoids no_cxx11.
Skipping Bench_report_parser (Bench_report_parser.mpc); it avoids no_cxx11.
Skipping Bench_node_controller (Bench_node_controller.mpc); it avoids no_cxx11.
Skipping dissector (dissector.mpc); it requires wireshark_any.
Skipping RtpsRelay (RtpsRelay.mpc); it requires xerces.
Skipping ExcelRTD (ExcelRTD.mpc); it requires qt5.
Skipping RtpsRelayLib (RtpsRelayLib.mpc); it avoids no_cxx11.
Skipping Monitor_App (Monitor.mpc); it requires qt5.
Skipping OpenDDS_Security (DdsSecurity.mpc); it requires xerces.
Skipping OpenDDS_XML_QOS_XSC_Generation (qos_xml_handler.mpc); it requires xsc.
Skipping OpenDDS_QOS_XML_XSC_Handler (qos_xml_handler.mpc); it requires xerces.
Skipping OpenDDS_Corba (OpenDDS_Corba.mpc); it avoids no_opendds_safety_profile.
Skipping DDS_Ownership_Idl (Ownership.mpc); it requires ownership_profile.
Skipping DDS_Ownership_Publisher (Ownership.mpc); it requires ownership_profile.
Skipping DDS_Ownership_Subscriber (Ownership.mpc); it requires ownership_profile.
Skipping MetaStructTest (MetaStructTest.mpc); it requires content_subscription.
Skipping ParticipantLocationTopic (ParticipantLocation.mpc); it requires xerces.
Skipping UnionTopic (UnionTopic.mpc); it requires ownership_profile.
Skipping Presentation (Presentation.mpc); it requires object_model_profile.
Skipping DDS_Cxx11_Messenger_Subscriber (DDS_Cxx11_Messenger_Subscriber.mpc); it avoids no_cxx11.
Skipping DDS_Cxx11_Messenger_Idl (DDS_Cxx11_Messenger_Idl.mpc); it avoids no_cxx11.
Skipping DDS_Cxx11_Messenger_Publisher (DDS_Cxx11_Messenger_Publisher.mpc); it avoids no_cxx11.
Skipping SubscriberCycle_Subscriber (SubscriberCycle.mpc); it requires content_subscription.
Skipping TopicExpressionTest (TopicExpressionTest.mpc); it requires content_subscription.
Skipping FilterExpressionTest (FilterExpressionTest.mpc); it requires content_subscription.
Skipping DDS_SampleLost_Publisher (SampleLost.mpc); it requires persistence_profile.
Skipping DDS_SampleLost_Subscriber (SampleLost.mpc); it requires persistence_profile.
Skipping keywords_cpp11 (keywords_cpp11.mpc); it avoids no_cxx11.
Skipping anonymous_types_cpp11 (anonymous_types_cpp11.mpc); it avoids no_cxx11.
Skipping cxx11_idl_test2_lib (cxx11_idl_test2_lib.mpc); it avoids no_cxx11.
Skipping cxx11_idl_test3_lib (cxx11_idl_test3_lib.mpc); it avoids no_cxx11.
Skipping cxx11_idl_test3_main (cxx11_idl_test3_main.mpc); it avoids no_cxx11.
Skipping cxx11_idl_test1_lib (cxx11_idl_test1_lib.mpc); it avoids no_cxx11.
Skipping cxx11_idl_test_nested_types_lib (cxx11_idl_test_nested_types_lib.mpc); it avoids no_cxx11.
Skipping cxx11_idl_test1_main (cxx11_idl_test1_main.mpc); it avoids no_cxx11.
Skipping Compiler_Typecode_C++11 (typecode_C++11.mpc); it avoids no_cxx11.
Skipping VreadVwriteTest (VreadVwriteTest.mpc); it avoids no_rapidjson.
Skipping Compiler_TryConstruct_C++11 (TryConstruct_C++11.mpc); it avoids no_cxx11.
Skipping union_defaults_C++11 (union_defaults_C++11.mpc); it avoids no_cxx11.
Skipping underscore_fields (underscore_fields.mpc); it avoids no_cxx11.
Skipping explicit_ints_cpp11 (explicit_ints_cpp11.mpc); it avoids no_cxx11.
Skipping char_literals_cpp11 (char_literals_cpp11.mpc); it avoids no_cxx11.
Skipping DDS_GroupPresentation_Idl (GroupPresentation.mpc); it requires object_model_profile.
Skipping DDS_GroupPresentation_Publisher (GroupPresentation.mpc); it requires object_model_profile.
Skipping DDS_GroupPresentation_Subscriber (GroupPresentation.mpc); it requires object_model_profile.
Skipping DDS_PersistentDurability_Publisher (PersistentDurability.mpc); it requires persistence_profile.
Skipping DDS_PersistentDurability_Subscriber (PersistentDurability.mpc); it requires persistence_profile.
Skipping StaticDiscoveryTest (StaticDiscoveryTest.mpc); it requires ownership_profile.
Skipping QueryCondition (QueryCondition.mpc); it requires content_subscription.
Skipping ContentFilteredTopic (ContentFilteredTopic.mpc); it requires content_subscription.
Skipping DDS_Inconsistent_Qos_Inconsistent_Qos (Inconsistent_Qos.mpc); it requires xerces.
Skipping DDS_TransientDurability_Publisher (TransientDurability.mpc); it requires persistence_profile.
Skipping DDS_TransientDurability_Subscriber (TransientDurability.mpc); it requires persistence_profile.
Skipping RtpsRelayPublisher (Smoke.mpc); it avoids no_cxx11.
Skipping RtpsRelaySubscriber (Smoke.mpc); it avoids no_cxx11.
Skipping RtpsRelayMonitor (Smoke.mpc); it avoids no_cxx11.
Skipping RtpsRelayMetaChecker (Smoke.mpc); it avoids no_cxx11.
Skipping StunClient (STUN.mpc); it avoids no_cxx11.
Skipping MultiTopicTest_Classic (MultiTopicTest_Classic.mpc); it requires content_subscription.
Skipping MultiTopicTest_Cpp11 (MultiTopicTest_Cpp11.mpc); it requires content_subscription.
Skipping qos_dumpXMLString (qos_dumpXMLString.mpc); it requires xerces.
Skipping qos_dump (qos_dump.mpc); it requires xerces.
Skipping Security_TopicWithoutPermissions (TopicWithoutPermissions.mpc); it requires xerces.
Skipping CheckInstance (CheckInstance.mpc); it requires xerces.
Skipping Security_IDL_Serialization (IDL_Serialization.mpc); it avoids no_opendds_security.
Skipping DDS_SecurityAttributesTest_Idl (SecurityAttributesTest.mpc); it avoids no_opendds_security.
Skipping DDS_SecurityAttributesTest_Publisher (SecurityAttributesTest.mpc); it requires xerces.
Skipping DDS_SecurityAttributesTest_Subscriber (SecurityAttributesTest.mpc); it requires xerces.
Skipping gov_gen (SecurityAttributesTest.mpc); it requires xerces.
Skipping ConcurrentAuthLimit (ConcurrentAuthLimit.mpc); it requires xerces.
Skipping SingleParticipantWithSecurity (SingleParticipantWithSecurity.mpc); it requires xerces.
Skipping idl2jni_corba (idl2jni_corba.mpc); it requires java.
Skipping idl2jni_codegen (idl2jni_codegen.mpc); it requires java.
Skipping idl2jni_runtime (idl2jni_runtime.mpc); it requires java.
Skipping idl2jni_test_union (idl2jni_test_union.mpc); it requires java.
Skipping idl2jni_test_explicit_ints (idl2jni_test_explicit_ints.mpc); it requires java.
Skipping idl2jni_test_simple (idl2jni_test_simple.mpc); it requires java.
Skipping dcps_java (dcps_java.mpc); it requires java.
Skipping participant_location_test (participant_location_test.mpc); it requires java.
Skipping multirepo_test (multirepo_test.mpc); it requires java.
Skipping complex_idl_test (complex_idl_test.mpc); it requires java.
Skipping builtintopics_test (builtintopics_test.mpc); it requires java.
Skipping publisher_idl_test (publisher_idl_test.mpc); it requires java.
Skipping java_both_test (java_both_test.mpc); it requires java.
Skipping subscriber_idl_test (subscriber_idl_test.mpc); it requires java.
Skipping messenger_idl_test (messenger_idl_test.mpc); it requires java.
Skipping transport_config (transport_config.mpc); it requires java.
Skipping java_vread_vwrite_test (vread_vwrite_test.mpc); it requires java.
Skipping internal_thread_status_test (internal_thread_status_test.mpc); it requires java.
Skipping hello_java_client (hello_java_client.mpc); it requires java.
Skipping two_idl (two_idl.mpc); it requires java.
Skipping zerocopy_java_test (zerocopy.mpc); it requires java.
Skipping tao_java (tao_java.mpc); it requires java.
Generation Time: 2m 5s

Compile #

make

Fri Apr 28 20:27:02 2023 UTC

Pattern: *akefile
Running: make -f GNUmakefile -s -k -j2   depend
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Info.idl.
GNUmakefile idl dependencies unchanged for DataReaderRemote.idl.
GNUmakefile idl dependencies unchanged for DataWriterRemote.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for DdsDcpsGuid.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsCore.idl.
GNUmakefile idl dependencies unchanged for OpenddsDcpsExt.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsInfoUtils.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsConditionSeq.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsDataReaderSeq.idl.
GNUmakefile idl dependencies unchanged for DdsSecurityParams.idl.
GNUmakefile idl dependencies unchanged for DdsSecurityCore.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsGuidTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsCoreTypeSupport.idl.
GNUmakefile idl dependencies unchanged for OpenddsDcpsExtTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsInfrastructureTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DdsDcps.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsDomain.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsInfrastructure.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsPublication.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsSubscription.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsSubscriptionExt.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsTopic.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsTypeSupportExt.idl.
GNUmakefile idl dependencies unchanged for DdsDynamicDataSeq.idl.
GNUmakefile idl dependencies unchanged for DdsDynamicData.idl.
GNUmakefile idl dependencies unchanged for DdsDynamicTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for RtpsCore.idl.
GNUmakefile idl dependencies unchanged for RtpsSecurity.idl.
GNUmakefile idl dependencies unchanged for RtpsRpc.idl.
GNUmakefile idl dependencies unchanged for TypeLookup.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FaceMessageTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Federator.idl.
GNUmakefile idl dependencies unchanged for FederatorTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FileInfoTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FileInfo.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for monitor.idl.
GNUmakefile idl dependencies unchanged for monitorTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for StockQuoterTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for StockQuoter.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Sync.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for SyncExt.idl.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for SyncExt.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for SyncExt.idl.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for SyncExt.idl.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for PTDefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for PTDef.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Test.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for DDSPerfTestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DDSPerfTest.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for testMessageTypeSupport.idl.
GNUmakefile idl dependencies unchanged for testMessageTypeSupport.idl.
GNUmakefile idl dependencies unchanged for testMessage.idl.
GNUmakefile idl dependencies unchanged for testMessage.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for BuilderTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Builder.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for ZeroEnumTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ZeroEnum.idl.
GNUmakefile idl dependencies unchanged for Extensibility.idl.
GNUmakefile idl dependencies unchanged for ExtensibilityTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TryConstructBaseTypes.idl.
GNUmakefile idl dependencies unchanged for NestedTrimStruct.idl.
GNUmakefile idl dependencies unchanged for AnonTypesTypeSupport.idl.
GNUmakefile idl dependencies unchanged for TryConstructTypeSupport.idl.
GNUmakefile idl dependencies unchanged for TryConstruct.idl.
GNUmakefile idl dependencies unchanged for AnonTypes.idl.
GNUmakefile idl dependencies unchanged for NestedTrimStructTypeSupport.idl.
GNUmakefile idl dependencies unchanged for TryConstructBaseTypesTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for testTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ../test.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for testTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ../test.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for testTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ../test.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile idl dependencies unchanged for NamespacesTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Namespaces.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for BazDefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for BazDef.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile idl dependencies unchanged for FooDef2TypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDef2.idl.
GNUmakefile idl dependencies unchanged for FooDef3.idl.
GNUmakefile idl dependencies unchanged for SharedTypes.idl.
GNUmakefile idl dependencies unchanged for SharedTypesTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDef3TypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for NestedTypesTest.idl.
GNUmakefile idl dependencies unchanged for NestedTypesTestTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for ../is_topic_type.idl.
GNUmakefile idl dependencies unchanged for is_topic_typeTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ../default_nested_is_topic_type.idl.
GNUmakefile idl dependencies unchanged for default_nested_is_topic_typeTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for ../is_topic_type.idl.
GNUmakefile idl dependencies unchanged for is_topic_typeTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ../default_nested_is_topic_type.idl.
GNUmakefile idl dependencies unchanged for default_nested_is_topic_typeTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for StructTest.idl.
GNUmakefile idl dependencies unchanged for UnionTestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for StructTestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for UnionTest.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for key_annotation.idl.
GNUmakefile idl dependencies unchanged for key_annotationTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for testTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for ../test.idl.
GNUmakefile idl dependencies unchanged for Nsc.idl.
GNUmakefile idl dependencies unchanged for NscTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for sequenceTypeSupport.idl.
GNUmakefile idl dependencies unchanged for sequence.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for typecodeTypeSupport.idl.
GNUmakefile idl dependencies unchanged for typecode.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TypeObjectTestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for TypeObjectTest.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for union_defaultsTypeSupport.idl.
GNUmakefile idl dependencies unchanged for union_defaults.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for appendable_mixed.idl.
GNUmakefile idl dependencies unchanged for mutable_types2TypeSupport.idl.
GNUmakefile idl dependencies unchanged for mutable_typesTypeSupport.idl.
GNUmakefile idl dependencies unchanged for xcdrbasetypes.idl.
GNUmakefile idl dependencies unchanged for keyonly.idl.
GNUmakefile idl dependencies unchanged for appendable_mixedTypeSupport.idl.
GNUmakefile idl dependencies unchanged for keyonlyTypeSupport.idl.
GNUmakefile idl dependencies unchanged for xcdrbasetypesTypeSupport.idl.
GNUmakefile idl dependencies unchanged for mutable_types.idl.
GNUmakefile idl dependencies unchanged for mutable_types2.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for Messenger2TypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Messenger2.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for DataRepresentation.idl.
GNUmakefile idl dependencies unchanged for FooTypeTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DataRepresentationTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooType.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for DelayedDurableTypeSupport.idl.
GNUmakefile idl dependencies unchanged for TestFramework.idl.
GNUmakefile idl dependencies unchanged for DelayedDurable.idl.
GNUmakefile idl dependencies unchanged for TestFrameworkTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for HelloWorld.idl.
GNUmakefile idl dependencies unchanged for HelloWorld.idl.
GNUmakefile idl dependencies unchanged for HelloWorldTypeSupport.idl.
GNUmakefile idl dependencies unchanged for HelloWorldTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for DynamicResponseNotCompleteTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DynamicResponseTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DynamicResponse.idl.
GNUmakefile idl dependencies unchanged for DynamicResponseNotComplete.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for dynamic.idl.
GNUmakefile idl dependencies unchanged for dynamicTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for HelloWorld.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for HelloWorldTypeSupport.idl.
GNUmakefile idl dependencies unchanged for HelloWorld.idl.
GNUmakefile idl dependencies unchanged for HelloWorldTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile idl dependencies unchanged for NoKeyData.idl.
GNUmakefile idl dependencies unchanged for NoKeyDataTypeSupport.idl.
GNUmakefile idl dependencies unchanged for KeyedData.idl.
GNUmakefile idl dependencies unchanged for KeyedDataTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for BoundTest2TypeSupport.idl.
GNUmakefile idl dependencies unchanged for KeyTest2TypeSupport.idl.
GNUmakefile idl dependencies unchanged for BoundTestTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for KeyTestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for KeyTest.idl.
GNUmakefile idl dependencies unchanged for KeyTest2.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for BoundTest.idl.
GNUmakefile idl dependencies unchanged for BoundTest2.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Satellite.idl.
GNUmakefile idl dependencies unchanged for SatelliteTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Foo4Def.idl.
GNUmakefile idl dependencies unchanged for Foo1DefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Foo4DefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Foo1Def.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Foo3Def.idl.
GNUmakefile idl dependencies unchanged for Foo2Def.idl.
GNUmakefile idl dependencies unchanged for Foo3DefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Foo2DefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Foo1DefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Foo1Def.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for MyTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TestMsg.idl.
GNUmakefile idl dependencies unchanged for TestMsgTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Test.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Test.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for GeneratedCode/MessengerTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Reliability.idl.
GNUmakefile idl dependencies unchanged for ReliabilityTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TestMsg.idl.
GNUmakefile idl dependencies unchanged for TestMsgTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for SkipSerializeTypeSupport.idl.
GNUmakefile idl dependencies unchanged for SkipSerialize.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TestMsg.idl.
GNUmakefile idl dependencies unchanged for TestMsgTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TypeSupportPlugin.idl.
GNUmakefile idl dependencies unchanged for TypeSupportPluginTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Simple.idl.
GNUmakefile idl dependencies unchanged for Test.idl.
GNUmakefile idl dependencies unchanged for SimpleTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Test.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Simple.idl.
GNUmakefile idl dependencies unchanged for SimpleTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Common.idl.
GNUmakefile idl dependencies unchanged for Subscriber.idl.
GNUmakefile idl dependencies unchanged for CommonTypeSupport.idl.
GNUmakefile idl dependencies unchanged for SubscriberTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Common.idl.
GNUmakefile idl dependencies unchanged for PublisherNonMutableStructs.idl.
GNUmakefile idl dependencies unchanged for PublisherMutableStructs.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for PublisherUnions.idl.
GNUmakefile idl dependencies unchanged for CommonTypeSupport.idl.
GNUmakefile idl dependencies unchanged for PublisherNonMutableStructsTypeSupport.idl.
GNUmakefile idl dependencies unchanged for PublisherMutableStructsTypeSupport.idl.
GNUmakefile idl dependencies unchanged for PublisherUnionsTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Simple.idl.
GNUmakefile idl dependencies unchanged for SimpleTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for ZeroEnumTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ZeroEnum.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for ZeroEnumTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ZeroEnum.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FaceMessageTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for NamespacesTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for BazDefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooDef3TypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDef2TypeSupport.idl.
GNUmakefile idl dependencies unchanged for SharedTypesTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for topTypeSupport.idl.
GNUmakefile idl dependencies unchanged for struct_onlyTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for typedef_onlyTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FixedTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for SampleModelTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FaceHeaderTestMsgTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FaceMessageTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FaceMessageTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FaceMessageTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for transmission_specsTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ecuTypeSupport.idl.
GNUmakefile idl dependencies unchanged for engine_specsTypeSupport.idl.
GNUmakefile idl dependencies unchanged for fuel_statsTypeSupport.idl.
GNUmakefile idl dependencies unchanged for transmission_statesTypeSupport.idl.
GNUmakefile idl dependencies unchanged for engine/engine_specs.idl.
GNUmakefile idl dependencies unchanged for engine/engine_stats/fuel_stats.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for transmission/transmission_specs.idl.
GNUmakefile idl dependencies unchanged for transmission/transmission_states.idl.
GNUmakefile idl dependencies unchanged for ecu.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for CompleteToMinimalTypeObjectTypeSupport.idl.
GNUmakefile idl dependencies unchanged for XTypesUtilsTypeSupport.idl.
GNUmakefile idl dependencies unchanged for CompleteToDynamicTypeTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DynamicDataAdapterTypeSupport.idl.
GNUmakefile idl dependencies unchanged for key_annotationTypeSupport.idl.
GNUmakefile idl dependencies unchanged for dds/DCPS/XTypes/CompleteToDynamicType.idl.
GNUmakefile idl dependencies unchanged for dds/DCPS/XTypes/CompleteToMinimalTypeObject.idl.
GNUmakefile idl dependencies unchanged for dds/DCPS/XTypes/DynamicDataXcdrReadImpl.idl.
GNUmakefile idl dependencies unchanged for dds/DCPS/XTypes/DynamicDataImpl.idl.
GNUmakefile idl dependencies unchanged for dds/DCPS/XTypes/XTypesUtils.idl.
GNUmakefile idl dependencies unchanged for dds/DCPS/XTypes/DynamicDataAdapter.idl.
GNUmakefile idl dependencies unchanged for ../DCPS/Compiler/key_annotation/key_annotation.idl.
GNUmakefile dependencies unchanged.

cmake

Fri Apr 28 20:28:49 2023 UTC

-- The C compiler identification is GNU 4.4.7
-- The CXX compiler identification is GNU 4.4.7
-- Check for working C compiler: /usr/bin/cc
-- Check for working C compiler: /usr/bin/cc -- works
-- Detecting C compiler ABI info
-- Detecting C compiler ABI info - done
-- Detecting C compile features
-- Detecting C compile features - done
-- Check for working CXX compiler: /usr/bin/c++
-- Check for working CXX compiler: /usr/bin/c++ -- works
-- Detecting CXX compiler ABI info
-- Detecting CXX compiler ABI info - done
-- Detecting CXX compile features
-- Detecting CXX compile features - done
-- Found PythonInterp: /usr/bin/python (found version "2.6.6") 
-- Looking for pthread.h
-- Looking for pthread.h - found
-- Performing Test CMAKE_HAVE_LIBC_PTHREAD
-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Failed
-- Check if compiler accepts -pthread
-- Check if compiler accepts -pthread - yes
-- Found Threads: TRUE  
-- Configuring done
-- Generating done
-- Build files have been written to: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build
Scanning dependencies of target gtest
[ 12%] Building CXX object googlemock/gtest/CMakeFiles/gtest.dir/src/gtest-all.cc.o
[ 25%] Linking CXX static library libgtest.a
[ 25%] Built target gtest
Scanning dependencies of target gtest_main
[ 37%] Building CXX object googlemock/gtest/CMakeFiles/gtest_main.dir/src/gtest_main.cc.o
Scanning dependencies of target gmock
[ 50%] Building CXX object googlemock/CMakeFiles/gmock.dir/src/gmock-all.cc.o
[ 62%] Linking CXX static library libgtest_main.a
[ 62%] Built target gtest_main
[ 75%] Linking CXX static library libgmock.a
[ 75%] Built target gmock
Scanning dependencies of target gmock_main
[ 87%] Building CXX object googlemock/CMakeFiles/gmock_main.dir/src/gmock_main.cc.o
[100%] Linking CXX static library libgmock_main.a
[100%] Built target gmock_main
Install the project...
-- Install configuration: ""
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-matchers.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-function-mockers.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-cardinalities.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-actions.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/gmock-generated-internal-utils.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/gmock-internal-utils.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/gmock-port.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/gmock-generated-internal-utils.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/custom
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/custom/gmock-generated-actions.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/custom/README.md
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/custom/gmock-port.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/custom/gmock-generated-actions.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/custom/gmock-matchers.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-more-matchers.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-spec-builders.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-more-actions.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-nice-strict.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-actions.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-nice-strict.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-matchers.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-actions.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-function-mockers.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-matchers.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/libgmock.a
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/libgmock_main.a
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/pkgconfig/gmock.pc
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/pkgconfig/gmock_main.pc
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/cmake/GTest/GTestTargets.cmake
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/cmake/GTest/GTestTargets-noconfig.cmake
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/cmake/GTest/GTestConfigVersion.cmake
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/cmake/GTest/GTestConfig.cmake
-- Up-to-date: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-message.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-param-test.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-spi.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-printers.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-port.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-tuple.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-type-util.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-death-test-internal.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-tuple.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-port-arch.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-internal.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-param-util-generated.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-param-util.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-linked_ptr.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-filepath.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-string.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/custom
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/custom/gtest-port.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/custom/README.md
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/custom/gtest-printers.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/custom/gtest.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-param-util-generated.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-type-util.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest_pred_impl.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest_prod.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-param-test.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-test-part.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-death-test.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-typed-test.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/libgtest.a
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/libgtest_main.a
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/pkgconfig/gtest.pc
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/pkgconfig/gtest_main.pc

make

Fri Apr 28 20:28:58 2023 UTC

Pattern: *akefile
Running: make -f GNUmakefile -s -k -j2  

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_IOGR_Imr/GNUmakefile.DDS_Messenger_IOGR_Imr_Aggregator #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/GNUmakefile.OpenDDS_Util #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/Sync/GNUmakefile.Sync_Idl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/SimpleLatency/raw_tcp/publisher/GNUmakefile.DCPS_Perf_Raw_Tcp_Latency_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/SimpleLatency/raw_tcp/subscriber/GNUmakefile.DCPS_Perf_Raw_Tcp_Latency_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/TCPProfilingTest/raw_tcp/publisher/GNUmakefile.DCPS_Perf_Raw_Tcp_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/TCPProfilingTest/raw_tcp/subscriber/GNUmakefile.DCPS_Perf_Raw_Tcp_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TcpReconnect/GNUmakefile.stub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/Sync/GNUmakefile.Sync_ServerLib #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/idl/GNUmakefile.opendds_idl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/Sync/GNUmakefile.Sync_ClientLib #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/Sync/GNUmakefile.Sync_Server #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/GNUmakefile.OpenDDS_Dcps #

ln -s libOpenDDS_Dcps.so.3.25.0-dev libOpenDDS_Dcps.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger.minimal/GNUmakefile.MessengerMinimal_Idl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/modeling/codegen/model/GNUmakefile.Model_Lib #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger/GNUmakefile.Messenger_Idl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/transport/tcp/GNUmakefile.OpenDDS_Tcp #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/RTPS/GNUmakefile.OpenDDS_Rtps #

ln -s libOpenDDS_Tcp.so.3.25.0-dev libOpenDDS_Tcp.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger_ZeroCopy/GNUmakefile.Messenger_ZeroCopy_Idl #

ln -s libMessenger_ZeroCopy_Idl.so.3.25.0-dev libMessenger_ZeroCopy_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/FACE/GNUmakefile.OpenDDS_FACE #

ln -s libOpenDDS_FACE.so.3.25.0-dev libOpenDDS_FACE.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/transport/multicast/GNUmakefile.OpenDDS_Multicast #

ln -s libOpenDDS_Multicast.so.3.25.0-dev libOpenDDS_Multicast.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/transport/shmem/GNUmakefile.OpenDDS_Shmem #

ln -s libOpenDDS_Shmem.so.3.25.0-dev libOpenDDS_Shmem.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/transport/udp/GNUmakefile.OpenDDS_Udp #

ln -s libOpenDDS_Udp.so.3.25.0-dev libOpenDDS_Udp.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/monitor/GNUmakefile.OpenDDS_monitor #

ln -s libOpenDDS_Rtps.so.3.25.0-dev libOpenDDS_Rtps.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/IntroductionToOpenDDS/GNUmakefile.StockQuoter_Common #

ln -s libStockQuoterCommon.so.3.25.0-dev libStockQuoterCommon.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_IOGR_Imr/GNUmakefile.DDS_Messenger_IOGR_Imr_Idl #

ln -s libDDS_Messenger_IOGR_Imr_Idl.so.3.25.0-dev libDDS_Messenger_IOGR_Imr_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_Imr/GNUmakefile.DDS_Messenger_Imr_Idl #

ln -s libDDS_Messenger_Imr_Idl.so.3.25.0-dev libDDS_Messenger_Imr_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/GNUmakefile.InfoRepo_Population_Idl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/TypeNoKeyBounded/GNUmakefile.DCPS_Perf_TypeNoKeyBounded #

ln -s libDCPS_Perf_TypeNoKeyBounded.so.3.25.0-dev libDCPS_Perf_TypeNoKeyBounded.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/Priority/GNUmakefile.Perf_Priority_Idl #

ln -s libOpenDDS_monitor.so.3.25.0-dev libOpenDDS_monitor.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/SimpleLatency/GNUmakefile.SimpleLatency_Idl #

ln -s libPerf_Priority_Idl.so.3.25.0-dev libPerf_Priority_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/bench/builder_idl/GNUmakefile.Bench_Builder_Idl #

ln -s libSimpleLatency_Idl.so.3.25.0-dev libSimpleLatency_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/AddressLookup/GNUmakefile.AddressLookup #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooType4/GNUmakefile.DcpsFooType4 #

ln -s libDcpsFooType4.so.3.25.0-dev libDcpsFooType4.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConsolidatedMessengerIdl/GNUmakefile.ConsolidatedMessengerIdl #

ln -s libBench_Builder_Idl.so.3.25.0-dev libBench_Builder_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/common/GNUmakefile.common #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/TryConstruct/GNUmakefile.Compiler_TryConstruct #

ln -s libConsolidatedMessengerIdl.so.3.25.0-dev libConsolidatedMessengerIdl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/XtypesExtensibility/GNUmakefile.Compiler_XtypesExtensibility #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/anonymous_types/classic/GNUmakefile.anonymous_types_classic #

ln -s libanonymous_types_classic.so.3.25.0-dev libanonymous_types_classic.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/char_literals/classic/GNUmakefile.char_literals_classic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/explicit_ints/classic/GNUmakefile.explicit_ints_classic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test1_lib/GNUmakefile.idl_test1_lib #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test2_lib/GNUmakefile.idl_test2_lib #

ln -s libidl_test1_lib.so.3.25.0-dev libidl_test1_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test3_lib/GNUmakefile.idl_test3_lib #

ln -s libidl_test2_lib.so.3.25.0-dev libidl_test2_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test_nested_types_lib/GNUmakefile.idl_test_nested_types_lib #

ln -s libidl_test_nested_types_lib.so.3.25.0-dev libidl_test_nested_types_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/is_topic_type/dn/GNUmakefile.is_topic_type_dn #

ln -s libis_topic_type_dn.so.3.25.0-dev libis_topic_type_dn.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/is_topic_type/no_dn/GNUmakefile.is_topic_type_no_dn #

ln -s libidl_test3_lib.so.3.25.0-dev libidl_test3_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/key_annotation/GNUmakefile.key_annotation #

ln -s libis_topic_type_no_dn.so.3.25.0-dev libis_topic_type_no_dn.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/keywords/classic/GNUmakefile.keywords_classic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/typecode/GNUmakefile.Compiler_Typecode #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/typeobject_generator/GNUmakefile.TypeObjectTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/union_defaults/GNUmakefile.union_defaults #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/xcdr/GNUmakefile.xcdr #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CorbaSeq/GNUmakefile.CorbaSeq_Idl #

ln -s libCorbaSeq_Idl.so.3.25.0-dev libCorbaSeq_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooType/GNUmakefile.DcpsFooType #

ln -s libDcpsFooType.so.3.25.0-dev libDcpsFooType.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TestFramework/GNUmakefile.TestFramework #

ln -s libTestFramework.so.3.25.0-dev libTestFramework.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooType5/GNUmakefile.DcpsFooType5 #

ln -s libDcpsFooType5.so.3.25.0-dev libDcpsFooType5.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FileSystemStorage/GNUmakefile.FileSystemStorage #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooType3/GNUmakefile.DcpsFooType3 #

ln -s libDcpsFooType3.so.3.25.0-dev libDcpsFooType3.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooType3Unbounded/GNUmakefile.DcpsFooType3Unbounded #

ln -s libDcpsFooType3Unbounded.so.3.25.0-dev libDcpsFooType3Unbounded.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooType3NoKey/GNUmakefile.DcpsFooType3NoKey #

ln -s libDcpsFooType3NoKey.so.3.25.0-dev libDcpsFooType3NoKey.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/GuardCondition/GNUmakefile.GuardCondition #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Instances/GNUmakefile.DDS_Instances_Idl #

ln -s libDDS_Instances_Idl.so.3.25.0-dev libDDS_Instances_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/Utils/GNUmakefile.TestUtils #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/KeyTest/GNUmakefile.DDS_KeyTest_Idl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/KeyTest/GNUmakefile.KeyTest_MD5 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LargeSample/GNUmakefile.DDS_LargeSample_Idl #

ln -s libDDS_LargeSample_Idl.so.3.25.0-dev libDDS_LargeSample_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessKeepAliveTest/GNUmakefile.DDS_LivelinessKeepAliveTest_Idl #

ln -s libDDS_LivelinessKeepAliveTest_Idl.so.3.25.0-dev libDDS_LivelinessKeepAliveTest_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/GNUmakefile.DDS_ManyTopicMultiProcess_Idl #

ln -s libDDS_ManyTopicMultiProcess_Idl.so.3.25.0-dev libDDS_ManyTopicMultiProcess_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTypes/GNUmakefile.ManyTopicTypes #

ln -s libManyTopicTypes.so.3.25.0-dev libManyTopicTypes.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/GNUmakefile.DDS_Messenger_Idl #

ln -s libDDS_KeyTest_Idl.so.3.25.0-dev libDDS_KeyTest_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Partition/GNUmakefile.DDS_Partition_Idl #

ln -s libDDS_Messenger_Idl.so.3.25.0-dev libDDS_Messenger_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Priority/GNUmakefile.DDS_Priority_Idl #

ln -s libDDS_Partition_Idl.so.3.25.0-dev libDDS_Partition_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Reliability/IDL/GNUmakefile.Reliability #

ln -s libDDS_Priority_Idl.so.3.25.0-dev libDDS_Priority_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SkipSerialize/GNUmakefile.DDS_SkipSerialize_Idl #

ln -s libReliability.so.3.25.0-dev libReliability.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StringKey/GNUmakefile.DDS_StringKey_Idl #

ln -s libDDS_SkipSerialize_Idl.so.3.25.0-dev libDDS_SkipSerialize_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TcpReconnect/GNUmakefile.DDS_TcpReconnect_Idl #

ln -s libDDS_StringKey_Idl.so.3.25.0-dev libDDS_StringKey_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TypeSupportPlugin/GNUmakefile.TypeSupportPlugin #

ln -s libDDS_TcpReconnect_Idl.so.3.25.0-dev libDDS_TcpReconnect_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TypeSupportPlugin/GNUmakefile.TypeSupportPluginUser #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/WaitForAck/GNUmakefile.WaitForAck_Idl #

ln -s libTypeSupportPlugin.so.3.25.0-dev libTypeSupportPlugin.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Idl/GNUmakefile.FaceMessengerIdl #

ln -s libWaitForAck_Idl.so.3.25.0-dev libWaitForAck_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test1_lib/GNUmakefile.FACE_idl_test1_lib #

ln -s libFaceMessengerIdl.so.3.25.0-dev libFaceMessengerIdl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test2_lib/GNUmakefile.FACE_idl_test2_lib #

ln -s libFACE_idl_test1_lib.so.3.25.0-dev libFACE_idl_test1_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test3_lib/GNUmakefile.FACE_idl_test3_lib #

ln -s libFACE_idl_test2_lib.so.3.25.0-dev libFACE_idl_test2_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test4_lib/GNUmakefile.FACE_idl_test4_lib #

ln -s libFACE_idl_test4_lib.so.3.25.0-dev libFACE_idl_test4_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test_fixed/GNUmakefile.FACE_idl_test_fixed #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Conformance/GNUmakefile.FaceConformanceIdl #

ln -s libFaceConformanceIdl.so.3.25.0-dev libFaceConformanceIdl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Header/Idl/GNUmakefile.FaceHeaderTestIdl #

ln -s libFaceHeaderTestIdl.so.3.25.0-dev libFaceHeaderTestIdl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/MultiDomainMessenger/Idl/GNUmakefile.FaceMultiDomainMessengerIdl #

ln -s libFaceMultiDomainMessengerIdl.so.3.25.0-dev libFaceMultiDomainMessengerIdl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Idl/GNUmakefile.FacePartitionIdl #

ln -s libFACE_idl_test3_lib.so.3.25.0-dev libFACE_idl_test3_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/SingleProcessMessenger/Idl/GNUmakefile.FaceMessengerSingleProcessIdl #

ln -s libFacePartitionIdl.so.3.25.0-dev libFacePartitionIdl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/TestMsg/GNUmakefile.DDS_tests_transport_testmsg #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/network_resource/GNUmakefile.NetworkResource #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/InfoRepoDiscovery/GNUmakefile.OpenDDS_InfoRepoDiscovery #

ln -s libOpenDDS_InfoRepoDiscovery.so.3.25.0-dev libOpenDDS_InfoRepoDiscovery.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/transport/rtps_udp/GNUmakefile.OpenDDS_Rtps_Udp #

ln -s libFaceMessengerSingleProcessIdl.so.3.25.0-dev libFaceMessengerSingleProcessIdl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger_ZeroCopy/GNUmakefile.Messenger_ZeroCopy_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger_ZeroCopy/GNUmakefile.Messenger_ZeroCopy_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/FACE/Simple/GNUmakefile.FaceMessenger_Idl #

ln -s libFaceMessenger_Idl.so.3.25.0-dev libFaceMessenger_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/InfoRepo/GNUmakefile.DCPSInfoRepo_Lib #

ln -s libOpenDDS_Rtps_Udp.so.3.25.0-dev libOpenDDS_Rtps_Udp.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/DistributedContent/GNUmakefile.DDS_DistributedContent_Node #

ln -s libOpenDDS_InfoRepoLib.so.3.25.0-dev libOpenDDS_InfoRepoLib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/IntroductionToOpenDDS/GNUmakefile.StockQuoter_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/IntroductionToOpenDDS/GNUmakefile.StockQuoter_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_IOGR_Imr/GNUmakefile.DDS_Messenger_IOGR_Imr_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_IOGR_Imr/GNUmakefile.DDS_Messenger_IOGR_Imr_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_Imr/GNUmakefile.DDS_Messenger_Imr_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/GNUmakefile.InfoRepo_Population_SyncServer #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/MulticastListenerTest/GNUmakefile.DCPS_Perf_MulticastListenerTest_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/MulticastListenerTest/GNUmakefile.DCPS_Perf_MulticastListenerTest_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/Priority/GNUmakefile.Perf_Priority_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/SimpleE2ETest/GNUmakefile.DCPS_Perf_SimpleE2E_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/SimpleE2ETest/GNUmakefile.DCPS_Perf_SimpleE2E_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/SimpleLatency/GNUmakefile.DDS_SimpleLatency_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/SimpleLatency/GNUmakefile.DDS_SimpleLatency_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/TCPListenerTest/GNUmakefile.DCPS_Perf_TCPListener_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/TCPListenerTest/GNUmakefile.DCPS_Perf_TCPListener_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/TCPProfilingTest/GNUmakefile.DCPS_Perf_TCPProfiling_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/UDPListenerTest/GNUmakefile.DCPS_Perf_UDPListener_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/UDPListenerTest/GNUmakefile.DCPS_Perf_UDPListener_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/UDPNoKeyTest/GNUmakefile.DCPS_Perf_UDPNoKey_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/UDPNoKeyTest/GNUmakefile.DCPS_Perf_UDPNoKey_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BitDataReader/GNUmakefile.BitDataReader #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopic/GNUmakefile.BuiltInTopic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopicTest/GNUmakefile.DDS_BuiltInTopicTest_Monitor #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopicTest/GNUmakefile.DDS_BuiltInTopicTest_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopicTest/GNUmakefile.DDS_BuiltInTopicTest_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/GNUmakefile.CompatibilityTest_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test1_main/GNUmakefile.idl_test1_main #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test3_main/GNUmakefile.idl_test3_main #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/isolated_types/GNUmakefile.DDS_Isolated_Types #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/namespace_conflict/GNUmakefile.DDS_Namespace_Conflict #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/sequence_conflict/GNUmakefile.DDS_Sequence_Conflict #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigFile/GNUmakefile.ConfigFile #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/GNUmakefile.ConfigTransports_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ContainsEntity/GNUmakefile.DDS_ContainsEntity #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CorbaSeq/GNUmakefile.CorbaSeq_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CorbaSeq/GNUmakefile.CorbaSeq_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DCPSInfoRepo/GNUmakefile.dcpsinfo_test_pubsub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DPFactoryQos/GNUmakefile.DDS_DPFactoryQos_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DPFactoryQos/GNUmakefile.DDS_DPFactoryQos_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DataRepresentation/GNUmakefile.DataRepresentation #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DcpsIntegration/GNUmakefile.dcps_integration_infrastructure #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Deadline/GNUmakefile.DDS_Deadline_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/GNUmakefile.DelayedDurable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DestinationOrder/GNUmakefile.DestinationOrder #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Dispose/GNUmakefile.Dispose #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DomainRange/GNUmakefile.DomainRangeTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DpShutdown/GNUmakefile.DDS_DpShutdown #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicData/GNUmakefile.DynamicData_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicResponse/GNUmakefile.DynamicResponse_Origin #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicResponse/GNUmakefile.DynamicResponse_Responder #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/GNUmakefile.XTypes_Dynamic_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/GNUmakefile.XTypes_Dynamic_Recorder #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/GNUmakefile.DDS_EntityLifeCycleStress_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/GNUmakefile.DDS_EntityLifeCycleStress_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Federation/GNUmakefile.Federation_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FindTopic/GNUmakefile.DDS_FindTopic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest3_0/GNUmakefile.FooTest3_0_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest3_2/GNUmakefile.FooTest3_2_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest4/GNUmakefile.DcpsFooTest4 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest4_0/GNUmakefile.DcpsFooTest4_0 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest5/GNUmakefile.DcpsFooTest5Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest5_0/GNUmakefile.DcpsFooTest5_0 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/HelloWorld/GNUmakefile.HelloWorld_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/InconsistentTopic/GNUmakefile.DDS_InconsistentTopic_PublisherSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Instances/GNUmakefile.Instances_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Instances/GNUmakefile.Instances_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/InternalThreadStatus/GNUmakefile.InternalThreadStatusPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/KeyTest/GNUmakefile.DDS_KeyTest_IsBounded #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/KeyTest/GNUmakefile.DDS_KeyTest_KeyMarshalling #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LargeSample/GNUmakefile.DDS_LargeSample_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LatencyBudget/GNUmakefile.DDS_LatencyBudget_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LatencyBudget/GNUmakefile.DDS_LatencyBudget_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Lifespan/GNUmakefile.DDS_Lifespan_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Lifespan/GNUmakefile.DDS_Lifespan_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessKeepAliveTest/GNUmakefile.LivelinessKeepAliveTestSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTest/GNUmakefile.LivelinessTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/GNUmakefile.LivelinessTimeout_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/GNUmakefile.DDS_ManualAssertLiveliness_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyToMany/GNUmakefile.DDS_ManyToMany_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyToMany/GNUmakefile.DDS_ManyToMany_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/GNUmakefile.ManyTopicMultiProcessSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/GNUmakefile.ManyTopicTestPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/GNUmakefile.ManyTopicTestSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/GNUmakefile.DDS_Messenger_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/GNUmakefile.DDS_Messenger_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MockedTypeSupport/GNUmakefile.MockedTypeSupport #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Monitor/GNUmakefile.Monitor_Messenger_Monitor #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Monitor/GNUmakefile.Monitor_Messenger_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Monitor/GNUmakefile.Monitor_Messenger_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiDPTest/GNUmakefile.MultiDPTest_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiDiscovery/GNUmakefile.MultiDiscoveryTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiRepoTest/GNUmakefile.MultiRepoTest_Monitor #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/NotifyTest/GNUmakefile.DDS_NotifyTest_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/NotifyTest/GNUmakefile.DDS_NotifyTest_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Observer/GNUmakefile.Observer_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Partition/GNUmakefile.DDS_Partition_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Partition/GNUmakefile.DDS_Partition_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/PersistentInfoRepo/GNUmakefile.PersistentInfoRepo_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/PersistentInfoRepo/GNUmakefile.PersistentInfoRepo_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Priority/GNUmakefile.DDS_Priority_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Prst_delayed_subscriber/GNUmakefile.DDS_Prst_Delayed_Subscriber_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Prst_delayed_subscriber/GNUmakefile.DDS_Prst_Delayed_Subscriber_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReadCondition/GNUmakefile.ReadCondition #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReaderDataLifecycle/GNUmakefile.ReaderDataLifecycle #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Reconnect/GNUmakefile.Reconnect_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Reconnect/GNUmakefile.Reconnect_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderLogging/GNUmakefile.DDS_RecorderLogging_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderLogging/GNUmakefile.DDS_RecorderLogging_Recorder #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/GNUmakefile.DDS_RecorderReplayer_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/GNUmakefile.DDS_RecorderReplayer_Relay #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/GNUmakefile.DDS_RecorderReplayer_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RegisterInstance/GNUmakefile.register_instance_topic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Rejects/GNUmakefile.DDS_Rejects_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Rejects/GNUmakefile.DDS_Rejects_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Reliability/pub/GNUmakefile.Reliability_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Reliability/sub/GNUmakefile.Reliability_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/GNUmakefile.ReliableBestEffortReaders_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Restart/GNUmakefile.RestartTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/GNUmakefile.RtpsDiscovery #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDurableReplay/GNUmakefile.RtpsDurableReplay_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDurableReplay/GNUmakefile.RtpsDurableReplay_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsMessages/GNUmakefile.RtpsMessages #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SequenceIterator/GNUmakefile.SequenceIteratorTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Serializer/GNUmakefile.SerializerTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Serializer_wstring/GNUmakefile.Serializer_Wstring_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosDeadline/GNUmakefile.SetQosDeadline_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosDeadline/GNUmakefile.SetQosDeadline_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosPartition/GNUmakefile.SetQosPartition #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/GNUmakefile.SharedTransport #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SkipSerialize/GNUmakefile.DDS_SkipSerialize_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SkipSerialize/GNUmakefile.DDS_SkipSerialize_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StaticDiscoveryReconnect/GNUmakefile.StaticDiscoveryReconnectTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StatusCondition/GNUmakefile.StatusCondition #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StringKey/GNUmakefile.DDS_StringKey_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StringKey/GNUmakefile.DDS_StringKey_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SubscriberCycle/GNUmakefile.SubscriberCycle_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TcpReconnect/GNUmakefile.DDS_TcpReconnect_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TcpReconnect/GNUmakefile.DDS_TcpReconnect_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/GNUmakefile.Thrasher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TimeBasedFilter/GNUmakefile.TimeBasedFilter #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TopicReuse/GNUmakefile.DDS_TopicReuse_TopicReuse #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TransientLocalMultiInstanceTest/GNUmakefile.DDS_TransientLocalMultiInstanceTest_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TransientLocalTest/GNUmakefile.DDS_TransientLocalTest_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TransientLocalTest/GNUmakefile.DDS_TransientLocalTest_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/UnregisterType/GNUmakefile.UnregisterTypeTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ViewState/GNUmakefile.ViewState #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/WaitForAck/GNUmakefile.WaitForAck_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/WaitForAckRace/GNUmakefile.WaitForAckRace_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/WaitForAckRace/GNUmakefile.WaitForAckRace_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/WriteDataContainer/GNUmakefile.WriteDataContainer #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/GNUmakefile.XTypes_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyDataReaderListener/GNUmakefile.ZeroCopyDRL_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyDataReaderListener/GNUmakefile.ZeroCopyDRL_StackSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyRead/GNUmakefile.ZeroCopyRead #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroEnum/GNUmakefile.ZeroEnum_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/sub_init_loop/GNUmakefile.Sub_Init_Loop_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/sub_init_loop/GNUmakefile.Sub_Init_Loop_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/CallbackAndReceive/Publisher/GNUmakefile.CallbackAndReceivePublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/CallbackAndReceive/Subscriber/GNUmakefile.CallbackAndReceiveSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test1_main/GNUmakefile.FACE_idl_test1_main #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test3_main/GNUmakefile.FACE_idl_test3_main #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/GetConnectionParameters/Publisher/GNUmakefile.GetConnectionParametersPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/GetConnectionParameters/Subscriber/GNUmakefile.GetConnectionParametersSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Header/Publisher/GNUmakefile.FaceHeaderPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Header/Subscriber/GNUmakefile.FaceHeaderSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Publisher/GNUmakefile.FaceMessengerPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Subscriber/GNUmakefile.FaceMessengerSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/MultiDomainMessenger/Publisher/GNUmakefile.FaceMultiDomainMessengerPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/MultiDomainMessenger/Subscriber/GNUmakefile.FaceMultiDomainMessengerSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Publisher/GNUmakefile.FacePartitionPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Subscriber/GNUmakefile.FacePartitionSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Reliability/Publisher/GNUmakefile.FaceReliabilityPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Reliability/Subscriber/GNUmakefile.FaceReliabilitySubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/SingleProcessMessenger/SingleProcess/GNUmakefile.FaceMessengerSingleProcess #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/cmake/Nested_IDL/GNUmakefile.ECU #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/stress-tests/GNUmakefile.StressTests #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/best_effort_reader/GNUmakefile.DDS_Tests_Transport_Best_Effort_Reader_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/error_handling/GNUmakefile.error_handling #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps/GNUmakefile.DDS_Transport_Rtps_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps/GNUmakefile.DDS_Transport_Rtps_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/GNUmakefile.DDS_Transport_Rtps_Directed_Write_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_reliability/GNUmakefile.DDS_transport_rtps_reliability #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/simple/GNUmakefile.DDS_Transport_Simple_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/simple/GNUmakefile.DDS_Transport_Simple_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/spdp/GNUmakefile.DDS_transport_spdp #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/dcpsinfo_dump/GNUmakefile.dcpsinfo_dump #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/inspect/GNUmakefile.Inspect #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/unit-tests/GNUmakefile.UnitTests #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger.minimal/GNUmakefile.MessengerMinimal_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger/GNUmakefile.Messenger_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/FACE/Simple/GNUmakefile.FaceMessenger_Callbacksubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/FACE/Simple/GNUmakefile.FaceMessenger_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/FACE/Simple/GNUmakefile.FaceMessenger_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/InfoRepo/GNUmakefile.DCPSInfoRepo_Federator #

ln -s libOpenDDS_Federator.so.3.25.0-dev libOpenDDS_Federator.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_Imr/GNUmakefile.DDS_Messenger_Imr_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/GNUmakefile.InfoRepo_Population_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/Priority/GNUmakefile.Perf_Priority_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/TCPProfilingTest/GNUmakefile.DCPS_Perf_TCPProfiling_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/GNUmakefile.CompatibilityTest_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/GNUmakefile.ConfigTransports_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DcpsIntegration/GNUmakefile.dcps_integration_topic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Deadline/GNUmakefile.DDS_Deadline_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicData/GNUmakefile.DynamicData_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Federation/GNUmakefile.Federation_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest3_0/GNUmakefile.FooTest3_0_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest3_2/GNUmakefile.FooTest3_2_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest5/GNUmakefile.DcpsFooTest5Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/HelloWorld/GNUmakefile.HelloWorld_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/InternalThreadStatus/GNUmakefile.InternalThreadStatusSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LargeSample/GNUmakefile.DDS_LargeSample_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessKeepAliveTest/GNUmakefile.LivelinessKeepAliveTestPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/GNUmakefile.LivelinessTimeout_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/GNUmakefile.ManyTopicMultiProcessPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/GNUmakefile.DDS_Messenger_StackSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiDPTest/GNUmakefile.MultiDPTest_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiRepoTest/GNUmakefile.MultiRepoTest_System #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Observer/GNUmakefile.Observer_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Priority/GNUmakefile.DDS_Priority_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/GNUmakefile.ReliableBestEffortReaders_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Serializer_wstring/GNUmakefile.Serializer_Wstring_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TransientLocalMultiInstanceTest/GNUmakefile.DDS_TransientLocalMultiInstanceTest_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/WaitForAck/GNUmakefile.WaitForAck_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/GNUmakefile.XTypes_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyDataReaderListener/GNUmakefile.ZeroCopyDRL_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroEnum/GNUmakefile.ZeroEnum_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/best_effort_reader/GNUmakefile.DDS_Tests_Transport_Best_Effort_Reader_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/GNUmakefile.DDS_Transport_Rtps_Directed_Write_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/repoctl/GNUmakefile.repoctl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger.minimal/GNUmakefile.MessengerMinimal_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger/GNUmakefile.Messenger_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/InfoRepo/GNUmakefile.DCPSInfoRepo_Serv #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/GNUmakefile.InfoRepo_Population_Subscriber #

ln -s libOpenDDS_InfoRepoServ.so.3.25.0-dev libOpenDDS_InfoRepoServ.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/InfoRepo/GNUmakefile.DCPSInfoRepo_Main #


Test #

auto_run_tests

Fri Apr 28 21:02:21 2023 UTC

Running: perl tests/auto_run_tests.pl   -Config DDS_NO_OBJECT_MODEL_PROFILE -Config DDS_NO_OWNERSHIP_PROFILE -Config DDS_NO_PERSISTENCE_PROFILE -Config DDS_NO_CONTENT_SUBSCRIPTION -Config IPV6 in .
Test Lists: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/dcps_tests.lst
Configs: DDS_NO_OBJECT_MODEL_PROFILE, DDS_NO_OWNERSHIP_PROFILE, DDS_NO_PERSISTENCE_PROFILE, DDS_NO_CONTENT_SUBSCRIPTION, IPV6, Linux, RTPS
Excludes: 

==============================================================================

tools/scripts/modules/tests/command_utils.pl #

In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (string): "___this_really_should_be_invalid___"
Check that return value and exit status work as expected ======================
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "exit(2);"
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "exit(0);"
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "print("Hello\n");"
Check that putting the ouput in a variable works ==============================
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "print STDERR ("Goodbye\n");"
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "print STDERR ("Goodbye\n");print("Hello\n");"
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "print STDERR ("Goodbye\n");print("Hello\n");"
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "print STDERR ("Goodbye\n");print("Hello\n");"
Check that putting the output in a file works =================================
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "print STDERR ("Goodbye\n");print("Hello\n");exit(1);"
Check that dump_on_failure works ==============================================

auto_run_tests_finished: tools/scripts/modules/tests/command_utils.pl Time:0s Result:0

==============================================================================

tools/scripts/modules/tests/ChangeDir.pl #

auto_run_tests_finished: tools/scripts/modules/tests/ChangeDir.pl Time:0s Result:0

==============================================================================

tests/DCPS/AddressLookup/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/AddressLookup/main  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile AddressLookup.log -DCPSPendingTimeout 3 
AddressLookup PID: 1074 started at 2023-04-28 16:02:22
========= Attempt 0....
DEBUG: address_info: There are 5 interfaces
DEBUG: address_info: Considering interface 0
DEBUG: address_info: Found IP interface 127.0.0.1
DEBUG: address_info: IP address 127.0.0.1 maps to hostname localhost
DEBUG: hostname_to_ip: Resolving IP addresses from hostname localhost
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 28, curr->ai_addr size is 16, curr family is 10
DEBUG: print_addr: ==== IP address: ::1
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 127.0.0.1

DEBUG: address_info: Considering interface 1
DEBUG: address_info: Found IP interface 10.201.200.79
DEBUG: address_info: IP address 10.201.200.79 maps to hostname flea.ociweb.com
DEBUG: hostname_to_ip: Resolving IP addresses from hostname flea.ociweb.com
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 10.201.200.79

DEBUG: address_info: Considering interface 2
DEBUG: address_info: Found IP interface 192.168.122.1
WARNING: address_info: Failed to get FQDN

DEBUG: address_info: Considering interface 3
DEBUG: address_info: Found IP interface ::1
DEBUG: address_info: IP address ::1 maps to hostname localhost
DEBUG: hostname_to_ip: Resolving IP addresses from hostname localhost
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 28, curr->ai_addr size is 16, curr family is 10
DEBUG: print_addr: ==== IP address: ::1
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 127.0.0.1

DEBUG: address_info: Considering interface 4
DEBUG: address_info: Found IP interface fe80::20c:29ff:fe7a:35f2%2
WARNING: address_info: Failed to get FQDN


========= Attempt 1....
DEBUG: address_info: There are 5 interfaces
DEBUG: address_info: Considering interface 0
DEBUG: address_info: Found IP interface 127.0.0.1
DEBUG: address_info: IP address 127.0.0.1 maps to hostname localhost
DEBUG: hostname_to_ip: Resolving IP addresses from hostname localhost
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 28, curr->ai_addr size is 16, curr family is 10
DEBUG: print_addr: ==== IP address: ::1
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 127.0.0.1

DEBUG: address_info: Considering interface 1
DEBUG: address_info: Found IP interface 10.201.200.79
DEBUG: address_info: IP address 10.201.200.79 maps to hostname flea.ociweb.com
DEBUG: hostname_to_ip: Resolving IP addresses from hostname flea.ociweb.com
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 10.201.200.79

DEBUG: address_info: Considering interface 2
DEBUG: address_info: Found IP interface 192.168.122.1
WARNING: address_info: Failed to get FQDN

DEBUG: address_info: Considering interface 3
DEBUG: address_info: Found IP interface ::1
DEBUG: address_info: IP address ::1 maps to hostname localhost
DEBUG: hostname_to_ip: Resolving IP addresses from hostname localhost
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 28, curr->ai_addr size is 16, curr family is 10
DEBUG: print_addr: ==== IP address: ::1
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 127.0.0.1

DEBUG: address_info: Considering interface 4
DEBUG: address_info: Found IP interface fe80::20c:29ff:fe7a:35f2%2
WARNING: address_info: Failed to get FQDN


========= Attempt 2....
DEBUG: address_info: There are 5 interfaces
DEBUG: address_info: Considering interface 0
DEBUG: address_info: Found IP interface 127.0.0.1
DEBUG: address_info: IP address 127.0.0.1 maps to hostname localhost
DEBUG: hostname_to_ip: Resolving IP addresses from hostname localhost
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 28, curr->ai_addr size is 16, curr family is 10
DEBUG: print_addr: ==== IP address: ::1
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 127.0.0.1

DEBUG: address_info: Considering interface 1
DEBUG: address_info: Found IP interface 10.201.200.79
DEBUG: address_info: IP address 10.201.200.79 maps to hostname flea.ociweb.com
DEBUG: hostname_to_ip: Resolving IP addresses from hostname flea.ociweb.com
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 10.201.200.79

DEBUG: address_info: Considering interface 2
DEBUG: address_info: Found IP interface 192.168.122.1
WARNING: address_info: Failed to get FQDN

DEBUG: address_info: Considering interface 3
DEBUG: address_info: Found IP interface ::1
DEBUG: address_info: IP address ::1 maps to hostname localhost
DEBUG: hostname_to_ip: Resolving IP addresses from hostname localhost
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 28, curr->ai_addr size is 16, curr family is 10
DEBUG: print_addr: ==== IP address: ::1
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 127.0.0.1

DEBUG: address_info: Considering interface 4
DEBUG: address_info: Found IP interface fe80::20c:29ff:fe7a:35f2%2
WARNING: address_info: Failed to get FQDN


test PASSED.

auto_run_tests_finished: tests/DCPS/AddressLookup/run_test.pl Time:13s Result:0

==============================================================================

tests/DCPS/Prst_delayed_subscriber/run_test.pl #

Spawning first DCPSInfoRepo.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS  -o repo.ior -ORBSvcConf mySvc.conf -orbendpoint iiop://:10939
Spawning publisher.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Prst_delayed_subscriber/publisher -DCPSBit 0  -DCPSConfigFile pub.ini
(1087|1087) NOTICE: using DCPSBit value from command option (overrides value if it's in config file)
(1087|1087) Writer::start
(1087|1093) Writer::svc begins.
(1087|1093)  16:02:36.704768 Writer::svc starting to write.
Spawning first subscriber.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Prst_delayed_subscriber/subscriber -DCPSBit 0  -DCPSConfigFile sub.ini
(1094|1094) NOTICE: using DCPSBit value from command option (overrides value if it's in config file)
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
First Subscriber complete.
Killing first DCPSInfoRepo.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
Spawning second DCPSInfoRepo.
Spawning second subscriber.
(1110|1110) NOTICE: using DCPSBit value from command option (overrides value if it's in config file)
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
(1087|1093) Writer::svc finished.
(1087|1087) Writer::end
Second Subscriber complete.
Publisher killed.
Killing second DCPSInfoRepo.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Prst_delayed_subscriber/run_test.pl Time:12s Result:0

==============================================================================

tests/DCPS/ZeroCopyRead/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1116
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyRead/main -DcpsBit 0 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile main.log -DCPSPendingTimeout 3 
main PID: 1123 started at 2023-04-28 16:02:47
(1123|1123) zero-copy read test main
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ZeroCopyRead/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/ZeroCopyRead/run_test.pl by_instance #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1129
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyRead/main -DcpsBit 0 -i -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile main.log -DCPSPendingTimeout 3 
main PID: 1136 started at 2023-04-28 16:02:48
(1136|1136) zero-copy read test main
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ZeroCopyRead/run_test.pl by_instance Time:1s Result:0

==============================================================================

tests/DCPS/ZeroCopyDataReaderListener/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1142
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyDataReaderListener/publisher -ORBDebugLevel 1   -DCPSPendingTimeout 2 -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile pub.log -DCPSConfigFile tcp.ini 
pub PID: 1149 started at 2023-04-28 16:02:49
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyDataReaderListener/subscriber -ORBDebugLevel 1  -DCPSPendingTimeout 2 -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile sub.log -DCPSConfigFile tcp.ini 
sub PID: 1150 started at 2023-04-28 16:02:49
(1142|1142) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ZeroCopyDataReaderListener/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/DCPSInfoRepo/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o dcps_ir.ior
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DCPSInfoRepo/pubsub -k file://dcps_ir.ior -q
pubsub test
2nd topic assertion CREATED a topic
adding matching subscription

TAO_DDS_DCPSDataWriter_i::add_associations () :
	Writer 01030000.686ab62d.00000001.00000102(f5a883a0) Adding association to a reader:
	 reader id - 01030000.686ab62d.00000002.00000107(c2620dff)
	 transport_id - fake transport for test

TAO_DDS_DCPSDataReader_i::add_associations () :
	Reader 01030000.686ab62d.00000002.00000107(c2620dff) Adding association to writer:
	 writer id - 01030000.686ab62d.00000001.00000102(f5a883a0)
	 transport_id - fake transport for test

adding incompatible publication

!!! TAO_DDS_DCPSDataReader_i::update_incompatible_qos () :
	2 new incompatible DataWriters 2  total
	Last incompatible QOS policy was 23
	Policy - 11	count - 1
	Policy - 23	count - 1

!!! TAO_DDS_DCPSDataWriter_i::update_incompatible_qos () :
	2 new incompatible DataReaders 2  total
	Last incompatible QOS policy was 23
	Policy - 11	count - 1
	Policy - 23	count - 1

TAO_DDS_DCPSDataWriter_i::remove_associations () :
	Removing association to 1 readers:
	Association - 0
	 RepoId - 01030000.686ab62d.00000002.00000107(c2620dff)
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15

auto_run_tests_finished: tests/DCPS/DCPSInfoRepo/run_test.pl Time:2s Result:0

==============================================================================

tests/DCPS/DCPSInfoRepo/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DCPSInfoRepo/pubsub -r -q
pubsub test
2nd topic assertion FOUND a topic
adding matching subscription

TAO_DDS_DCPSDataWriter_i::add_associations () :
	Writer 0103000c.297a35f2.049b4d89.00000003(9967f6ef) Adding association to a reader:
	 reader id - 0103000c.297a35f2.049b4d8a.00000004(40a3199c)
	 transport_id - fake transport for test

TAO_DDS_DCPSDataReader_i::add_associations () :
	Reader 0103000c.297a35f2.049b4d8a.00000004(40a3199c) Adding association to writer:
	 writer id - 0103000c.297a35f2.049b4d89.00000003(9967f6ef)
	 transport_id - fake transport for test

adding incompatible publication

!!! TAO_DDS_DCPSDataWriter_i::update_incompatible_qos () :
	2 new incompatible DataReaders 2  total
	Last incompatible QOS policy was 23
	Policy - 11	count - 1
	Policy - 23	count - 1

!!! TAO_DDS_DCPSDataReader_i::update_incompatible_qos () :
	1 new incompatible DataWriters 1  total
	Last incompatible QOS policy was 11
	Policy - 11	count - 1

TAO_DDS_DCPSDataWriter_i::remove_associations () :
	Removing association to 1 readers:
	Association - 0
	 RepoId - 0103000c.297a35f2.049b4d8a.00000004(40a3199c)

auto_run_tests_finished: tests/DCPS/DCPSInfoRepo/run_test.pl rtps_disc Time:2s Result:0

==============================================================================

tests/DCPS/MockedTypeSupport/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1186
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MockedTypeSupport/MockedTypeSupport -ORBLogFile test.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
ut PID: 1193 started at 2023-04-28 16:02:55
(1186|1186) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/MockedTypeSupport/run_test.pl Time:6s Result:0

==============================================================================

tests/DCPS/FooTest3_2/run_test.pl bp_remove #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -NOBITS
InfoRepo PID: 1210
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest3_2/FooTest3_publisher  -t 1 -w 1 -m 0 -i 100  -n 12345678 -d 1 -l 0 -r 1  -b 0  -DCPSBit 0 
publisher PID: 1217 started at 2023-04-28 16:03:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest3_2/FooTest3_subscriber  -n 100 -l 100 -DCPSBit 0 
subscriber PID: 1218 started at 2023-04-28 16:03:00
0x1
(1218|1218) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1217|1217) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1217|1217) Writer::start
(1217|1227) Writer::svc
DataReaderListener.cpp:105: INFO: on_subscription_matched()
DataReaderListener.cpp:98: INFO: on_liveliness_changed()
DataReaderListener.cpp:98: INFO: on_liveliness_changed()
DataReaderListener.cpp:105: INFO: on_subscription_matched()
(1217|1217) PubDriver::end
(1217|1217) Writer::end
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/FooTest3_2/run_test.pl bp_remove Time:2s Result:0

==============================================================================

tests/DCPS/FindTopic/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FindTopic/findtopic  -DCPSPendingTimeout 3 
findtopic PID: 1229 started at 2023-04-28 16:03:02
findtopic.cpp:144 main() using Local Discovery
test PASSED.

auto_run_tests_finished: tests/DCPS/FindTopic/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/FindTopic/run_test.pl rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FindTopic/findtopic rtps -DCPSPendingTimeout 3 
findtopic PID: 1234 started at 2023-04-28 16:03:02
findtopic.cpp:141 main() using RTPS Discovery
test PASSED.

auto_run_tests_finished: tests/DCPS/FindTopic/run_test.pl rtps Time:0s Result:0

==============================================================================

tests/DCPS/InconsistentTopic/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/InconsistentTopic/pubsub  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pubsub.log -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
pubsub PID: 1241 started at 2023-04-28 16:03:02
Waiting for participant 2 to discover topic from participant 1 
test PASSED.

auto_run_tests_finished: tests/DCPS/InconsistentTopic/run_test.pl rtps_disc Time:1s Result:0

==============================================================================

tests/DCPS/TopicReuse/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TopicReuse/tpreuse  -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile tpreuse.log -DCPSPendingTimeout 3 
tpreuse PID: 1252 started at 2023-04-28 16:03:04
test PASSED.

auto_run_tests_finished: tests/DCPS/TopicReuse/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/DpShutdown/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DpShutdown/dpshutdown  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile dpshutdown.log -DCPSPendingTimeout 3 
dpshutdown PID: 1261 started at 2023-04-28 16:03:04
test PASSED.

auto_run_tests_finished: tests/DCPS/DpShutdown/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/ContainsEntity/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ContainsEntity/containsentity   -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile containsentity.log -DCPSPendingTimeout 3 
containsentity PID: 1272 started at 2023-04-28 16:03:04
test PASSED.

auto_run_tests_finished: tests/DCPS/ContainsEntity/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Serializer/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Serializer/SerializerTest  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile SerializerTest.log -DCPSPendingTimeout 3 
SerializerTest PID: 1283 started at 2023-04-28 16:03:05
Size of Values: 112
Size of ArrayValues: 976


*** Unaligned CDR, little-endian (native)

STARTING INSERTION OF SINGLE VALUES WITHOUT SWAPPING

BYTES WRITTEN: 354
01 11                                              ..              

45 23 ef                                           E#.             

cd ab 67 ef                                        ..g.            

cd ab 89 67 45                                     ...gE           

23 01 22 23 01 ab                                  #."#..          

89 67 45 ab 89 67 45                               .gE..gE         

23 01 ef cd cd cc cc 3d                            #......=        

9a 99 99 99 99 99 c9 3f  67                        .......?g       

45 23 01 ef cd ab 89 3e  40 00                     E#.....>@.      

00 00 00 00 00 1a b2 00  2c 00 00 00 54 68 69 73   ........,...This
20 69 73 20 61 20 74 65  73                         is a tes       

74 20 6f 66 20 74 68 65  20 73 74 72 69 6e 67 20   t of the string 
73 65 72 69 61 6c 69 7a  61 74 69 6f 6e 2e         serialization.  

00 30 00 00 00 54 68 69  73 20 69 73 20 61 20 74   .0...This is a t
65 73 74 20 6f 66 20 74  68 65 20 73 74 64 20 73   est of the std s
74 72 69                                           tri             

6e 67 20 73 65 72 69 61  6c 69 7a 61 74 69 6f 6e   ng serialization
2e 00 58 00 00 00 54 00  68 00 69 00 73 00 20 00   ..X...T.h.i.s. .
69 00 73 00 20 00 61 00                            i.s. .a.        

20 00 74 00 65 00 73 00  74 00 20 00 6f 00 66 00    .t.e.s.t. .o.f.
20 00 74 00 68 00 65 00  20 00 77 00 73 00 74 00    .t.h.e. .w.s.t.
72 00 69 00 6e 00 67 00  20 00 73 00 65            r.i.n.g. .s.e   

00 72 00 69 00 61 00 6c  00 69 00 7a 00 61 00 74   .r.i.a.l.i.z.a.t
00 69 00 6f 00 6e 00 2e  00 60 00 00 00 54 00 68   .i.o.n...`...T.h
00 69 00 73 00 20 00 69  00 73 00 20 00 61 00 20   .i.s. .i.s. .a. 
00 74                                              .t              

00 65 00 73 00 74 00 20  00 6f 00 66 00 20 00 74   .e.s.t. .o.f. .t
00 68 00 65 00 20 00 73  00 74 00 64 00 20 00 77   .h.e. .s.t.d. .w
00 73 00 74 00 72 00 69  00 6e 00 67 00 20 00 73   .s.t.r.i.n.g. .s
00 65 00 72 00 69 00 61  00 6c 00 69 00 7a 00 61   .e.r.i.a.l.i.z.a
00 74 00 69 00 6f 00 6e  00 2e 00                  .t.i.o.n...     

EXTRACTING SINGLE VALUES WITHOUT SWAPPING

STARTING INSERTION OF ARRAY VALUES WITHOUT SWAPPING

BYTES WRITTEN: 930
00 01                                              ..              

02 03 04                                           ...             

05 06 07 08                                        ....            

09 0a 0b 0c 0d                                     .....           

0e 00 01 00 01 04                                  ......          

05 04 05 08 09 08 09                               .......         

0c 0d 0c 00 00 01 00 02                            ........        

00 03 00 04 00 05 00 06  00                        .........       

07 00 08 00 09 00 0a 00  0b 00                     ..........      

0c 00 0d 00 0e 00 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f                        .........       

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f         ..............  

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f ef cd ab 89 67   ...............g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01                                           E#.             

ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01                            ....gE#.        

ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67            ....gE#.....g   

45 23 01 ef cd ab 89 67  45 23 01 dd dd df df dd   E#.....gE#......
dd df df dd dd df df dd  dd df ff ff ff ff ff ff   ................
ff ff ff ff ff ff ff ff  ff ff ff ff ff ff ff ff   ................
ff ff                                              ..              

ff ff ff ff ff ff f0 f0  f0 f0 f1 f0 f0 f0 f2 f0   ................
f0 f0 f3 f0 f0 f0 f4 f0  f0 f0 f5 f0 f0 f0 f6 f0   ................
f0 f0 f7 f0 f0 f0 f8 f0  f0 f0 f9 f0 f0 f0 fa f0   ................
f0 f0 fb f0 f0 f0 fc f0  f0 f0 fd f0 f0 f0 fe f0   ................
f0 f0 ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.

ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd 00 00 00 00 00 00   ....gE#.........
80 3f 00 00 00 3f ab aa  aa 3e 00 00 80 3e cd cc   .?...?...>...>..
4c 3e ab aa 2a 3e 25 49  12 3e 00 00 00 3e 39 8e   L>..*>%I.>...>9.
e3 3d cd cc cc 3d 8c 2e  ba 3d ab aa aa 3d d9 89   .=...=...=...=..
9d 3d 25 49 92 3d 00 00  00 00 00 00 00 00 00 00   .=%I.=..........
00 00 00 00 08 40 00 00  00 00 00 00 f8 3f 00 00   .....@.......?..
00 00 00 00 f0 3f 00 00  00 00 00 00 e8 3f 33 33   .....?.......?33
33 33 33 33 e3 3f 00 00  00 00 00 00 e0 3f db b6   3333.?.......?..
6d db b6 6d db 3f 00 00  00 00 00 00 d8 3f 55 55   m..m.?.......?UU
55 55 55 55 d5 3f 33 33  33 33 33 33 d3 3f 74 d1   UUUU.?333333.?t.
45 17 5d 74 d1 3f 00 00  00 00 00 00 d0 3f 9e d8   E.]t.?.......?..
89 9d d8 89 cd 3f db b6  6d db b6 6d cb 3f 67 45   .....?..m..m.?gE
23 01 ef cd ab 89 3e 40  00 00 01 00 00 00 67 45   #.....>@......gE

23 01 ef cd ab 89 3e 40  00 00 ba 7f 00 00 67 45   #.....>@......gE
23 01 ef cd ab 89 3e 40  00 00 3b 00 00 00 67 45   #.....>@..;...gE
23 01 ef cd ab 89 3e 40  00 00 fc 7f 00 00 67 45   #.....>@......gE
23 01 ef cd ab 89 3e 40  00 00 ba 7f 00 00 67 45   #.....>@......gE
23 01 ef cd ab 89 3e 40  00 00 3b 00 00 00 67 45   #.....>@..;...gE
23 01 ef cd ab 89 3e 40  00 00 ba 7f 00 00 67 45   #.....>@......gE
23 01 ef cd ab 89 3e 40  00 00 00 00 00 00 67 45   #.....>@......gE
23 01 ef cd ab 89 3e 40  00 00 3b 00 00 00 67 45   #.....>@..;...gE
23 01 ef cd ab 89 3e 40  00 00 fc 7f 00 00 67 45   #.....>@......gE
23 01 ef cd ab 89 3e 40  00 00 fc 7f 00 00 67 45   #.....>@......gE
23 01 ef cd ab 89 3e 40  00 00 3b 00 00 00 67 45   #.....>@..;...gE
23 01 ef cd ab 89 3e 40  00 00 3b 00 00 00 67 45   #.....>@..;...gE
23 01 ef cd ab 89 3e 40  00 00 01 00 00 00 67 45   #.....>@......gE
23 01 ef cd ab 89 3e 40  00 00 00 00 00 00 00 01   #.....>@........
02 03 04 05 06 07 08 09  0a 0b 0c 0d 0e 00 00 01   ................
00 02 00 03 00 04 00 05  00 06 00 07 00 08 00 09   ................
00 0a 00 0b 00 0c 00 0d  00 0e 00                  ...........     

EXTRACTING ARRAY VALUES WITHOUT SWAPPING


*** Unaligned CDR, big-endian (non-native)

STARTING INSERTION OF SINGLE VALUES WITH SWAPPING

BYTES WRITTEN: 354
01 11                                              ..              

23 45 67                                           #Eg             

ab cd ef 01                                        ....            

23 45 67 89 ab                                     #Eg..           

cd ef 22 01 23 45                                  ..".#E          

67 89 ab cd ef 01 23                               g.....#         

45 67 89 ab 3d cc cc cd                            Eg..=...        

3f c9 99 99 99 99 99 9a  00                        ?........       

00 00 00 00 00 40 3e 89  ab cd                     .....@>...      

ef 01 23 45 67 1a 00 b2  00 00 00 2c 54 68 69 73   ..#Eg......,This
20 69 73 20 61 20 74 65  73                         is a tes       

74 20 6f 66 20 74 68 65  20 73 74 72 69 6e 67 20   t of the string 
73 65 72 69 61 6c 69 7a  61 74 69 6f 6e 2e         serialization.  

00 00 00 00 30 54 68 69  73 20 69 73 20 61 20 74   ....0This is a t
65 73 74 20 6f 66 20 74  68 65 20 73 74 64 20 73   est of the std s
74 72 69                                           tri             

6e 67 20 73 65 72 69 61  6c 69 7a 61 74 69 6f 6e   ng serialization
2e 00 00 00 00 58 00 54  00 68 00 69 00 73 00 20   .....X.T.h.i.s. 
00 69 00 73 00 20 00 61                            .i.s. .a        

00 20 00 74 00 65 00 73  00 74 00 20 00 6f 00 66   . .t.e.s.t. .o.f
00 20 00 74 00 68 00 65  00 20 00 77 00 73 00 74   . .t.h.e. .w.s.t
00 72 00 69 00 6e 00 67  00 20 00 73 00            .r.i.n.g. .s.   

65 00 72 00 69 00 61 00  6c 00 69 00 7a 00 61 00   e.r.i.a.l.i.z.a.
74 00 69 00 6f 00 6e 00  2e 00 00 00 60 00 54 00   t.i.o.n.....`.T.
68 00 69 00 73 00 20 00  69 00 73 00 20 00 61 00   h.i.s. .i.s. .a.
20 00                                               .              

74 00 65 00 73 00 74 00  20 00 6f 00 66 00 20 00   t.e.s.t. .o.f. .
74 00 68 00 65 00 20 00  73 00 74 00 64 00 20 00   t.h.e. .s.t.d. .
77 00 73 00 74 00 72 00  69 00 6e 00 67 00 20 00   w.s.t.r.i.n.g. .
73 00 65 00 72 00 69 00  61 00 6c 00 69 00 7a 00   s.e.r.i.a.l.i.z.
61 00 74 00 69 00 6f 00  6e 00 2e                  a.t.i.o.n..     

EXTRACTING SINGLE VALUES WITH SWAPPING

STARTING INSERTION OF ARRAY VALUES WITH SWAPPING

BYTES WRITTEN: 930
00 01                                              ..              

02 03 04                                           ...             

05 06 07 08                                        ....            

09 0a 0b 0c 0d                                     .....           

0e 00 01 00 01 04                                  ......          

05 04 05 08 09 08 09                               .......         

0c 0d 0c 00 00 00 01 00                            ........        

02 00 03 00 04 00 05 00  06                        .........       

00 07 00 08 00 09 00 0a  00 0b                     ..........      

00 0c 00 0d 00 0e 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f                        .........       

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f         ..............  

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 01 23 45 67 89   ............#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef                                           ...             

01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef                            .#Eg....        

01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89            .#Eg.....#Eg.   

ab cd ef 01 23 45 67 89  ab cd ef dd dd df df dd   ....#Eg.........
dd df df dd dd df df dd  dd df ff ff ff ff ff ff   ................
ff ff ff ff ff ff ff ff  ff ff ff ff ff ff ff ff   ................
ff ff                                              ..              

ff ff ff ff ff ff f0 f0  f0 f0 f0 f0 f0 f1 f0 f0   ................
f0 f2 f0 f0 f0 f3 f0 f0  f0 f4 f0 f0 f0 f5 f0 f0   ................
f0 f6 f0 f0 f0 f7 f0 f0  f0 f8 f0 f0 f0 f9 f0 f0   ................
f0 fa f0 f0 f0 fb f0 f0  f0 fc f0 f0 f0 fd f0 f0   ................
f0 fe cd ef 01 23 45 67  89 ab cd ef 01 23 45 67   .....#Eg.....#Eg
89 ab cd ef 01 23 45 67  89 ab cd ef 01 23 45 67   .....#Eg.....#Eg
89 ab cd ef 01 23 45 67  89 ab cd ef 01 23 45 67   .....#Eg.....#Eg
89 ab cd ef 01 23 45 67  89 ab cd ef 01 23 45 67   .....#Eg.....#Eg

89 ab cd ef 01 23 45 67  89 ab cd ef 01 23 45 67   .....#Eg.....#Eg
89 ab cd ef 01 23 45 67  89 ab cd ef 01 23 45 67   .....#Eg.....#Eg
89 ab cd ef 01 23 45 67  89 ab cd ef 01 23 45 67   .....#Eg.....#Eg
89 ab cd ef 01 23 45 67  89 ab 00 00 00 00 3f 80   .....#Eg......?.
00 00 3f 00 00 00 3e aa  aa ab 3e 80 00 00 3e 4c   ..?...>...>...>L
cc cd 3e 2a aa ab 3e 12  49 25 3e 00 00 00 3d e3   ..>*..>.I%>...=.
8e 39 3d cc cc cd 3d ba  2e 8c 3d aa aa ab 3d 9d   .9=...=...=...=.
89 d9 3d 92 49 25 00 00  00 00 00 00 00 00 40 08   ..=.I%........@.
00 00 00 00 00 00 3f f8  00 00 00 00 00 00 3f f0   ......?.......?.
00 00 00 00 00 00 3f e8  00 00 00 00 00 00 3f e3   ......?.......?.
33 33 33 33 33 33 3f e0  00 00 00 00 00 00 3f db   333333?.......?.
6d b6 db 6d b6 db 3f d8  00 00 00 00 00 00 3f d5   m..m..?.......?.
55 55 55 55 55 55 3f d3  33 33 33 33 33 33 3f d1   UUUUUU?.333333?.
74 5d 17 45 d1 74 3f d0  00 00 00 00 00 00 3f cd   t].E.t?.......?.
89 d8 9d 89 d8 9e 3f cb  6d b6 db 6d b6 db 00 00   ......?.m..m....
00 01 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   ....@>.....#Eg..

7f ba 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   ....@>.....#Eg..
00 3b 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   .;..@>.....#Eg..
7f fc 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   ....@>.....#Eg..
7f ba 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   ....@>.....#Eg..
00 3b 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   .;..@>.....#Eg..
7f ba 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   ....@>.....#Eg..
00 00 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   ....@>.....#Eg..
00 3b 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   .;..@>.....#Eg..
7f fc 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   ....@>.....#Eg..
7f fc 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   ....@>.....#Eg..
00 3b 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   .;..@>.....#Eg..
00 3b 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   .;..@>.....#Eg..
00 01 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   ....@>.....#Eg..
00 00 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 01   ....@>.....#Eg..
02 03 04 05 06 07 08 09  0a 0b 0c 0d 0e 00 00 00   ................
01 00 02 00 03 00 04 00  05 00 06 00 07 00 08 00   ................
09 00 0a 00 0b 00 0c 00  0d 00 0e                  ...........     

EXTRACTING ARRAY VALUES WITH SWAPPING


*** CDR/XCDR1, little-endian (native)

STARTING INSERTION OF SINGLE VALUES WITHOUT SWAPPING

BYTES WRITTEN: 360
01 11                                              ..              

45 23 ef                                           E#.             

cd ab 67 ef                                        ..g.            

cd ab 89 67 45                                     ...gE           

23 01 22 00 23 01                                  #.".#.          

ab 89 67 45 ab 89 67                               ..gE..g         

45 23 01 ef cd cd cc cc                            E#......        

3d 00 00 00 00 9a 99 99  99                        =........       

99 99 c9 3f 67 45 23 01  ef cd                     ...?gE#...      

ab 89 3e 40 00 00 00 00  00 00 1a 00 b2 00 2c 00   ..>@..........,.
00 00 54 68 69 73 20 69  73                        ..This is       

20 61 20 74 65 73 74 20  6f 66 20 74 68 65 20 73    a test of the s
74 72 69 6e 67 20 73 65  72 69 61 6c 69 7a         tring serializ  

61 74 69 6f 6e 2e 00 30  00 00 00 54 68 69 73 20   ation..0...This 
69 73 20 61 20 74 65 73  74 20 6f 66 20 74 68 65   is a test of the
20 73 74                                            st             

64 20 73 74 72 69 6e 67  20 73 65 72 69 61 6c 69   d string seriali
7a 61 74 69 6f 6e 2e 00  58 00 00 00 54 00 68 00   zation..X...T.h.
69 00 73 00 20 00 69 00                            i.s. .i.        

73 00 20 00 61 00 20 00  74 00 65 00 73 00 74 00   s. .a. .t.e.s.t.
20 00 6f 00 66 00 20 00  74 00 68 00 65 00 20 00    .o.f. .t.h.e. .
77 00 73 00 74 00 72 00  69 00 6e 00 67            w.s.t.r.i.n.g   

00 20 00 73 00 65 00 72  00 69 00 61 00 6c 00 69   . .s.e.r.i.a.l.i
00 7a 00 61 00 74 00 69  00 6f 00 6e 00 2e 00 60   .z.a.t.i.o.n...`
00 00 00 54 00 68 00 69  00 73 00 20 00 69 00 73   ...T.h.i.s. .i.s
00 20                                              .               

00 61 00 20 00 74 00 65  00 73 00 74 00 20 00 6f   .a. .t.e.s.t. .o
00 66 00 20 00 74 00 68  00 65 00 20 00 73 00 74   .f. .t.h.e. .s.t
00 64 00 20 00 77 00 73  00 74 00 72 00 69 00 6e   .d. .w.s.t.r.i.n
00 67 00 20 00 73 00 65  00 72 00 69 00 61 00 6c   .g. .s.e.r.i.a.l
00 69 00 7a 00 61 00 74  00 69 00 6f 00 6e 00 2e   .i.z.a.t.i.o.n..
00                                                 .               

EXTRACTING SINGLE VALUES WITHOUT SWAPPING

STARTING INSERTION OF ARRAY VALUES WITHOUT SWAPPING

BYTES WRITTEN: 942
00 01                                              ..              

02 03 04                                           ...             

05 06 07 08                                        ....            

09 0a 0b 0c 0d                                     .....           

0e 00 01 00 01 04                                  ......          

05 04 05 08 09 08 09                               .......         

0c 0d 0c 00 00 01 00 02                            ........        

00 03 00 04 00 05 00 06  00                        .........       

07 00 08 00 09 00 0a 00  0b 00                     ..........      

0c 00 0d 00 0e 00 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f                        .........       

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f         ..............  

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f ef cd ab 89 67   ...............g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01                                           E#.             

ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01                            ....gE#.        

ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67            ....gE#.....g   

45 23 01 ef cd ab 89 67  45 23 01 dd dd df df dd   E#.....gE#......
dd df df dd dd df df dd  dd df 00 ff ff ff ff ff   ................
ff ff ff ff ff ff ff ff  ff ff ff ff ff ff ff ff   ................
ff ff                                              ..              

ff ff ff ff ff ff ff 00  00 f0 f0 f0 f0 f1 f0 f0   ................
f0 f2 f0 f0 f0 f3 f0 f0  f0 f4 f0 f0 f0 f5 f0 f0   ................
f0 f6 f0 f0 f0 f7 f0 f0  f0 f8 f0 f0 f0 f9 f0 f0   ................
f0 fa f0 f0 f0 fb f0 f0  f0 fc f0 f0 f0 fd f0 f0   ................
f0 fe f0 f0 f0 00 00 00  00 ab 89 67 45 23 01 ef   ...........gE#..
cd ab 89 67 45 23 01 ef  cd ab 89 67 45 23 01 ef   ...gE#.....gE#..
cd ab 89 67 45 23 01 ef  cd ab 89 67 45 23 01 ef   ...gE#.....gE#..
cd ab 89 67 45 23 01 ef  cd ab 89 67 45 23 01 ef   ...gE#.....gE#..

cd ab 89 67 45 23 01 ef  cd ab 89 67 45 23 01 ef   ...gE#.....gE#..
cd ab 89 67 45 23 01 ef  cd ab 89 67 45 23 01 ef   ...gE#.....gE#..
cd ab 89 67 45 23 01 ef  cd ab 89 67 45 23 01 ef   ...gE#.....gE#..
cd ab 89 67 45 23 01 ef  cd ab 89 67 45 23 01 ef   ...gE#.....gE#..
cd 00 00 00 00 00 00 80  3f 00 00 00 3f ab aa aa   ........?...?...
3e 00 00 80 3e cd cc 4c  3e ab aa 2a 3e 25 49 12   >...>..L>..*>%I.
3e 00 00 00 3e 39 8e e3  3d cd cc cc 3d 8c 2e ba   >...>9..=...=...
3d ab aa aa 3d d9 89 9d  3d 25 49 92 3d 00 00 00   =...=...=%I.=...
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 08   ................
40 00 00 00 00 00 00 f8  3f 00 00 00 00 00 00 f0   @.......?.......
3f 00 00 00 00 00 00 e8  3f 33 33 33 33 33 33 e3   ?.......?333333.
3f 00 00 00 00 00 00 e0  3f db b6 6d db b6 6d db   ?.......?..m..m.
3f 00 00 00 00 00 00 d8  3f 55 55 55 55 55 55 d5   ?.......?UUUUUU.
3f 33 33 33 33 33 33 d3  3f 74 d1 45 17 5d 74 d1   ?333333.?t.E.]t.
3f 00 00 00 00 00 00 d0  3f 9e d8 89 9d d8 89 cd   ?.......?.......
3f db b6 6d db b6 6d cb  3f 67 45 23 01 ef cd ab   ?..m..m.?gE#....

89 3e 40 00 00 01 00 00  00 67 45 23 01 ef cd ab   .>@......gE#....
89 3e 40 00 00 ba 7f 00  00 67 45 23 01 ef cd ab   .>@......gE#....
89 3e 40 00 00 3b 00 00  00 67 45 23 01 ef cd ab   .>@..;...gE#....
89 3e 40 00 00 fc 7f 00  00 67 45 23 01 ef cd ab   .>@......gE#....
89 3e 40 00 00 ba 7f 00  00 67 45 23 01 ef cd ab   .>@......gE#....
89 3e 40 00 00 3b 00 00  00 67 45 23 01 ef cd ab   .>@..;...gE#....
89 3e 40 00 00 ba 7f 00  00 67 45 23 01 ef cd ab   .>@......gE#....
89 3e 40 00 00 00 00 00  00 67 45 23 01 ef cd ab   .>@......gE#....
89 3e 40 00 00 3b 00 00  00 67 45 23 01 ef cd ab   .>@..;...gE#....
89 3e 40 00 00 fc 7f 00  00 67 45 23 01 ef cd ab   .>@......gE#....
89 3e 40 00 00 fc 7f 00  00 67 45 23 01 ef cd ab   .>@......gE#....
89 3e 40 00 00 3b 00 00  00 67 45 23 01 ef cd ab   .>@..;...gE#....
89 3e 40 00 00 3b 00 00  00 67 45 23 01 ef cd ab   .>@..;...gE#....
89 3e 40 00 00 01 00 00  00 67 45 23 01 ef cd ab   .>@......gE#....
89 3e 40 00 00 00 00 00  00 00 01 02 03 04 05 06   .>@.............
07 08 09 0a 0b 0c 0d 0e  00 00 00 01 00 02 00 03   ................
00 04 00 05 00 06 00 07  00 08 00 09 00 0a 00 0b   ................
00 0c 00 0d 00 0e 00                               .......         

EXTRACTING ARRAY VALUES WITHOUT SWAPPING


*** CDR/XCDR1, big-endian (non-native)

STARTING INSERTION OF SINGLE VALUES WITH SWAPPING

BYTES WRITTEN: 360
01 11                                              ..              

23 45 67                                           #Eg             

ab cd ef 01                                        ....            

23 45 67 89 ab                                     #Eg..           

cd ef 22 00 01 23                                  .."..#          

45 67 89 ab cd ef 01                               Eg.....         

23 45 67 89 ab 3d cc cc                            #Eg..=..        

cd 00 00 00 00 3f c9 99  99                        .....?...       

99 99 99 9a 00 00 00 00  00 00                     ..........      

40 3e 89 ab cd ef 01 23  45 67 1a 00 00 b2 00 00   @>.....#Eg......
00 2c 54 68 69 73 20 69  73                        .,This is       

20 61 20 74 65 73 74 20  6f 66 20 74 68 65 20 73    a test of the s
74 72 69 6e 67 20 73 65  72 69 61 6c 69 7a         tring serializ  

61 74 69 6f 6e 2e 00 00  00 00 30 54 68 69 73 20   ation.....0This 
69 73 20 61 20 74 65 73  74 20 6f 66 20 74 68 65   is a test of the
20 73 74                                            st             

64 20 73 74 72 69 6e 67  20 73 65 72 69 61 6c 69   d string seriali
7a 61 74 69 6f 6e 2e 00  00 00 00 58 00 54 00 68   zation.....X.T.h
00 69 00 73 00 20 00 69                            .i.s. .i        

00 73 00 20 00 61 00 20  00 74 00 65 00 73 00 74   .s. .a. .t.e.s.t
00 20 00 6f 00 66 00 20  00 74 00 68 00 65 00 20   . .o.f. .t.h.e. 
00 77 00 73 00 74 00 72  00 69 00 6e 00            .w.s.t.r.i.n.   

67 00 20 00 73 00 65 00  72 00 69 00 61 00 6c 00   g. .s.e.r.i.a.l.
69 00 7a 00 61 00 74 00  69 00 6f 00 6e 00 2e 00   i.z.a.t.i.o.n...
00 00 60 00 54 00 68 00  69 00 73 00 20 00 69 00   ..`.T.h.i.s. .i.
73 00                                              s.              

20 00 61 00 20 00 74 00  65 00 73 00 74 00 20 00    .a. .t.e.s.t. .
6f 00 66 00 20 00 74 00  68 00 65 00 20 00 73 00   o.f. .t.h.e. .s.
74 00 64 00 20 00 77 00  73 00 74 00 72 00 69 00   t.d. .w.s.t.r.i.
6e 00 67 00 20 00 73 00  65 00 72 00 69 00 61 00   n.g. .s.e.r.i.a.
6c 00 69 00 7a 00 61 00  74 00 69 00 6f 00 6e 00   l.i.z.a.t.i.o.n.
2e                                                 .               

EXTRACTING SINGLE VALUES WITH SWAPPING

STARTING INSERTION OF ARRAY VALUES WITH SWAPPING

BYTES WRITTEN: 942
00 01                                              ..              

02 03 04                                           ...             

05 06 07 08                                        ....            

09 0a 0b 0c 0d                                     .....           

0e 00 01 00 01 04                                  ......          

05 04 05 08 09 08 09                               .......         

0c 0d 0c 00 00 00 01 00                            ........        

02 00 03 00 04 00 05 00  06                        .........       

00 07 00 08 00 09 00 0a  00 0b                     ..........      

00 0c 00 0d 00 0e 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f                        .........       

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f         ..............  

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 01 23 45 67 89   ............#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef                                           ...             

01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef                            .#Eg....        

01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89            .#Eg.....#Eg.   

ab cd ef 01 23 45 67 89  ab cd ef dd dd df df dd   ....#Eg.........
dd df df dd dd df df dd  dd df 00 ff ff ff ff ff   ................
ff ff ff ff ff ff ff ff  ff ff ff ff ff ff ff ff   ................
ff ff                                              ..              

ff ff ff ff ff ff ff 00  00 f0 f0 f0 f0 f0 f0 f0   ................
f1 f0 f0 f0 f2 f0 f0 f0  f3 f0 f0 f0 f4 f0 f0 f0   ................
f5 f0 f0 f0 f6 f0 f0 f0  f7 f0 f0 f0 f8 f0 f0 f0   ................
f9 f0 f0 f0 fa f0 f0 f0  fb f0 f0 f0 fc f0 f0 f0   ................
fd f0 f0 f0 fe 00 00 00  00 cd ef 01 23 45 67 89   ............#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.

ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab 00 00 00 00 3f 80 00  00 3f 00 00 00 3e aa aa   .....?...?...>..
ab 3e 80 00 00 3e 4c cc  cd 3e 2a aa ab 3e 12 49   .>...>L..>*..>.I
25 3e 00 00 00 3d e3 8e  39 3d cc cc cd 3d ba 2e   %>...=..9=...=..
8c 3d aa aa ab 3d 9d 89  d9 3d 92 49 25 00 00 00   .=...=...=.I%...
00 00 00 00 00 00 00 00  00 40 08 00 00 00 00 00   .........@......
00 3f f8 00 00 00 00 00  00 3f f0 00 00 00 00 00   .?.......?......
00 3f e8 00 00 00 00 00  00 3f e3 33 33 33 33 33   .?.......?.33333
33 3f e0 00 00 00 00 00  00 3f db 6d b6 db 6d b6   3?.......?.m..m.
db 3f d8 00 00 00 00 00  00 3f d5 55 55 55 55 55   .?.......?.UUUUU
55 3f d3 33 33 33 33 33  33 3f d1 74 5d 17 45 d1   U?.333333?.t].E.
74 3f d0 00 00 00 00 00  00 3f cd 89 d8 9d 89 d8   t?.......?......
9e 3f cb 6d b6 db 6d b6  db 00 00 00 01 00 00 40   .?.m..m........@

3e 89 ab cd ef 01 23 45  67 00 00 7f ba 00 00 40   >.....#Eg......@
3e 89 ab cd ef 01 23 45  67 00 00 00 3b 00 00 40   >.....#Eg...;..@
3e 89 ab cd ef 01 23 45  67 00 00 7f fc 00 00 40   >.....#Eg......@
3e 89 ab cd ef 01 23 45  67 00 00 7f ba 00 00 40   >.....#Eg......@
3e 89 ab cd ef 01 23 45  67 00 00 00 3b 00 00 40   >.....#Eg...;..@
3e 89 ab cd ef 01 23 45  67 00 00 7f ba 00 00 40   >.....#Eg......@
3e 89 ab cd ef 01 23 45  67 00 00 00 00 00 00 40   >.....#Eg......@
3e 89 ab cd ef 01 23 45  67 00 00 00 3b 00 00 40   >.....#Eg...;..@
3e 89 ab cd ef 01 23 45  67 00 00 7f fc 00 00 40   >.....#Eg......@
3e 89 ab cd ef 01 23 45  67 00 00 7f fc 00 00 40   >.....#Eg......@
3e 89 ab cd ef 01 23 45  67 00 00 00 3b 00 00 40   >.....#Eg...;..@
3e 89 ab cd ef 01 23 45  67 00 00 00 3b 00 00 40   >.....#Eg...;..@
3e 89 ab cd ef 01 23 45  67 00 00 00 01 00 00 40   >.....#Eg......@
3e 89 ab cd ef 01 23 45  67 00 00 00 00 00 00 40   >.....#Eg......@
3e 89 ab cd ef 01 23 45  67 00 01 02 03 04 05 06   >.....#Eg.......
07 08 09 0a 0b 0c 0d 0e  00 00 00 00 01 00 02 00   ................
03 00 04 00 05 00 06 00  07 00 08 00 09 00 0a 00   ................
0b 00 0c 00 0d 00 0e                               .......         

EXTRACTING ARRAY VALUES WITH SWAPPING


*** XCDR2, little-endian (native)

STARTING INSERTION OF SINGLE VALUES WITHOUT SWAPPING

BYTES WRITTEN: 356
01 11                                              ..              

45 23 ef                                           E#.             

cd ab 67 ef                                        ..g.            

cd ab 89 67 45                                     ...gE           

23 01 22 00 23 01                                  #.".#.          

ab 89 67 45 ab 89 67                               ..gE..g         

45 23 01 ef cd cd cc cc                            E#......        

3d 9a 99 99 99 99 99 c9  3f                        =.......?       

67 45 23 01 ef cd ab 89  3e 40                     gE#.....>@      

00 00 00 00 00 00 1a 00  b2 00 2c 00 00 00 54 68   ..........,...Th
69 73 20 69 73 20 61 20  74                        is is a t       

65 73 74 20 6f 66 20 74  68 65 20 73 74 72 69 6e   est of the strin
67 20 73 65 72 69 61 6c  69 7a 61 74 69 6f         g serializatio  

6e 2e 00 30 00 00 00 54  68 69 73 20 69 73 20 61   n..0...This is a
20 74 65 73 74 20 6f 66  20 74 68 65 20 73 74 64    test of the std
20 73 74                                            st             

72 69 6e 67 20 73 65 72  69 61 6c 69 7a 61 74 69   ring serializati
6f 6e 2e 00 58 00 00 00  54 00 68 00 69 00 73 00   on..X...T.h.i.s.
20 00 69 00 73 00 20 00                             .i.s. .        

61 00 20 00 74 00 65 00  73 00 74 00 20 00 6f 00   a. .t.e.s.t. .o.
66 00 20 00 74 00 68 00  65 00 20 00 77 00 73 00   f. .t.h.e. .w.s.
74 00 72 00 69 00 6e 00  67 00 20 00 73            t.r.i.n.g. .s   

00 65 00 72 00 69 00 61  00 6c 00 69 00 7a 00 61   .e.r.i.a.l.i.z.a
00 74 00 69 00 6f 00 6e  00 2e 00 60 00 00 00 54   .t.i.o.n...`...T
00 68 00 69 00 73 00 20  00 69 00 73 00 20 00 61   .h.i.s. .i.s. .a
00 20                                              .               

00 74 00 65 00 73 00 74  00 20 00 6f 00 66 00 20   .t.e.s.t. .o.f. 
00 74 00 68 00 65 00 20  00 73 00 74 00 64 00 20   .t.h.e. .s.t.d. 
00 77 00 73 00 74 00 72  00 69 00 6e 00 67 00 20   .w.s.t.r.i.n.g. 
00 73 00 65 00 72 00 69  00 61 00 6c 00 69 00 7a   .s.e.r.i.a.l.i.z
00 61 00 74 00 69 00 6f  00 6e 00 2e 00            .a.t.i.o.n...   

EXTRACTING SINGLE VALUES WITHOUT SWAPPING

STARTING INSERTION OF ARRAY VALUES WITHOUT SWAPPING

BYTES WRITTEN: 934
00 01                                              ..              

02 03 04                                           ...             

05 06 07 08                                        ....            

09 0a 0b 0c 0d                                     .....           

0e 00 01 00 01 04                                  ......          

05 04 05 08 09 08 09                               .......         

0c 0d 0c 00 00 01 00 02                            ........        

00 03 00 04 00 05 00 06  00                        .........       

07 00 08 00 09 00 0a 00  0b 00                     ..........      

0c 00 0d 00 0e 00 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f                        .........       

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f         ..............  

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f ef cd ab 89 67   ...............g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01                                           E#.             

ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01                            ....gE#.        

ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67            ....gE#.....g   

45 23 01 ef cd ab 89 67  45 23 01 dd dd df df dd   E#.....gE#......
dd df df dd dd df df dd  dd df 00 ff ff ff ff ff   ................
ff ff ff ff ff ff ff ff  ff ff ff ff ff ff ff ff   ................
ff ff                                              ..              

ff ff ff ff ff ff ff 00  00 f0 f0 f0 f0 f1 f0 f0   ................
f0 f2 f0 f0 f0 f3 f0 f0  f0 f4 f0 f0 f0 f5 f0 f0   ................
f0 f6 f0 f0 f0 f7 f0 f0  f0 f8 f0 f0 f0 f9 f0 f0   ................
f0 fa f0 f0 f0 fb f0 f0  f0 fc f0 f0 f0 fd f0 f0   ................
f0 fe f0 f0 f0 ab 89 67  45 23 01 ef cd ab 89 67   .......gE#.....g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g

45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01 ef cd ab 89 67  45 23 01 ef cd 00 00 00   E#.....gE#......
00 00 00 80 3f 00 00 00  3f ab aa aa 3e 00 00 80   ....?...?...>...
3e cd cc 4c 3e ab aa 2a  3e 25 49 12 3e 00 00 00   >..L>..*>%I.>...
3e 39 8e e3 3d cd cc cc  3d 8c 2e ba 3d ab aa aa   >9..=...=...=...
3d d9 89 9d 3d 25 49 92  3d 00 00 00 00 00 00 00   =...=%I.=.......
00 00 00 00 00 00 00 08  40 00 00 00 00 00 00 f8   ........@.......
3f 00 00 00 00 00 00 f0  3f 00 00 00 00 00 00 e8   ?.......?.......
3f 33 33 33 33 33 33 e3  3f 00 00 00 00 00 00 e0   ?333333.?.......
3f db b6 6d db b6 6d db  3f 00 00 00 00 00 00 d8   ?..m..m.?.......
3f 55 55 55 55 55 55 d5  3f 33 33 33 33 33 33 d3   ?UUUUUU.?333333.
3f 74 d1 45 17 5d 74 d1  3f 00 00 00 00 00 00 d0   ?t.E.]t.?.......
3f 9e d8 89 9d d8 89 cd  3f db b6 6d db b6 6d cb   ?.......?..m..m.
3f 67 45 23 01 ef cd ab  89 3e 40 00 00 01 00 00   ?gE#.....>@.....

00 67 45 23 01 ef cd ab  89 3e 40 00 00 ba 7f 00   .gE#.....>@.....
00 67 45 23 01 ef cd ab  89 3e 40 00 00 3b 00 00   .gE#.....>@..;..
00 67 45 23 01 ef cd ab  89 3e 40 00 00 fc 7f 00   .gE#.....>@.....
00 67 45 23 01 ef cd ab  89 3e 40 00 00 ba 7f 00   .gE#.....>@.....
00 67 45 23 01 ef cd ab  89 3e 40 00 00 3b 00 00   .gE#.....>@..;..
00 67 45 23 01 ef cd ab  89 3e 40 00 00 ba 7f 00   .gE#.....>@.....
00 67 45 23 01 ef cd ab  89 3e 40 00 00 00 00 00   .gE#.....>@.....
00 67 45 23 01 ef cd ab  89 3e 40 00 00 3b 00 00   .gE#.....>@..;..
00 67 45 23 01 ef cd ab  89 3e 40 00 00 fc 7f 00   .gE#.....>@.....
00 67 45 23 01 ef cd ab  89 3e 40 00 00 fc 7f 00   .gE#.....>@.....
00 67 45 23 01 ef cd ab  89 3e 40 00 00 3b 00 00   .gE#.....>@..;..
00 67 45 23 01 ef cd ab  89 3e 40 00 00 3b 00 00   .gE#.....>@..;..
00 67 45 23 01 ef cd ab  89 3e 40 00 00 01 00 00   .gE#.....>@.....
00 67 45 23 01 ef cd ab  89 3e 40 00 00 00 00 00   .gE#.....>@.....
00 00 01 02 03 04 05 06  07 08 09 0a 0b 0c 0d 0e   ................
00 00 00 01 00 02 00 03  00 04 00 05 00 06 00 07   ................
00 08 00 09 00 0a 00 0b  00 0c 00 0d 00 0e 00      ............... 

EXTRACTING ARRAY VALUES WITHOUT SWAPPING


*** XCDR2, big-endian (non-native)

STARTING INSERTION OF SINGLE VALUES WITH SWAPPING

BYTES WRITTEN: 356
01 11                                              ..              

23 45 67                                           #Eg             

ab cd ef 01                                        ....            

23 45 67 89 ab                                     #Eg..           

cd ef 22 00 01 23                                  .."..#          

45 67 89 ab cd ef 01                               Eg.....         

23 45 67 89 ab 3d cc cc                            #Eg..=..        

cd 3f c9 99 99 99 99 99  9a                        .?.......       

00 00 00 00 00 00 40 3e  89 ab                     ......@>..      

cd ef 01 23 45 67 1a 00  00 b2 00 00 00 2c 54 68   ...#Eg.......,Th
69 73 20 69 73 20 61 20  74                        is is a t       

65 73 74 20 6f 66 20 74  68 65 20 73 74 72 69 6e   est of the strin
67 20 73 65 72 69 61 6c  69 7a 61 74 69 6f         g serializatio  

6e 2e 00 00 00 00 30 54  68 69 73 20 69 73 20 61   n.....0This is a
20 74 65 73 74 20 6f 66  20 74 68 65 20 73 74 64    test of the std
20 73 74                                            st             

72 69 6e 67 20 73 65 72  69 61 6c 69 7a 61 74 69   ring serializati
6f 6e 2e 00 00 00 00 58  00 54 00 68 00 69 00 73   on.....X.T.h.i.s
00 20 00 69 00 73 00 20                            . .i.s.         

00 61 00 20 00 74 00 65  00 73 00 74 00 20 00 6f   .a. .t.e.s.t. .o
00 66 00 20 00 74 00 68  00 65 00 20 00 77 00 73   .f. .t.h.e. .w.s
00 74 00 72 00 69 00 6e  00 67 00 20 00            .t.r.i.n.g. .   

73 00 65 00 72 00 69 00  61 00 6c 00 69 00 7a 00   s.e.r.i.a.l.i.z.
61 00 74 00 69 00 6f 00  6e 00 2e 00 00 00 60 00   a.t.i.o.n.....`.
54 00 68 00 69 00 73 00  20 00 69 00 73 00 20 00   T.h.i.s. .i.s. .
61 00                                              a.              

20 00 74 00 65 00 73 00  74 00 20 00 6f 00 66 00    .t.e.s.t. .o.f.
20 00 74 00 68 00 65 00  20 00 73 00 74 00 64 00    .t.h.e. .s.t.d.
20 00 77 00 73 00 74 00  72 00 69 00 6e 00 67 00    .w.s.t.r.i.n.g.
20 00 73 00 65 00 72 00  69 00 61 00 6c 00 69 00    .s.e.r.i.a.l.i.
7a 00 61 00 74 00 69 00  6f 00 6e 00 2e            z.a.t.i.o.n..   

EXTRACTING SINGLE VALUES WITH SWAPPING

STARTING INSERTION OF ARRAY VALUES WITH SWAPPING

BYTES WRITTEN: 934
00 01                                              ..              

02 03 04                                           ...             

05 06 07 08                                        ....            

09 0a 0b 0c 0d                                     .....           

0e 00 01 00 01 04                                  ......          

05 04 05 08 09 08 09                               .......         

0c 0d 0c 00 00 00 01 00                            ........        

02 00 03 00 04 00 05 00  06                        .........       

00 07 00 08 00 09 00 0a  00 0b                     ..........      

00 0c 00 0d 00 0e 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f                        .........       

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f         ..............  

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 01 23 45 67 89   ............#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef                                           ...             

01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef                            .#Eg....        

01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89            .#Eg.....#Eg.   

ab cd ef 01 23 45 67 89  ab cd ef dd dd df df dd   ....#Eg.........
dd df df dd dd df df dd  dd df 00 ff ff ff ff ff   ................
ff ff ff ff ff ff ff ff  ff ff ff ff ff ff ff ff   ................
ff ff                                              ..              

ff ff ff ff ff ff ff 00  00 f0 f0 f0 f0 f0 f0 f0   ................
f1 f0 f0 f0 f2 f0 f0 f0  f3 f0 f0 f0 f4 f0 f0 f0   ................
f5 f0 f0 f0 f6 f0 f0 f0  f7 f0 f0 f0 f8 f0 f0 f0   ................
f9 f0 f0 f0 fa f0 f0 f0  fb f0 f0 f0 fc f0 f0 f0   ................
fd f0 f0 f0 fe cd ef 01  23 45 67 89 ab cd ef 01   ........#Eg.....
23 45 67 89 ab cd ef 01  23 45 67 89 ab cd ef 01   #Eg.....#Eg.....
23 45 67 89 ab cd ef 01  23 45 67 89 ab cd ef 01   #Eg.....#Eg.....
23 45 67 89 ab cd ef 01  23 45 67 89 ab cd ef 01   #Eg.....#Eg.....

23 45 67 89 ab cd ef 01  23 45 67 89 ab cd ef 01   #Eg.....#Eg.....
23 45 67 89 ab cd ef 01  23 45 67 89 ab cd ef 01   #Eg.....#Eg.....
23 45 67 89 ab cd ef 01  23 45 67 89 ab cd ef 01   #Eg.....#Eg.....
23 45 67 89 ab cd ef 01  23 45 67 89 ab 00 00 00   #Eg.....#Eg.....
00 3f 80 00 00 3f 00 00  00 3e aa aa ab 3e 80 00   .?...?...>...>..
00 3e 4c cc cd 3e 2a aa  ab 3e 12 49 25 3e 00 00   .>L..>*..>.I%>..
00 3d e3 8e 39 3d cc cc  cd 3d ba 2e 8c 3d aa aa   .=..9=...=...=..
ab 3d 9d 89 d9 3d 92 49  25 00 00 00 00 00 00 00   .=...=.I%.......
00 40 08 00 00 00 00 00  00 3f f8 00 00 00 00 00   .@.......?......
00 3f f0 00 00 00 00 00  00 3f e8 00 00 00 00 00   .?.......?......
00 3f e3 33 33 33 33 33  33 3f e0 00 00 00 00 00   .?.333333?......
00 3f db 6d b6 db 6d b6  db 3f d8 00 00 00 00 00   .?.m..m..?......
00 3f d5 55 55 55 55 55  55 3f d3 33 33 33 33 33   .?.UUUUUU?.33333
33 3f d1 74 5d 17 45 d1  74 3f d0 00 00 00 00 00   3?.t].E.t?......
00 3f cd 89 d8 9d 89 d8  9e 3f cb 6d b6 db 6d b6   .?.......?.m..m.
db 00 00 00 01 00 00 40  3e 89 ab cd ef 01 23 45   .......@>.....#E

67 00 00 7f ba 00 00 40  3e 89 ab cd ef 01 23 45   g......@>.....#E
67 00 00 00 3b 00 00 40  3e 89 ab cd ef 01 23 45   g...;..@>.....#E
67 00 00 7f fc 00 00 40  3e 89 ab cd ef 01 23 45   g......@>.....#E
67 00 00 7f ba 00 00 40  3e 89 ab cd ef 01 23 45   g......@>.....#E
67 00 00 00 3b 00 00 40  3e 89 ab cd ef 01 23 45   g...;..@>.....#E
67 00 00 7f ba 00 00 40  3e 89 ab cd ef 01 23 45   g......@>.....#E
67 00 00 00 00 00 00 40  3e 89 ab cd ef 01 23 45   g......@>.....#E
67 00 00 00 3b 00 00 40  3e 89 ab cd ef 01 23 45   g...;..@>.....#E
67 00 00 7f fc 00 00 40  3e 89 ab cd ef 01 23 45   g......@>.....#E
67 00 00 7f fc 00 00 40  3e 89 ab cd ef 01 23 45   g......@>.....#E
67 00 00 00 3b 00 00 40  3e 89 ab cd ef 01 23 45   g...;..@>.....#E
67 00 00 00 3b 00 00 40  3e 89 ab cd ef 01 23 45   g...;..@>.....#E
67 00 00 00 01 00 00 40  3e 89 ab cd ef 01 23 45   g......@>.....#E
67 00 00 00 00 00 00 40  3e 89 ab cd ef 01 23 45   g......@>.....#E
67 00 01 02 03 04 05 06  07 08 09 0a 0b 0c 0d 0e   g...............
00 00 00 00 01 00 02 00  03 00 04 00 05 00 06 00   ................
07 00 08 00 09 00 0a 00  0b 00 0c 00 0d 00 0e      ............... 

EXTRACTING ARRAY VALUES WITH SWAPPING

Running overrun test...

Running alignment tests...

Running alignment reset tests...

Running alignment overrun test...

Running encapsulation options tests...

SerializerTest PASSED
test PASSED.

auto_run_tests_finished: tests/DCPS/Serializer/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/Compiler/idl_test1_main/run_test.pl #

serialized_size_bound => <unbounded>
serialized_size => 79
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test1_main/idl_test1 PASSED

auto_run_tests_finished: tests/DCPS/Compiler/idl_test1_main/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/idl_test3_main/run_test.pl #

Xyz::StructOfArrayOfBoolean: serialized_size_bound(unaligned_encoding) => 5
Xyz::StructOfArrayOfBoolean: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfBoolean: serialized_size(unaligned_encoding, foo) => 5
Xyz::StructOfArrayOfBoolean: serialized_size(aligned_encoding, foo) => 5
Xyz::StructOfArrayOfBoolean: try_marshaling PASSED
Xyz::StructOfArrayOfString: serialized_size_bound(unaligned_encoding) => 60
Xyz::StructOfArrayOfString: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfString: serialized_size(unaligned_encoding, foo) => 39
Xyz::StructOfArrayOfString: serialized_size(aligned_encoding, foo) => 49
Xyz::StructOfArrayOfString: try_marshaling PASSED
Xyz::StructOfArrayOfChar: serialized_size_bound(unaligned_encoding) => 5
Xyz::StructOfArrayOfChar: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfChar: serialized_size(unaligned_encoding, foo) => 5
Xyz::StructOfArrayOfChar: serialized_size(aligned_encoding, foo) => 5
Xyz::StructOfArrayOfChar: try_marshaling PASSED
Xyz::StructOfArrayOfOctet: serialized_size_bound(unaligned_encoding) => 5
Xyz::StructOfArrayOfOctet: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfOctet: serialized_size(unaligned_encoding, foo) => 5
Xyz::StructOfArrayOfOctet: serialized_size(aligned_encoding, foo) => 5
Xyz::StructOfArrayOfOctet: try_marshaling PASSED
Xyz::StructOfArrayOfLong: serialized_size_bound(unaligned_encoding) => 20
Xyz::StructOfArrayOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfLong: serialized_size(unaligned_encoding, foo) => 20
Xyz::StructOfArrayOfLong: serialized_size(aligned_encoding, foo) => 20
Xyz::StructOfArrayOfLong: try_marshaling PASSED
Xyz::StructOfArrayOfAnEnum: serialized_size_bound(unaligned_encoding) => 20
Xyz::StructOfArrayOfAnEnum: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfAnEnum: serialized_size(unaligned_encoding, foo) => 20
Xyz::StructOfArrayOfAnEnum: serialized_size(aligned_encoding, foo) => 20
Xyz::StructOfArrayOfAnEnum: try_marshaling PASSED
Xyz::StructOfArrayOfArrayOfLong: serialized_size_bound(unaligned_encoding) => 140
Xyz::StructOfArrayOfArrayOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfArrayOfLong: serialized_size(unaligned_encoding, foo) => 140
Xyz::StructOfArrayOfArrayOfLong: serialized_size(aligned_encoding, foo) => 140
Xyz::StructOfArrayOfArrayOfLong: try_marshaling PASSED
Xyz::StructOfSeqOfBoolean: serialized_size_bound(unaligned_encoding) => 10
Xyz::StructOfSeqOfBoolean: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfBoolean: serialized_size(unaligned_encoding, foo) => 9
Xyz::StructOfSeqOfBoolean: serialized_size(aligned_encoding, foo) => 9
Xyz::StructOfSeqOfBoolean: try_marshaling PASSED
Xyz::StructOfSeqOfString: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size(unaligned_encoding, foo) => 43
Xyz::StructOfSeqOfString: serialized_size(aligned_encoding, foo) => 53
Xyz::StructOfSeqOfString: try_marshaling PASSED
Xyz::StructOfSeqOfChar: serialized_size_bound(unaligned_encoding) => 10
Xyz::StructOfSeqOfChar: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfChar: serialized_size(unaligned_encoding, foo) => 9
Xyz::StructOfSeqOfChar: serialized_size(aligned_encoding, foo) => 9
Xyz::StructOfSeqOfChar: try_marshaling PASSED
Xyz::StructOfSeqOfOctet: serialized_size_bound(unaligned_encoding) => 10
Xyz::StructOfSeqOfOctet: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfOctet: serialized_size(unaligned_encoding, foo) => 9
Xyz::StructOfSeqOfOctet: serialized_size(aligned_encoding, foo) => 9
Xyz::StructOfSeqOfOctet: try_marshaling PASSED
Xyz::StructOfSeqOfLong: serialized_size_bound(unaligned_encoding) => 28
Xyz::StructOfSeqOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfLong: serialized_size(unaligned_encoding, foo) => 24
Xyz::StructOfSeqOfLong: serialized_size(aligned_encoding, foo) => 24
Xyz::StructOfSeqOfLong: try_marshaling PASSED
Xyz::StructOfSeqOfAnEnum: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::StructOfSeqOfAnEnum: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfAnEnum: serialized_size(unaligned_encoding, foo) => 24
Xyz::StructOfSeqOfAnEnum: serialized_size(aligned_encoding, foo) => 24
Xyz::StructOfSeqOfAnEnum: try_marshaling PASSED
Xyz::StructOfArrayOfSeqOfLong: serialized_size_bound(unaligned_encoding) => 168
Xyz::StructOfArrayOfSeqOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfSeqOfLong: serialized_size(unaligned_encoding, foo) => 144
Xyz::StructOfArrayOfSeqOfLong: serialized_size(aligned_encoding, foo) => 144
Xyz::StructOfArrayOfSeqOfLong: try_marshaling PASSED
Xyz::StructOfSeqOfSeqOfLong: serialized_size_bound(unaligned_encoding) => 116
Xyz::StructOfSeqOfSeqOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfSeqOfLong: serialized_size(unaligned_encoding, foo) => 100
Xyz::StructOfSeqOfSeqOfLong: serialized_size(aligned_encoding, foo) => 100
Xyz::StructOfSeqOfSeqOfLong: try_marshaling PASSED
Xyz::StructAUnion: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::StructAUnion: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructAUnion: serialized_size(unaligned_encoding, foo) => 12
Xyz::StructAUnion: serialized_size(aligned_encoding, foo) => 12
Xyz::StructAUnion: try_marshaling PASSED
Xyz::StructOfSeqOfString: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size(unaligned_encoding, foo) => 23
Xyz::StructOfSeqOfString: serialized_size(aligned_encoding, foo) => 26
Xyz::StructOfSeqOfString: try_marshaling PASSED
Xyz::Foo: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::Foo: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::Foo: serialized_size(unaligned_encoding, foo) => 4529
Xyz::Foo: serialized_size(aligned_encoding, foo) => 5160
Xyz::Foo: try_marshaling PASSED
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test3_main/idl_test3 PASSED

auto_run_tests_finished: tests/DCPS/Compiler/idl_test3_main/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/Compiler/key_annotation/run_test.pl #

auto_run_tests_finished: tests/DCPS/Compiler/key_annotation/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/is_topic_type/run_test.pl #

is_topic_type: JSON::PP not installed, skipping test and returning 0.

auto_run_tests_finished: tests/DCPS/Compiler/is_topic_type/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/TryConstruct/run_test.pl #

[==========] Running 11 tests from 5 test cases.
[----------] Global test environment set-up.
[----------] 1 test from TestTryCon
[ RUN      ] TestTryCon.string
[       OK ] TestTryCon.string (1 ms)
[----------] 1 test from TestTryCon (1 ms total)

[----------] 3 tests from StructandSeq
[ RUN      ] StructandSeq.DISCARD
[       OK ] StructandSeq.DISCARD (10 ms)
[ RUN      ] StructandSeq.USE_DEFAULT
[       OK ] StructandSeq.USE_DEFAULT (2 ms)
[ RUN      ] StructandSeq.TRIM
[       OK ] StructandSeq.TRIM (1 ms)
[----------] 3 tests from StructandSeq (13 ms total)

[----------] 2 tests from AnonSequence
[ RUN      ] AnonSequence.Trim
[       OK ] AnonSequence.Trim (0 ms)
[ RUN      ] AnonSequence.USE_DEFAULT
[       OK ] AnonSequence.USE_DEFAULT (1 ms)
[----------] 2 tests from AnonSequence (1 ms total)

[----------] 2 tests from AnonArray
[ RUN      ] AnonArray.TRIM
[       OK ] AnonArray.TRIM (0 ms)
[ RUN      ] AnonArray.USE_DEFAULT
[       OK ] AnonArray.USE_DEFAULT (0 ms)
[----------] 2 tests from AnonArray (0 ms total)

[----------] 3 tests from Union
[ RUN      ] Union.DISCARD
[       OK ] Union.DISCARD (6 ms)
[ RUN      ] Union.USE_DEFAULT
[       OK ] Union.USE_DEFAULT (0 ms)
[ RUN      ] Union.TRIM
[       OK ] Union.TRIM (0 ms)
[----------] 3 tests from Union (6 ms total)

[----------] Global test environment tear-down
[==========] 11 tests from 5 test cases ran. (30 ms total)
[  PASSED  ] 11 tests.

auto_run_tests_finished: tests/DCPS/Compiler/TryConstruct/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/typeobject_hash_consistency/run_test.pl #

test PASSED

auto_run_tests_finished: tests/DCPS/Compiler/typeobject_hash_consistency/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/xcdr/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/xcdr/xcdr  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile test.log -DCPSPendingTimeout 3 
test PID: 1301 started at 2023-04-28 16:03:07
[==========] Running 78 tests from 6 test cases.
[----------] Global test environment set-up.
[----------] 13 tests from BasicTests
[ RUN      ] BasicTests.FinalXcdr1Struct
[       OK ] BasicTests.FinalXcdr1Struct (0 ms)
[ RUN      ] BasicTests.AppendableXcdr1Struct
[       OK ] BasicTests.AppendableXcdr1Struct (0 ms)
[ RUN      ] BasicTests.MutableXcdr1Struct
[       OK ] BasicTests.MutableXcdr1Struct (0 ms)
[ RUN      ] BasicTests.FinalXcdr2Struct
[       OK ] BasicTests.FinalXcdr2Struct (0 ms)
[ RUN      ] BasicTests.FinalXcdr2StructLE
[       OK ] BasicTests.FinalXcdr2StructLE (0 ms)
[ RUN      ] BasicTests.AppendableXcdr2Struct
[       OK ] BasicTests.AppendableXcdr2Struct (1 ms)
[ RUN      ] BasicTests.AppendableXcdr2StructLE
[       OK ] BasicTests.AppendableXcdr2StructLE (0 ms)
[ RUN      ] BasicTests.MutableXcdr2Struct
[       OK ] BasicTests.MutableXcdr2Struct (0 ms)
[ RUN      ] BasicTests.MutableXcdr2StructLE
[       OK ] BasicTests.MutableXcdr2StructLE (0 ms)
[ RUN      ] BasicTests.MutableXcdr12Union
[       OK ] BasicTests.MutableXcdr12Union (0 ms)
[ RUN      ] BasicTests.MutableXcdr12UnionLE
[       OK ] BasicTests.MutableXcdr12UnionLE (0 ms)
[ RUN      ] BasicTests.FinalUnion
[       OK ] BasicTests.FinalUnion (0 ms)
[ RUN      ] BasicTests.FinalUnionLE
[       OK ] BasicTests.FinalUnionLE (0 ms)
[----------] 13 tests from BasicTests (1 ms total)

[----------] 17 tests from AppendableTests
[ RUN      ] AppendableTests.FromNestedStruct
[       OK ] AppendableTests.FromNestedStruct (0 ms)
[ RUN      ] AppendableTests.FromAdditionalNestedStruct
[       OK ] AppendableTests.FromAdditionalNestedStruct (0 ms)
[ RUN      ] AppendableTests.FromAdditionalNestedStructLE
[       OK ] AppendableTests.FromAdditionalNestedStructLE (0 ms)
[ RUN      ] AppendableTests.BothAdditionalNestedStruct
[       OK ] AppendableTests.BothAdditionalNestedStruct (0 ms)
[ RUN      ] AppendableTests.BothAdditionalNestedStructLE
[       OK ] AppendableTests.BothAdditionalNestedStructLE (0 ms)
[ RUN      ] AppendableTests.BothAppendableStruct
[       OK ] AppendableTests.BothAppendableStruct (0 ms)
[ RUN      ] AppendableTests.BothAppendableStructLE
[       OK ] AppendableTests.BothAppendableStructLE (0 ms)
[ RUN      ] AppendableTests.FromAppendableStruct
[       OK ] AppendableTests.FromAppendableStruct (0 ms)
[ RUN      ] AppendableTests.FromAppendableStructLE
[       OK ] AppendableTests.FromAppendableStructLE (0 ms)
[ RUN      ] AppendableTests.FromAdditionalAppendableStruct
[       OK ] AppendableTests.FromAdditionalAppendableStruct (0 ms)
[ RUN      ] AppendableTests.FromAdditionalAppendableStructLE
[       OK ] AppendableTests.FromAdditionalAppendableStructLE (0 ms)
[ RUN      ] AppendableTests.BothAdditionalAppendableStruct
[       OK ] AppendableTests.BothAdditionalAppendableStruct (0 ms)
[ RUN      ] AppendableTests.BothAdditionalAppendableStructLE
[       OK ] AppendableTests.BothAdditionalAppendableStructLE (0 ms)
[ RUN      ] AppendableTests.BothAppendableWithNestedStruct2
[       OK ] AppendableTests.BothAppendableWithNestedStruct2 (1 ms)
[ RUN      ] AppendableTests.BothAppendableWithNestedStruct2LE
[       OK ] AppendableTests.BothAppendableWithNestedStruct2LE (0 ms)
[ RUN      ] AppendableTests.FromAppendableUnion
[       OK ] AppendableTests.FromAppendableUnion (0 ms)
[ RUN      ] AppendableTests.FromAppendableUnionLE
[       OK ] AppendableTests.FromAppendableUnionLE (0 ms)
[----------] 17 tests from AppendableTests (1 ms total)

[----------] 25 tests from MutableTests
[ RUN      ] MutableTests.BaselineXcdr1Test
[       OK ] MutableTests.BaselineXcdr1Test (0 ms)
[ RUN      ] MutableTests.BaselineXcdr2Test
[       OK ] MutableTests.BaselineXcdr2Test (0 ms)
[ RUN      ] MutableTests.BaselineXcdr2TestLE
[       OK ] MutableTests.BaselineXcdr2TestLE (0 ms)
[ RUN      ] MutableTests.BaselineXcdr2TestUnion
[       OK ] MutableTests.BaselineXcdr2TestUnion (0 ms)
[ RUN      ] MutableTests.BaselineXcdr2TestUnionLE
[       OK ] MutableTests.BaselineXcdr2TestUnionLE (0 ms)
[ RUN      ] MutableTests.FromMutableUnion
[       OK ] MutableTests.FromMutableUnion (0 ms)
[ RUN      ] MutableTests.FromMutableUnionLE
[       OK ] MutableTests.FromMutableUnionLE (0 ms)
[ RUN      ] MutableTests.FromModifiedMutableUnion
[       OK ] MutableTests.FromModifiedMutableUnion (0 ms)
[ RUN      ] MutableTests.FromModifiedMutableUnionLE
[       OK ] MutableTests.FromModifiedMutableUnionLE (0 ms)
[ RUN      ] MutableTests.ToReorderedXcdr1Test
[       OK ] MutableTests.ToReorderedXcdr1Test (0 ms)
[ RUN      ] MutableTests.FromReorderedXcdr1Test
[       OK ] MutableTests.FromReorderedXcdr1Test (0 ms)
[ RUN      ] MutableTests.ToReorderedXcdr2Test
[       OK ] MutableTests.ToReorderedXcdr2Test (0 ms)
[ RUN      ] MutableTests.ToReorderedXcdr2TestLE
[       OK ] MutableTests.ToReorderedXcdr2TestLE (0 ms)
[ RUN      ] MutableTests.FromReorderedXcdr2Test
[       OK ] MutableTests.FromReorderedXcdr2Test (0 ms)
[ RUN      ] MutableTests.ToAdditionalFieldXcdr1Test
[       OK ] MutableTests.ToAdditionalFieldXcdr1Test (0 ms)
[ RUN      ] MutableTests.FromAdditionalFieldXcdr1Test
[       OK ] MutableTests.FromAdditionalFieldXcdr1Test (0 ms)
[ RUN      ] MutableTests.ToAdditionalFieldXcdr2Test
[       OK ] MutableTests.ToAdditionalFieldXcdr2Test (0 ms)
[ RUN      ] MutableTests.FromAdditionalFieldMustUnderstandTest
[       OK ] MutableTests.FromAdditionalFieldMustUnderstandTest (0 ms)
[ RUN      ] MutableTests.FromAdditionalFieldXcdr2Test
[       OK ] MutableTests.FromAdditionalFieldXcdr2Test (0 ms)
[ RUN      ] MutableTests.LengthCodeTest
[       OK ] MutableTests.LengthCodeTest (0 ms)
[ RUN      ] MutableTests.ReadLc567Test
[       OK ] MutableTests.ReadLc567Test (0 ms)
[ RUN      ] MutableTests.BothMixedMutableStruct
[       OK ] MutableTests.BothMixedMutableStruct (0 ms)
[ RUN      ] MutableTests.BothMixedMutableStructLE
[       OK ] MutableTests.BothMixedMutableStructLE (0 ms)
[ RUN      ] MutableTests.FromMixedMutableStruct
[       OK ] MutableTests.FromMixedMutableStruct (0 ms)
[ RUN      ] MutableTests.FromMixedMutableStructLE
[       OK ] MutableTests.FromMixedMutableStructLE (0 ms)
[----------] 25 tests from MutableTests (1 ms total)

[----------] 6 tests from MixedExtenTests
[ RUN      ] MixedExtenTests.NestingFinalStruct
[       OK ] MixedExtenTests.NestingFinalStruct (0 ms)
[ RUN      ] MixedExtenTests.NestingFinalStructLE
[       OK ] MixedExtenTests.NestingFinalStructLE (0 ms)
[ RUN      ] MixedExtenTests.NestingAppendableStruct
[       OK ] MixedExtenTests.NestingAppendableStruct (0 ms)
[ RUN      ] MixedExtenTests.NestingAppendableStructLE
[       OK ] MixedExtenTests.NestingAppendableStructLE (0 ms)
[ RUN      ] MixedExtenTests.NestingMutableStruct
[       OK ] MixedExtenTests.NestingMutableStruct (0 ms)
[ RUN      ] MixedExtenTests.NestingMutableStructLE
[       OK ] MixedExtenTests.NestingMutableStructLE (0 ms)
[----------] 6 tests from MixedExtenTests (1 ms total)

[----------] 1 test from IdVsDeclOrder
[ RUN      ] IdVsDeclOrder.test
[       OK ] IdVsDeclOrder.test (0 ms)
[----------] 1 test from IdVsDeclOrder (0 ms total)

[----------] 16 tests from KeyTests
[ RUN      ] KeyTests.normal_BasicUnkeyedStruct
[       OK ] KeyTests.normal_BasicUnkeyedStruct (0 ms)
[ RUN      ] KeyTests.normal_BasicKeyedStruct
[       OK ] KeyTests.normal_BasicKeyedStruct (0 ms)
[ RUN      ] KeyTests.normal_UnkeyedUnion
[       OK ] KeyTests.normal_UnkeyedUnion (0 ms)
[ RUN      ] KeyTests.normal_KeyedUnion
[       OK ] KeyTests.normal_KeyedUnion (0 ms)
[ RUN      ] KeyTests.normal_ComplexUnkeyedStruct
[       OK ] KeyTests.normal_ComplexUnkeyedStruct (0 ms)
[ RUN      ] KeyTests.normal_ComplexKeyedStruct
[       OK ] KeyTests.normal_ComplexKeyedStruct (0 ms)
[ RUN      ] KeyTests.NestedKeyOnly_BasicUnkeyedStruct
[       OK ] KeyTests.NestedKeyOnly_BasicUnkeyedStruct (0 ms)
[ RUN      ] KeyTests.NestedKeyOnly_BasicKeyedStruct
[       OK ] KeyTests.NestedKeyOnly_BasicKeyedStruct (0 ms)
[ RUN      ] KeyTests.NestedKeyOnly_UnkeyedUnion
[       OK ] KeyTests.NestedKeyOnly_UnkeyedUnion (0 ms)
[ RUN      ] KeyTests.NestedKeyOnly_KeyedUnion
[       OK ] KeyTests.NestedKeyOnly_KeyedUnion (0 ms)
[ RUN      ] KeyTests.NestedKeyOnly_ComplexUnkeyedStruct
[       OK ] KeyTests.NestedKeyOnly_ComplexUnkeyedStruct (1 ms)
[ RUN      ] KeyTests.NestedKeyOnly_ComplexKeyedStruct
[       OK ] KeyTests.NestedKeyOnly_ComplexKeyedStruct (0 ms)
[ RUN      ] KeyTests.KeyOnly_BasicUnkeyedStruct
[       OK ] KeyTests.KeyOnly_BasicUnkeyedStruct (0 ms)
[ RUN      ] KeyTests.KeyOnly_BasicKeyedStruct
[       OK ] KeyTests.KeyOnly_BasicKeyedStruct (0 ms)
[ RUN      ] KeyTests.KeyOnly_UnkeyedUnion
[       OK ] KeyTests.KeyOnly_UnkeyedUnion (0 ms)
[ RUN      ] KeyTests.KeyOnly_KeyedUnion
[       OK ] KeyTests.KeyOnly_KeyedUnion (0 ms)
[----------] 16 tests from KeyTests (1 ms total)

[----------] Global test environment tear-down
[==========] 78 tests from 6 test cases ran. (5 ms total)
[  PASSED  ] 78 tests.
test PASSED.

auto_run_tests_finished: tests/DCPS/Compiler/xcdr/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/Compiler/XtypesExtensibility/run_test.pl #

[==========] Running 8 tests from 4 test cases.
[----------] Global test environment set-up.
[----------] 1 test from TestFinal
[ RUN      ] TestFinal.flags_match
[       OK ] TestFinal.flags_match (3 ms)
[----------] 1 test from TestFinal (3 ms total)

[----------] 1 test from TestAppendable
[ RUN      ] TestAppendable.flags_match
[       OK ] TestAppendable.flags_match (0 ms)
[----------] 1 test from TestAppendable (0 ms total)

[----------] 1 test from TestMutable
[ RUN      ] TestMutable.flags_match
[       OK ] TestMutable.flags_match (0 ms)
[----------] 1 test from TestMutable (0 ms total)

[----------] 5 tests from TestDefault
[ RUN      ] TestDefault.flags_match
[       OK ] TestDefault.flags_match (0 ms)
[ RUN      ] TestDefault.FinalEnum
[       OK ] TestDefault.FinalEnum (0 ms)
[ RUN      ] TestDefault.AppendableEnum
[       OK ] TestDefault.AppendableEnum (0 ms)
[ RUN      ] TestDefault.DefaultEnum
[       OK ] TestDefault.DefaultEnum (0 ms)
[ RUN      ] TestDefault.ZeroEnumDefaultEnum
[       OK ] TestDefault.ZeroEnumDefaultEnum (0 ms)
[----------] 5 tests from TestDefault (1 ms total)

[----------] Global test environment tear-down
[==========] 8 tests from 4 test cases ran. (4 ms total)
[  PASSED  ] 8 tests.

auto_run_tests_finished: tests/DCPS/Compiler/XtypesExtensibility/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/typeobject_generator/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/typeobject_generator/TypeObjectTest  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile test.log -DCPSPendingTimeout 3 
test PID: 1305 started at 2023-04-28 16:03:07
[==========] Running 2 tests from 1 test case.
[----------] Global test environment set-up.
[----------] 2 tests from TypeMapTest
[ RUN      ] TypeMapTest.Minimal
[       OK ] TypeMapTest.Minimal (14 ms)
[ RUN      ] TypeMapTest.Complete
[       OK ] TypeMapTest.Complete (0 ms)
[----------] 2 tests from TypeMapTest (14 ms total)

[----------] Global test environment tear-down
[==========] 2 tests from 1 test case ran. (14 ms total)
[  PASSED  ] 2 tests.
test PASSED.

auto_run_tests_finished: tests/DCPS/Compiler/typeobject_generator/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/keywords/run_test.pl classic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/keywords/classic/keywords-classic  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile test.log -DCPSPendingTimeout 3 
test PID: 1307 started at 2023-04-28 16:03:07
[==========] Running 8 tests from 4 test cases.
[----------] Global test environment set-up.
[----------] 2 tests from EscapedNonKeywords
[ RUN      ] EscapedNonKeywords.struct_topic_type
[       OK ] EscapedNonKeywords.struct_topic_type (0 ms)
[ RUN      ] EscapedNonKeywords.union_topic_type
[       OK ] EscapedNonKeywords.union_topic_type (0 ms)
[----------] 2 tests from EscapedNonKeywords (0 ms total)

[----------] 2 tests from IdlKeywords
[ RUN      ] IdlKeywords.struct_topic_type
[       OK ] IdlKeywords.struct_topic_type (0 ms)
[ RUN      ] IdlKeywords.union_topic_type
[       OK ] IdlKeywords.union_topic_type (0 ms)
[----------] 2 tests from IdlKeywords (0 ms total)

[----------] 2 tests from CppKeywords
[ RUN      ] CppKeywords.struct_topic_type
[       OK ] CppKeywords.struct_topic_type (0 ms)
[ RUN      ] CppKeywords.union_topic_type
[       OK ] CppKeywords.union_topic_type (0 ms)
[----------] 2 tests from CppKeywords (1 ms total)

[----------] 2 tests from DoubleKeywords
[ RUN      ] DoubleKeywords.struct_topic_type
[       OK ] DoubleKeywords.struct_topic_type (0 ms)
[ RUN      ] DoubleKeywords.union_topic_type
[       OK ] DoubleKeywords.union_topic_type (0 ms)
[----------] 2 tests from DoubleKeywords (0 ms total)

[----------] Global test environment tear-down
[==========] 8 tests from 4 test cases ran. (1 ms total)
[  PASSED  ] 8 tests.
test PASSED.

auto_run_tests_finished: tests/DCPS/Compiler/keywords/run_test.pl classic Time:1s Result:0

==============================================================================

tests/DCPS/Compiler/explicit_ints/run_test.pl classic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/explicit_ints/classic/explicit-ints-classic  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile test.log -DCPSPendingTimeout 3 
test PID: 1309 started at 2023-04-28 16:03:08
[==========] Running 1 test from 1 test case.
[----------] Global test environment set-up.
[----------] 1 test from ExplicitInts
[ RUN      ] ExplicitInts.min_max
[       OK ] ExplicitInts.min_max (0 ms)
[----------] 1 test from ExplicitInts (0 ms total)

[----------] Global test environment tear-down
[==========] 1 test from 1 test case ran. (0 ms total)
[  PASSED  ] 1 test.
test PASSED.

auto_run_tests_finished: tests/DCPS/Compiler/explicit_ints/run_test.pl classic Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/char_literals/run_test.pl classic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/char_literals/classic/char-literals-classic  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile test.log -DCPSPendingTimeout 3 
test PID: 1311 started at 2023-04-28 16:03:08
[==========] Running 3 tests from 1 test case.
[----------] Global test environment set-up.
[----------] 3 tests from CharLiterals
[ RUN      ] CharLiterals.char_literal_values
[       OK ] CharLiterals.char_literal_values (0 ms)
[ RUN      ] CharLiterals.wchar_literal_values
[       OK ] CharLiterals.wchar_literal_values (0 ms)
[ RUN      ] CharLiterals.str_literal_values
[       OK ] CharLiterals.str_literal_values (0 ms)
[----------] 3 tests from CharLiterals (1 ms total)

[----------] Global test environment tear-down
[==========] 3 tests from 1 test case ran. (1 ms total)
[  PASSED  ] 3 tests.
test PASSED.

auto_run_tests_finished: tests/DCPS/Compiler/char_literals/run_test.pl classic Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/union_defaults/run_test.pl #

[==========] Running 11 tests from 1 test case.
[----------] Global test environment set-up.
[----------] 11 tests from UnionDefault
[ RUN      ] UnionDefault.no_default
[       OK ] UnionDefault.no_default (0 ms)
[ RUN      ] UnionDefault.string
[       OK ] UnionDefault.string (0 ms)
[ RUN      ] UnionDefault.Z
[       OK ] UnionDefault.Z (0 ms)
[ RUN      ] UnionDefault.dummy
[       OK ] UnionDefault.dummy (0 ms)
[ RUN      ] UnionDefault.dummy_sequence
[       OK ] UnionDefault.dummy_sequence (1 ms)
[ RUN      ] UnionDefault.dummy_array
[       OK ] UnionDefault.dummy_array (0 ms)
[ RUN      ] UnionDefault.wstring
[       OK ] UnionDefault.wstring (0 ms)
[ RUN      ] UnionDefault.wchar
[       OK ] UnionDefault.wchar (0 ms)
[ RUN      ] UnionDefault.long_double
[       OK ] UnionDefault.long_double (0 ms)
[ RUN      ] UnionDefault.boolean
[       OK ] UnionDefault.boolean (0 ms)
[ RUN      ] UnionDefault.enum
[       OK ] UnionDefault.enum (0 ms)
[----------] 11 tests from UnionDefault (1 ms total)

[----------] Global test environment tear-down
[==========] 11 tests from 1 test case ran. (1 ms total)
[  PASSED  ] 11 tests.

auto_run_tests_finished: tests/DCPS/Compiler/union_defaults/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/DelayedDurable/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/DelayedDurable --writer -DCPSConfigFile rtps_disc.ini -DCPSPendingTimeout 3 
writer PID: 1316 started at 2023-04-28 16:03:08
(1316|1316) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(1316|1316) writer starting at  16:03:09.020227
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/DelayedDurable --reader -DCPSConfigFile rtps_disc.ini -DCPSPendingTimeout 3 
readerB PID: 1323 started at 2023-04-28 16:03:23
(1323|1323) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(1323|1323) reader starting at  16:03:24.022497
reader: Counter reached 981 at  16:03:26.947676
(1316|1316) writer ending at  16:03:47.551524
(1323|1323) reader ending at  16:03:57.052158
test PASSED.

auto_run_tests_finished: tests/DCPS/DelayedDurable/run_test.pl Time:49s Result:0

==============================================================================

tests/DCPS/DelayedDurable/run_test.pl --large-samples #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/DelayedDurable --writer -DCPSConfigFile rtps_disc.ini --large-samples -DCPSPendingTimeout 3 
writer PID: 1330 started at 2023-04-28 16:03:57
(1330|1330) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(1330|1330) writer starting at  16:03:57.318576
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/DelayedDurable --reader -DCPSConfigFile rtps_disc.ini --large-samples -DCPSPendingTimeout 3 
readerB PID: 1344 started at 2023-04-28 16:04:12
(1344|1344) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(1344|1344) reader starting at  16:04:12.314909
reader: Counter reached 95 at  16:04:15.786727
(1330|1330) writer ending at  16:04:35.558971
(1344|1344) reader ending at  16:04:45.540953
test PASSED.

auto_run_tests_finished: tests/DCPS/DelayedDurable/run_test.pl --large-samples Time:48s Result:0

==============================================================================

tests/DCPS/DelayedDurable/run_test.pl --early-reader #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/DelayedDurable --reader -DCPSConfigFile rtps_disc.ini --has-early-reader --report-last-value readerA.txt -DCPSPendingTimeout 3 
readerA PID: 1355 started at 2023-04-28 16:04:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/DelayedDurable --writer -DCPSConfigFile rtps_disc.ini --has-early-reader -DCPSPendingTimeout 3 
writer PID: 1356 started at 2023-04-28 16:04:45
(1355|1355) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(1356|1356) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(1355|1355) reader starting at  16:04:45.815221
(1356|1356) writer starting at  16:04:45.815210
reader: Counter reached 1 at  16:04:45.863781
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/DelayedDurable --reader -DCPSConfigFile rtps_disc.ini --has-early-reader --report-last-value readerB.txt -DCPSPendingTimeout 3 
readerB PID: 1367 started at 2023-04-28 16:04:55
(1367|1367) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(1367|1367) reader starting at  16:04:56.057579
(1356|1356) writer ending at  16:04:56.509740
reader: Counter reached 1 at  16:04:56.643526
(1355|1355) reader ending at  16:04:57.278465
(1367|1367) reader ending at  16:05:06.644399
test PASSED.

auto_run_tests_finished: tests/DCPS/DelayedDurable/run_test.pl --early-reader Time:21s Result:0

==============================================================================

tests/DCPS/Restart/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Restart/RestartTest  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile rt.log -DCPSPendingTimeout 3 
rt PID: 1382 started at 2023-04-28 16:05:06
Application 1 Starting
Application 1 retrieved domain participant factory
Application 1 created domain participant
Application 1 Started
Application 2 Starting
Application 2 retrieved domain participant factory
Application 2 created domain participant
Application 2 Started
Application 2 Ending
Application 2 did delete contained_entities
Application 2 did delete domain participant
Application 2 Ended
Application 3 Starting
Application 3 retrieved domain participant factory
Application 3 created domain participant
Application 3 Started
Application 3 Ending
Application 3 did delete contained_entities
Application 3 did delete domain participant
Application 3 Ended
Application 1 Ending
Application 1 did delete contained_entities
Application 1 did delete domain participant
Application 1 shutdown service participant
Application 1 Ended
Application 4 Starting
Application 4 retrieved domain participant factory
Application 4 created domain participant
Application 4 Started
Application 4 Ending
Application 4 did delete contained_entities
Application 4 did delete domain participant
Application 4 shutdown service participant
Application 4 Ended
test PASSED.

auto_run_tests_finished: tests/DCPS/Restart/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/sub_init_loop/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -ORBSvcConf repo.conf -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1403
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/sub_init_loop/subscriber -DCPSConfigFile sub.ini -v -DCPSPendingTimeout 3 
sub PID: 1410 started at 2023-04-28 16:05:07
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/sub_init_loop/publisher -DCPSConfigFile pub.ini  -DCPSPendingTimeout 3 
pub PID: 1411 started at 2023-04-28 16:05:07
(1411|1411) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(1410|1410) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(1403|1403) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1411|1411) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1410|1410) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1410|1410) Reinitializing subscriber.
(1410|1410) *** Destroying Subscriber
(1410|1410) Reinitializing subscriber.
(1410|1410) *** Destroying Subscriber
(1410|1410) Reinitializing subscriber.
(1410|1410) *** Destroying Subscriber
(1410|1410) Reinitializing subscriber.
(1410|1410) *** Destroying Subscriber
(1410|1410) Reinitializing subscriber.
(1410|1410) *** Destroying Subscriber
(1410|1410) Reinitializing subscriber.
(1410|1410) *** Destroying Subscriber
(1410|1410) Reinitializing subscriber.
(1410|1410) *** Destroying Subscriber
(1410|1410) Reinitializing subscriber.
(1410|1410) *** Destroying Subscriber
(1410|1410) Reinitializing subscriber.
(1410|1410) *** Destroying Subscriber
(1410|1410) Reinitializing subscriber.
(1410|1410) *** Destroying Subscriber
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/sub_init_loop/run_test.pl Time:5s Result:0

==============================================================================

tests/DCPS/BuiltInTopic/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior
InfoRepo PID: 1428
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopic/bit  -i 0 
client PID: 1435 started at 2023-04-28 16:05:12
(1428|1428) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1435|1435) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1435|1435) write begins.
(1435|1435) write  done
(1435|1435) read begins.
foo.x = 0.000000 foo.y = -1.000000, foo.key = 101010
(1435|1435) read done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/BuiltInTopic/run_test.pl Time:13s Result:0

==============================================================================

tests/DCPS/BuiltInTopic/run_test.pl ignore_part #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior
InfoRepo PID: 1445
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopic/bit  -i 1 
client PID: 1452 started at 2023-04-28 16:05:25
(1445|1445) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1452|1452) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Ignoring before the association
(1452|1452) IGNORE_PARTICIPANT,  participant 01030000.72cf6aa2.00000002.000001c1 ignore participant 01030000.72cf6aa2.00000002.000001c1 .
(1452|1452) IGNORE_PARTICIPANT, ignored participant 01030000.72cf6aa2.00000002.000001c1 has handle 0x2.
(1452|1452) write begins.
(1452|1452) write  done
(1452|1452) read begins.
(1452|1452) read done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/BuiltInTopic/run_test.pl ignore_part Time:14s Result:0

==============================================================================

tests/DCPS/BuiltInTopic/run_test.pl ignore_topic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior
InfoRepo PID: 1465
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopic/bit  -i 2 
client PID: 1472 started at 2023-04-28 16:05:39
(1465|1465) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1472|1472) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Ignoring before the association
(1472|1472) IGNORE_TOPIC, participant 01030000.2b9be008.00000002.000001c1 ignore topic 01030000.2b9be008.00000002.00000845 .
(1472|1472) IGNORE_TOPIC,  ignored topic 01030000.2b9be008.00000002.00000845 has handle 0x7.
(1472|1472) write begins.
(1472|1472) write  done
(1472|1472) read begins.
(1472|1472) read done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/BuiltInTopic/run_test.pl ignore_topic Time:13s Result:0

==============================================================================

tests/DCPS/BuiltInTopic/run_test.pl ignore_pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior
InfoRepo PID: 1485
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopic/bit  -i 3 
client PID: 1492 started at 2023-04-28 16:05:53
(1485|1485) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1492|1492) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Ignoring after the association
(1492|1492) IGNORE_PUBLICATION, participant 01030000.267dfa56.00000002.000001c1 ignore publication 01030000.267dfa56.00000002.00000102 .
(1492|1492) IGNORE_PUBLICATION,  ignored topic 01030000.267dfa56.00000002.00000102 has handle 0xb.
(1492|1492) write begins.
(1492|1492) write  done
(1492|1492) read begins.
(1492|1492) read done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/BuiltInTopic/run_test.pl ignore_pub Time:14s Result:0

==============================================================================

tests/DCPS/BuiltInTopic/run_test.pl ignore_sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior
InfoRepo PID: 1515
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopic/bit  -i 4 
client PID: 1522 started at 2023-04-28 16:06:06
(1515|1515) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1522|1522) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Ignoring after the association
(1522|1522) IGNORE_SUBSCRIPTION, participant 01030000.71a9e050.00000002.000001c1 ignore subscription 01030000.71a9e050.00000002.00000507 .
(1522|1522) IGNORE_SUBSCRIPTION,  ignored topic 01030000.71a9e050.00000002.00000507 has handle 0xa.
(1522|1522) write begins.
(1522|1522) write  done
(1522|1522) read begins.
(1522|1522) read done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/BuiltInTopic/run_test.pl ignore_sub Time:13s Result:0

==============================================================================

tests/DCPS/CorbaSeq/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -NOBITS -DCPSPendingTimeout 3 
InfoRepo PID: 1532
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CorbaSeq/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub.log -DCPSBit 0  -DCPSPendingTimeout 3 
pub PID: 1539 started at 2023-04-28 16:06:20
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CorbaSeq/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub.log -DCPSBit 0  -DCPSPendingTimeout 3 
sub PID: 1540 started at 2023-04-28 16:06:20
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/CorbaSeq/run_test.pl Time:5s Result:0

==============================================================================

tests/DCPS/NotifyTest/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1552
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/NotifyTest/publisher -d -u -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub.log -DCPSPendingTimeout 3 
pub PID: 1559 started at 2023-04-28 16:06:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/NotifyTest/subscriber -d -u -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub.log -DCPSPendingTimeout 3 
sub PID: 1560 started at 2023-04-28 16:06:24
(1552|1552) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
 expected 10/2/0
 recv 0
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
instance is disposed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
instance is disposed
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/NotifyTest/run_test.pl Time:4s Result:0

==============================================================================

tests/DCPS/Observer/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Observer/subscriber  -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 1578 started at 2023-04-28 16:06:28
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Observer/publisher  -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 1579 started at 2023-04-28 16:06:28
Reader1 observes SAMPLE_READ
on_enabled 1 reader 0103000c.297a35f2.062a6cd3.00000007
on_qos_changed 1 reader 0103000c.297a35f2.062a6cd3.00000007 qos.user_data: cba
Reader2 observes SAMPLE_TAKEN
on_enabled 2 reader 0103000c.297a35f2.062a6cd3.01000007
on_enabled 1 writer 0103000c.297a35f2.062b1ddd.00000002
Publisher waiting for subscriber...
on_qos_changed 2 reader 0103000c.297a35f2.062a6cd3.01000007 qos.user_data: cba
on_associated 1 reader 0103000c.297a35f2.062a6cd3.00000007 with writer 0103000c.297a35f2.062b1ddd.00000002
on_associated 2 reader 0103000c.297a35f2.062a6cd3.01000007 with writer 0103000c.297a35f2.062b1ddd.00000002
on_associated 1 writer 0103000c.297a35f2.062b1ddd.00000002 with reader 0103000c.297a35f2.062a6cd3.00000007
on_sample_sent 1 writer 0103000c.297a35f2.062b1ddd.00000002
on_associated 2 writer 0103000c.297a35f2.062b1ddd.00000002 with reader 0103000c.297a35f2.062a6cd3.01000007
on_sample_read 1 reader 0103000c.297a35f2.062a6cd3.00000007
on_sample_received 1 reader 0103000c.297a35f2.062a6cd3.00000007
on_sample_received 2 reader 0103000c.297a35f2.062a6cd3.01000007
on_sample_sent 2 writer 0103000c.297a35f2.062b1ddd.00000002
on_sample_read 2 reader 0103000c.297a35f2.062a6cd3.00000007
on_sample_received 3 reader 0103000c.297a35f2.062a6cd3.00000007
on_sample_received 4 reader 0103000c.297a35f2.062a6cd3.01000007
on_qos_changed 1 writer 0103000c.297a35f2.062b1ddd.00000002 qos.user_data: abc
on_sample_sent 3 writer 0103000c.297a35f2.062b1ddd.00000002
on_sample_received 5 reader 0103000c.297a35f2.062a6cd3.00000007
on_sample_taken 1 reader 0103000c.297a35f2.062a6cd3.01000007
on_sample_received 6 reader 0103000c.297a35f2.062a6cd3.01000007
on_sample_sent 4 writer 0103000c.297a35f2.062b1ddd.00000002
on_sample_read 3 reader 0103000c.297a35f2.062a6cd3.00000007
on_sample_received 7 reader 0103000c.297a35f2.062a6cd3.00000007
on_sample_received 8 reader 0103000c.297a35f2.062a6cd3.01000007
on_sample_sent 5 writer 0103000c.297a35f2.062b1ddd.00000002
on_sample_received 9 reader 0103000c.297a35f2.062a6cd3.00000007
on_sample_taken 2 reader 0103000c.297a35f2.062a6cd3.01000007
on_sample_received 10 reader 0103000c.297a35f2.062a6cd3.01000007
on_sample_sent 6 writer 0103000c.297a35f2.062b1ddd.00000002
on_sample_received 11 reader 0103000c.297a35f2.062a6cd3.00000007
on_sample_taken 3 reader 0103000c.297a35f2.062a6cd3.01000007
on_sample_received 12 reader 0103000c.297a35f2.062a6cd3.01000007
Publisher cleanup
Publisher delete_contained_entities
on_deleted 1 writer 0103000c.297a35f2.062b1ddd.00000002
on_sample_taken 4 reader 0103000c.297a35f2.062a6cd3.01000007
on_disassociated 1 writer 0103000c.297a35f2.062b1ddd.00000002 from reader 0103000c.297a35f2.062a6cd3.00000007
on_disassociated 2 writer 0103000c.297a35f2.062b1ddd.00000002 from reader 0103000c.297a35f2.062a6cd3.01000007
on_disassociated 1 reader 0103000c.297a35f2.062a6cd3.00000007 from writer 0103000c.297a35f2.062b1ddd.00000002
check_ = 1
Publisher delete_participant
on_disassociated 2 reader 0103000c.297a35f2.062a6cd3.01000007 from writer 0103000c.297a35f2.062b1ddd.00000002
Subscriber cleanup
Subscriber delete_contained_entities
on_deleted 1 reader 0103000c.297a35f2.062a6cd3.00000007
on_deleted 2 reader 0103000c.297a35f2.062a6cd3.01000007
Publisher TheServiceParticipant.shutdown
Subscriber delete_participant
check_ = 2
Publisher cleanup done
Subscriber TheServiceParticipant.shutdown
check_ = 3
Subscriber cleanup done
test PASSED.

auto_run_tests_finished: tests/DCPS/Observer/run_test.pl Time:12s Result:0

==============================================================================

tests/DCPS/ReliableBestEffortReaders/run_test.pl #

Testing best-effort readers:
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/subscriber  -DCPSConfigFile rtps_disc.ini -r 00 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 1593 started at 2023-04-28 16:06:40
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/publisher  -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 1594 started at 2023-04-28 16:06:40
Reader1: best-effort
Reader2: best-effort
Publisher waiting for subscriber...
Reader1 received 1:2:test
Reader2 received 1:2:test
Reader1 received 1:3:test
Reader2 received 1:3:test
Reader1 received 1:4:test
Reader2 received 1:4:test
Publisher cleanup
Reader1 received 1:5:test
Reader2 received 1:5:test
Reader1 received: 4/5
Reader2 received: 4/5
Subscriber cleanup
test PASSED.

Testing reliable readers:
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/subscriber  -DCPSConfigFile rtps_disc.ini -r 11 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 1607 started at 2023-04-28 16:06:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/publisher  -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 1608 started at 2023-04-28 16:06:45
Reader1: reliable
Reader2: reliable
Publisher waiting for subscriber...
Reader1 received 1:1:test
Reader2 received 1:1:test
Reader1 received 1:2:test
Reader2 received 1:2:test
Reader1 received 1:3:test
Reader2 received 1:3:test
Reader1 received 1:4:test
Reader2 received 1:4:test
Publisher cleanup
Reader1 received 1:5:test
Reader2 received 1:5:test
Reader1 received: 5/5
Reader2 received: 5/5
Subscriber cleanup
test PASSED.

Testing best-effort and reliable readers:
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/subscriber  -DCPSConfigFile rtps_disc.ini -r 01 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 1620 started at 2023-04-28 16:06:47
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/publisher  -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 1621 started at 2023-04-28 16:06:47
Reader1: best-effort
Reader2: reliable
Publisher waiting for subscriber...
Reader1 received 1:2:test
Reader2 received 1:1:test
Reader2 received 1:2:test
Reader1 received 1:3:test
Reader2 received 1:3:test
Reader1 received 1:4:test
Reader2 received 1:4:test
Publisher cleanup
Reader1 received 1:5:test
Reader2 received 1:5:test
Reader1 received: 4/5
Reader2 received: 5/5
Subscriber cleanup
test PASSED.

Testing reliable and best-effort readers:
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/subscriber  -DCPSConfigFile rtps_disc.ini -r 10 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 1633 started at 2023-04-28 16:06:53
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/publisher  -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 1634 started at 2023-04-28 16:06:53
Reader1: reliable
Reader2: best-effort
Publisher waiting for subscriber...
Reader2 received 1:2:test
Reader1 received 1:1:test
Reader1 received 1:2:test
Reader1 received 1:3:test
Reader2 received 1:3:test
Reader1 received 1:4:test
Reader2 received 1:4:test
Publisher cleanup
Reader1 received 1:5:test
Reader2 received 1:5:test
Reader1 received: 5/5
Reader2 received: 4/5
Subscriber cleanup
test PASSED.

auto_run_tests_finished: tests/DCPS/ReliableBestEffortReaders/run_test.pl Time:18s Result:0

==============================================================================

tests/DCPS/WriteDataContainer/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/WriteDataContainer/WriteDataContainerTest -DcpsBit 0 -ORBVerboseLogging 1 -DCPSDebugLevel 10 -DCPSTransportDebugLevel 1 -ORBLogFile WriteDataContainerTest.log -DCPSPendingTimeout 3 
WriteDataContainerTest PID: 1647 started at 2023-04-28 16:06:58
(1647|1647) write data container test start
test PASSED.

auto_run_tests_finished: tests/DCPS/WriteDataContainer/run_test.pl Time:2s Result:0

==============================================================================

tests/transport/simple/run_test.pl bp #

simple_subscriber -p 1:localhost:10119 -s 2:localhost:10256 -n 400 -q
simple_publisher -p 1:localhost:10119 -s 2:localhost:10256 -n 400 -c 128 -q
(1658|1661) DataReader association with 01030000.00000000.00000001.00000102(99dbc960) is done flags=1.
(1662|1665) DataWriter association with 01030000.00000000.00000001.00000207(c29c6e2c) is done flags=3.
(1662|1662) Publisher total time required was 0.17940 seconds.
(1658|1658) Total time required is 0.19263 seconds.

auto_run_tests_finished: tests/transport/simple/run_test.pl bp Time:17s Result:0

==============================================================================

tests/transport/simple/run_test.pl n #

simple_subscriber -p 1:localhost:10191 -s 2:localhost:11082 -n 400
simple_publisher -p 1:localhost:10191 -s 2:localhost:11082 -n 400
(1672|1675) DataReader association with 01030000.00000000.00000001.00000102(99dbc960) is done flags=1.
(1676|1679) DataWriter association with 01030000.00000000.00000001.00000207(c29c6e2c) is done flags=3.
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [1]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [2]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [3]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [4]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [5]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [6]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [7]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [8]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [9]]
(1676|1676) The transport has confirmed that a sample has been delivered.
(1672|1675) Data has been received:
(1676|1676) The transport has confirmed that a sample has been delivered.
(1672|1675) Message: [Hello World! [10]]
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [11]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [12]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [13]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [14]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [15]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [16]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [17]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [18]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [19]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [20]]
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [21]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [22]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [23]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [24]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [25]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [26]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [27]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [28]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [29]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [30]]
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [31]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [32]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [33]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [34]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [35]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [36]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [37]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [38]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [39]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [40]]
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [41]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [42]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [43]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [44]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [45]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [46]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [47]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [48]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [49]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [50]]
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [51]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [52]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [53]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [54]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [55]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [56]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [57]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [58]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [59]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [60]]
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [61]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [62]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [63]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [64]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [65]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [66]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [67]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [68]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [69]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [70]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [71]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [72]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [73]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [74]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [75]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [76]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [77]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [78]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [79]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [80]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [81]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [82]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [83]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [84]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [85]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [86]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [87]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [88]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [89]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [90]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [91]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [92]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [93]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [94]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [95]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [96]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [97]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [98]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [99]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [100]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [101]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [102]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [103]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [104]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [105]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [106]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [107]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [108]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [109]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [110]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [111]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [112]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [113]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [114]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [115]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [116]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [117]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [118]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [119]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [120]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [121]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [122]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [123]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [124]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [125]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [126]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [127]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [128]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [129]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [130]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [131]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [132]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [133]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [134]]
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [135]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [136]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [137]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [138]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [139]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [140]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [141]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [142]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [143]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [144]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [145]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [146]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [147]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [148]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [149]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [150]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [151]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [152]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [153]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [154]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [155]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [156]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [157]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [158]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [159]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [160]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [161]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [162]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [163]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [164]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [165]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [166]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [167]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [168]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [169]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [170]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [171]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [172]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [173]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [174]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [175]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [176]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [177]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [178]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [179]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [180]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [181]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [182]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [183]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [184]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [185]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [186]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [187]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [188]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [189]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [190]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [191]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [192]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [193]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [194]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [195]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [196]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [197]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [198]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [199]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [200]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [201]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [202]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [203]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [204]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [205]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [206]]
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) The transport has confirmed that a sample has been delivered.
(1676|1676) Publisher total time required was 0.33612 seconds.
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [207]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [208]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [209]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [210]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [211]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [212]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [213]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [214]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [215]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [216]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [217]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [218]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [219]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [220]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [221]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [222]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [223]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [224]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [225]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [226]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [227]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [228]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [229]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [230]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [231]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [232]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [233]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [234]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [235]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [236]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [237]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [238]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [239]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [240]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [241]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [242]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [243]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [244]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [245]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [246]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [247]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [248]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [249]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [250]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [251]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [252]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [253]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [254]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [255]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [256]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [257]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [258]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [259]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [260]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [261]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [262]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [263]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [264]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [265]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [266]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [267]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [268]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [269]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [270]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [271]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [272]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [273]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [274]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [275]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [276]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [277]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [278]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [279]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [280]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [281]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [282]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [283]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [284]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [285]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [286]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [287]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [288]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [289]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [290]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [291]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [292]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [293]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [294]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [295]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [296]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [297]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [298]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [299]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [300]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [301]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [302]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [303]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [304]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [305]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [306]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [307]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [308]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [309]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [310]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [311]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [312]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [313]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [314]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [315]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [316]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [317]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [318]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [319]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [320]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [321]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [322]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [323]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [324]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [325]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [326]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [327]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [328]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [329]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [330]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [331]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [332]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [333]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [334]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [335]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [336]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [337]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [338]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [339]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [340]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [341]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [342]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [343]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [344]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [345]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [346]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [347]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [348]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [349]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [350]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [351]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [352]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [353]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [354]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [355]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [356]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [357]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [358]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [359]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [360]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [361]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [362]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [363]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [364]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [365]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [366]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [367]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [368]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [369]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [370]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [371]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [372]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [373]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [374]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [375]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [376]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [377]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [378]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [379]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [380]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [381]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [382]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [383]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [384]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [385]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [386]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [387]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [388]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [389]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [390]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [391]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [392]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [393]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [394]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [395]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [396]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [397]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [398]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [399]]
(1672|1675) Data has been received:
(1672|1675) Message: [Hello World! [400]]
(1672|1672) Total time required is 0.49731 seconds.

auto_run_tests_finished: tests/transport/simple/run_test.pl n Time:2s Result:0

==============================================================================

tests/transport/simple/run_test.pl #

simple_subscriber -p 1:localhost:11454 -s 2:localhost:10907
simple_publisher -p 1:localhost:11454 -s 2:localhost:10907
(1681|1684) DataReader association with 01030000.00000000.00000001.00000102(99dbc960) is done flags=1.
(1685|1688) DataWriter association with 01030000.00000000.00000001.00000207(c29c6e2c) is done flags=3.
(1681|1684) Data has been received:
(1681|1684) Message: [Hello World! [1]]
(1685|1685) The transport has confirmed that a sample has been delivered.
(1685|1685) Publisher total time required was 0.869 seconds.
(1681|1681) Total time required is 0.1 seconds.

auto_run_tests_finished: tests/transport/simple/run_test.pl Time:2s Result:0

==============================================================================

tests/transport/simple/run_test.pl shmem bp #

simple_subscriber -p 1:localhost:10392 -s 2:localhost:10567 -m -n 400 -q
simple_publisher -p 1:localhost:10392 -s 2:localhost:10567 -m -n 400 -c 128 -q
(1690|1690) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1695|1695) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1690|1690) DataReader association with 01030000.00000000.00000001.00000102(99dbc960) is done flags=1.
(1695|1704) DataWriter association with 01030000.00000000.00000001.00000207(c29c6e2c) is done flags=3.
(1695|1695) Publisher total time required was 0.6187 seconds.
(1690|1690) Total time required is 0.8782 seconds.

auto_run_tests_finished: tests/transport/simple/run_test.pl shmem bp Time:18s Result:0

==============================================================================

tests/transport/simple/run_test.pl shmem n #

simple_subscriber -p 1:localhost:10082 -s 2:localhost:11402 -m -n 400
simple_publisher -p 1:localhost:10082 -s 2:localhost:11402 -m -n 400
(1708|1708) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1713|1713) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1708|1708) DataReader association with 01030000.00000000.00000001.00000102(99dbc960) is done flags=1.
(1713|1717) DataWriter association with 01030000.00000000.00000001.00000207(c29c6e2c) is done flags=3.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [1]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [2]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [3]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [4]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [5]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [6]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [7]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [8]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [9]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [10]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [11]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [12]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [13]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [14]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [15]]
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [16]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [17]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [18]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [19]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [20]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [21]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [22]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [23]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [24]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [25]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [26]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [27]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [28]]
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [29]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [30]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [31]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [32]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [33]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [34]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [35]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [36]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [37]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [38]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [39]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [40]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [41]]
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [42]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [43]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [44]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [45]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [46]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [47]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [48]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [49]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [50]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [51]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [52]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [53]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [54]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [55]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [56]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [57]]
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [58]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [59]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [60]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [61]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [62]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [63]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [64]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [65]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [66]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [67]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [68]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [69]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [70]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [71]]
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [72]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [73]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [74]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [75]]
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [76]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [77]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [78]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [79]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [80]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [81]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [82]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [83]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [84]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [85]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [86]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [87]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [88]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [89]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [90]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [91]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [92]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [93]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [94]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [95]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [96]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [97]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [98]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [99]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [100]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [101]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [102]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [103]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [104]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [105]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [106]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [107]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [108]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [109]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [110]]
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [111]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [112]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [113]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [114]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [115]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [116]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [117]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [118]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [119]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [120]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [121]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [122]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [123]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [124]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [125]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [126]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [127]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [128]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [129]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [130]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [131]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [132]]
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [133]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [134]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [135]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [136]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [137]]
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [138]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [139]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [140]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [141]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [142]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [143]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [144]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [145]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [146]]
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [147]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [148]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [149]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [150]]
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [151]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [152]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [153]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [154]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [155]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [156]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [157]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [158]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [159]]
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [160]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [161]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [162]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [163]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [164]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [165]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [166]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [167]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [168]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [169]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [170]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [171]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [172]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [173]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [174]]
(1713|1713) The transport has confirmed that a sample has been delivered.
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Data has been received:
(1713|1713) The transport has confirmed that a sample has been delivered.
(1708|1712) Message: [Hello World! [175]]
(1708|1712) Data has been received:
(1713|1713) Publisher total time required was 0.15369 seconds.
(1708|1712) Message: [Hello World! [176]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [177]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [178]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [179]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [180]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [181]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [182]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [183]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [184]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [185]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [186]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [187]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [188]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [189]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [190]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [191]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [192]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [193]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [194]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [195]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [196]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [197]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [198]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [199]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [200]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [201]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [202]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [203]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [204]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [205]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [206]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [207]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [208]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [209]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [210]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [211]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [212]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [213]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [214]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [215]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [216]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [217]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [218]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [219]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [220]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [221]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [222]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [223]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [224]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [225]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [226]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [227]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [228]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [229]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [230]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [231]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [232]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [233]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [234]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [235]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [236]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [237]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [238]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [239]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [240]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [241]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [242]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [243]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [244]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [245]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [246]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [247]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [248]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [249]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [250]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [251]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [252]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [253]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [254]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [255]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [256]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [257]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [258]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [259]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [260]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [261]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [262]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [263]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [264]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [265]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [266]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [267]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [268]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [269]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [270]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [271]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [272]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [273]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [274]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [275]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [276]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [277]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [278]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [279]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [280]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [281]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [282]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [283]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [284]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [285]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [286]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [287]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [288]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [289]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [290]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [291]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [292]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [293]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [294]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [295]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [296]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [297]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [298]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [299]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [300]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [301]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [302]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [303]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [304]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [305]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [306]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [307]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [308]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [309]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [310]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [311]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [312]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [313]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [314]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [315]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [316]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [317]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [318]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [319]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [320]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [321]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [322]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [323]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [324]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [325]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [326]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [327]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [328]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [329]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [330]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [331]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [332]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [333]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [334]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [335]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [336]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [337]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [338]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [339]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [340]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [341]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [342]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [343]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [344]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [345]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [346]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [347]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [348]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [349]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [350]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [351]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [352]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [353]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [354]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [355]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [356]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [357]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [358]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [359]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [360]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [361]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [362]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [363]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [364]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [365]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [366]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [367]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [368]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [369]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [370]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [371]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [372]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [373]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [374]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [375]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [376]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [377]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [378]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [379]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [380]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [381]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [382]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [383]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [384]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [385]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [386]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [387]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [388]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [389]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [390]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [391]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [392]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [393]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [394]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [395]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [396]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [397]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [398]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [399]]
(1708|1712) Data has been received:
(1708|1712) Message: [Hello World! [400]]
(1708|1708) Total time required is 0.32943 seconds.

auto_run_tests_finished: tests/transport/simple/run_test.pl shmem n Time:5s Result:0

==============================================================================

tests/transport/simple/run_test.pl shmem #

simple_subscriber -p 1:localhost:10215 -s 2:localhost:10823 -m
simple_publisher -p 1:localhost:10215 -s 2:localhost:10823 -m
(1719|1719) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1725|1725) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1719|1719) DataReader association with 01030000.00000000.00000001.00000102(99dbc960) is done flags=1.
(1725|1729) DataWriter association with 01030000.00000000.00000001.00000207(c29c6e2c) is done flags=3.
(1725|1725) The transport has confirmed that a sample has been delivered.
(1725|1725) Publisher total time required was 0.521 seconds.
(1719|1724) Data has been received:
(1719|1724) Message: [Hello World! [1]]
(1719|1719) Total time required is 0.1 seconds.

auto_run_tests_finished: tests/transport/simple/run_test.pl shmem Time:4s Result:0

==============================================================================

tests/transport/error_handling/run_test.pl #

test PASSED.

auto_run_tests_finished: tests/transport/error_handling/run_test.pl Time:0s Result:0

==============================================================================

tests/transport/network_resource/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/network_resource/NetworkResource  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile nr.log -DCPSPendingTimeout 3 
nr PID: 1741 started at 2023-04-28 16:07:48
[==========] Running 2 tests from 1 test case.
[----------] Global test environment set-up.
[----------] 2 tests from dds_DCPS_NetworkResource
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_double
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_double (102 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_triple
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_triple (152 ms)
[----------] 2 tests from dds_DCPS_NetworkResource (254 ms total)

[----------] Global test environment tear-down
[==========] 2 tests from 1 test case ran. (258 ms total)
[  PASSED  ] 2 tests.
test PASSED.

auto_run_tests_finished: tests/transport/network_resource/run_test.pl Time:1s Result:0

==============================================================================

performance-tests/DCPS/InfoRepo_population/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -NOBITS -o repo.ior
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/syncServer  -p2 -s1
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/publisher   -DCPSConfigFile pub.ini -DCPSBit 0 -t5 -n5 -p5 -s5
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/publisher   -DCPSConfigFile pub.ini -DCPSBit 0 -t5 -n5 -p5 -s5
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/subscriber   -DCPSConfigFile sub.ini -DCPSBit 0 -t5 -n5 -s5 -p10
(1752|1752) NOTICE: using DCPSBit value from command option (overrides value if it's in config file)
(1753|1753) NOTICE: using DCPSBit value from command option (overrides value if it's in config file)
(1754|1754) NOTICE: using DCPSBit value from command option (overrides value if it's in config file)
(1754|1754) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1752|1752) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1753|1753) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.

Role: Topic,  15 instances in 43 milliseconds.
Role: Participant,  15 instances in 8 milliseconds.
Role: Publisher,  10 instances in 214 milliseconds.
Role: Subscriber,  5 instances in 1020 milliseconds.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: performance-tests/DCPS/InfoRepo_population/run_test.pl Time:2s Result:0

==============================================================================

DevGuideExamples/DCPS/Messenger/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -ORBDebugLevel 1 -ORBLogFile DCPSInfoRepo.log -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1771
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger/subscriber  -ORBDebugLevel 1 -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 1778 started at 2023-04-28 16:07:51
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger/publisher  -ORBDebugLevel 1 -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 1779 started at 2023-04-28 16:07:51
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 100
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 101
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 102
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 103
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 104
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 105
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 106
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 107
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 108
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
test PASSED.

auto_run_tests_finished: DevGuideExamples/DCPS/Messenger/run_test.pl Time:0s Result:0

==============================================================================

DevGuideExamples/DCPS/Messenger/run_test.pl --rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger/subscriber  -ORBDebugLevel 1 -DCPSConfigFile rtps.ini -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 1795 started at 2023-04-28 16:07:51
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger/publisher  -ORBDebugLevel 1 -DCPSConfigFile rtps.ini -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 1796 started at 2023-04-28 16:07:51
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 100
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 101
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 102
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 103
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 104
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 105
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 106
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 107
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 108
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
test PASSED.

auto_run_tests_finished: DevGuideExamples/DCPS/Messenger/run_test.pl --rtps Time:3s Result:0

==============================================================================

DevGuideExamples/DCPS/Messenger_ZeroCopy/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile DCPSInfoRepo.log -o repo.ior 
TAO (1808|1808) - Completed initializing the process-wide service context
TAO (1808|1808) - Default ORB services initialization begins
TAO (1808|1808) - ORBInitializer_Registry::register_orb_initializer 0 @0x11df800
TAO (1808|1808) - ORBInitializer_Registry::register_orb_initializer 1 @0x11dcc00
TAO (1808|1808) - Default ORB services initialization completed
TAO (1808|1808) - We are the default ORB ...
TAO (1808|1808) - Initializing the orb-specific services
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger_ZeroCopy/publisher  -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile publisher.log
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger_ZeroCopy/subscriber  -ORBDebugLevel 10 -DCPSDebugLevel 10 -DCPSTransportDebugLevel 6 -ORBLogFile subscriber.log
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 2
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.

auto_run_tests_finished: DevGuideExamples/DCPS/Messenger_ZeroCopy/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/Messenger/run_test.pl udp #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -ORBDebugLevel 1 -ORBLogFile DCPSInfoRepo.log  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1834
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/subscriber -ORBDebugLevel 1 -DCPSConfigFile sub_udp.ini -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 1841 started at 2023-04-28 16:07:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/publisher -ORBDebugLevel 1 -DCPSConfigFile pub_udp.ini -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 1842 started at 2023-04-28 16:07:55
Starting publisher
Starting publisher with 1 args
Transport is UN-RELIABLE
Start Writing Samples
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
deleting contained entities
deleting participant
shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
test PASSED.

auto_run_tests_finished: tests/DCPS/Messenger/run_test.pl udp Time:10s Result:0

==============================================================================

tests/DCPS/Messenger/run_test.pl default_udp #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -ORBDebugLevel 1 -ORBLogFile DCPSInfoRepo.log  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1868
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/subscriber -ORBDebugLevel 1 -t udp -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 1875 started at 2023-04-28 16:08:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/publisher -ORBDebugLevel 1 -t udp -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 1876 started at 2023-04-28 16:08:05
Starting publisher
Starting publisher with 3 args
Transport is UN-RELIABLE
Start Writing Samples
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
deleting contained entities
deleting participant
shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
test PASSED.

auto_run_tests_finished: tests/DCPS/Messenger/run_test.pl default_udp Time:11s Result:0

==============================================================================

tests/DCPS/RecorderReplayer/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -ORBDebugLevel 1 -ORBLogFile DCPSInfoRepo.log   -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1899
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/publisher -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile pub.log -DCPSConfigFile pub.ini
publisher PID: 1906 started at 2023-04-28 16:08:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile sub.log -DCPSConfigFile sub.ini
subscriber PID: 1907 started at 2023-04-28 16:08:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/relay -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile relay.log -DCPSConfigFile relay.ini
relay PID: 1908 started at 2023-04-28 16:08:16
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 100
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 101
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 102
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 103
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 104
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 105
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 106
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 107
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 108
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
test PASSED.

auto_run_tests_finished: tests/DCPS/RecorderReplayer/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/RecorderReplayer/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/publisher -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile pub.log -DCPSConfigFile rtps_disc.ini
publisher PID: 1932 started at 2023-04-28 16:08:17
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile sub.log -DCPSConfigFile rtps_disc.ini
subscriber PID: 1933 started at 2023-04-28 16:08:17
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/relay -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile relay.log -DCPSConfigFile rtps_disc.ini
relay PID: 1934 started at 2023-04-28 16:08:17
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 104
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 105
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 106
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 107
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 108
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
test PASSED.

auto_run_tests_finished: tests/DCPS/RecorderReplayer/run_test.pl rtps_disc Time:2s Result:0

==============================================================================

tests/DCPS/RecorderLogging/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -ORBDebugLevel 1 -ORBLogFile DCPSInfoRepo.log   -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1952
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderLogging/publisher -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile pub.log -DCPSConfigFile pub.ini
publisher PID: 1959 started at 2023-04-28 16:08:20
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderLogging/recorder -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile recorder.log -DCPSConfigFile recorder.ini
recorder PID: 1960 started at 2023-04-28 16:08:20
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
test PASSED.

auto_run_tests_finished: tests/DCPS/RecorderLogging/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/RecorderLogging/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderLogging/publisher -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile pub.log -DCPSConfigFile rtps_disc.ini
publisher PID: 1976 started at 2023-04-28 16:08:20
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderLogging/recorder -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile recorder.log -DCPSConfigFile rtps_disc.ini
recorder PID: 1977 started at 2023-04-28 16:08:20
test PASSED.

auto_run_tests_finished: tests/DCPS/RecorderLogging/run_test.pl rtps_disc Time:2s Result:0

==============================================================================

examples/DCPS/Messenger_Imr/run_test.pl #

>>> /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/TAO/orbsvcs/ImplRepo_Service/tao_imr_locator -o imr.ior  -orbendpoint iiop://:11755
>>> /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/TAO/orbsvcs/ImplRepo_Service/tao_imr_activator -o activator.ior -ORBInitRef ImplRepoService=file://imr.ior  -orbendpoint iiop://:10770
>>> /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -NOBITS -o repo.ior  -ORBuseimr 1 -ORBInitRef ImplRepoService=file://imr.ior
>>> /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin/tao_imr -ORBInitRef ImplRepoService=file://imr.ior shutdown InfoRepo
Successfully shut down server <InfoRepo>
>>> /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin/tao_imr -ORBInitRef ImplRepoService=file://imr.ior update InfoRepo -l flea -c "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/.//DCPSInfoRepo  -NOBITS -o repo.ior  -ORBuseimr 1 -ORBInitRef ImplRepoService=file://imr.ior"
Successfully registered <InfoRepo>.
>>> /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin/tao_imr -ORBInitRef ImplRepoService=file://imr.ior list -v
Server <InfoRepo>
  Activator: flea
  Command Line: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/.//DCPSInfoRepo  -NOBITS -o repo.ior  -ORBuseimr 1 -ORBInitRef ImplRepoService=file://imr.ior
  Working Directory: 
  Activation Mode: NORMAL
  Number of retries: 0
  Not currently running

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_Imr/publisher   -DCPSConfigFile pub.ini -orbendpoint iiop://:11251
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_Imr/subscriber   -DCPSConfigFile sub.ini
DataReaderListenerImpl::on_subscription_matched
(2001|2001) Writer::start
(2001|2020) Writer::svc begins.
DataReaderListenerImpl::on_liveliness_changed
(2001|2020)  16:08:23.504553 Writer::svc starting to write.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
(2001|2020) Writer::svc finished.
(2001|2001) Writer::end
>>> /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin/tao_imr -ORBInitRef ImplRepoService=file://imr.ior shutdown InfoRepo
Successfully shut down server <InfoRepo>
test PASSED.

auto_run_tests_finished: examples/DCPS/Messenger_Imr/run_test.pl Time:5s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 2023
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_1.log
pub_1 PID: 2030 started at 2023-04-28 16:08:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log
sub_1 PID: 2031 started at 2023-04-28 16:08:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_2.log
pub_2 PID: 2032 started at 2023-04-28 16:08:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log
sub_2 PID: 2033 started at 2023-04-28 16:08:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_3.log
pub_3 PID: 2034 started at 2023-04-28 16:08:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log
sub_3 PID: 2035 started at 2023-04-28 16:08:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_4.log
pub_4 PID: 2037 started at 2023-04-28 16:08:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log
sub_4 PID: 2038 started at 2023-04-28 16:08:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_5.log
pub_5 PID: 2039 started at 2023-04-28 16:08:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log
sub_5 PID: 2040 started at 2023-04-28 16:08:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_6.log
pub_6 PID: 2041 started at 2023-04-28 16:08:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log
sub_6 PID: 2042 started at 2023-04-28 16:08:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_7.log
pub_7 PID: 2043 started at 2023-04-28 16:08:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log
sub_7 PID: 2044 started at 2023-04-28 16:08:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_8.log
pub_8 PID: 2045 started at 2023-04-28 16:08:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log
sub_8 PID: 2046 started at 2023-04-28 16:08:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_9.log
pub_9 PID: 2048 started at 2023-04-28 16:08:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log
sub_9 PID: 2049 started at 2023-04-28 16:08:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_10.log
pub_10 PID: 2050 started at 2023-04-28 16:08:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log
sub_10 PID: 2051 started at 2023-04-28 16:08:27
(2023|2023) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Subscriber 2033 got new message data:
 - From  : 2034
 - Count : 1
Subscriber 2033 is done. Exiting.
Subscriber 2031 got new message data:
 - From  : 2034
 - Count : 6
Subscriber 2031 is done. Exiting.
Subscriber 2031 got new message data:
 - From  : 2034
 - Count : 7
Subscriber 2031 got new message data:
 - From  : 2032
 - Count : 5
Subscriber 2035 got new message data:
 - From  : 2034
 - Count : 15
Subscriber 2035 is done. Exiting.
Subscriber 2035 got new message data:
 - From  : 2032
 - Count : 13
Subscriber 2042 got new message data:
 - From  : 2034
 - Count : 26
Subscriber 2042 is done. Exiting.
Subscriber 2040 got new message data:
 - From  : 2034
 - Count : 33
Subscriber 2040 is done. Exiting.
Subscriber 2040 got new message data:
 - From  : 2030
 - Count : 21
Subscriber 2040 got new message data:
 - From  : 2037
 - Count : 10
Subscriber 2038 got new message data:
 - From  : 2034
 - Count : 105
Subscriber 2038 got new message data:
 - From  : 2032
 - Count : 103
Subscriber 2038 is done. Exiting.
Subscriber 2044 got new message data:
 - From  : 2032
 - Count : 110
Subscriber 2044 is done. Exiting.
Subscriber 2051 got new message data:
 - From  : 2034
 - Count : 123
Subscriber 2051 got new message data:
 - From  : 2032
 - Count : 121
Subscriber 2051 is done. Exiting.
Subscriber 2046 got new message data:
 - From  : 2034
 - Count : 142
Subscriber 2046 is done. Exiting.
Subscriber 2046 got new message data:
 - From  : 2032
 - Count : 140
Subscriber 2046 got new message data:
 - From  : 2030
 - Count : 130
Subscriber 2049 got new message data:
 - From  : 2034
 - Count : 146
Subscriber 2049 is done. Exiting.
Subscriber 2049 got new message data:
 - From  : 2034
 - Count : 147
Subscriber 2049 got new message data:
 - From  : 2043
 - Count : 53
Subscriber 2049 got new message data:
 - From  : 2043
 - Count : 54
Subscriber 2049 got new message data:
 - From  : 2032
 - Count : 145
Publisher 2034 is done. Exiting.
Publisher 2032 is done. Exiting.
Publisher 2030 is done. Exiting.
Publisher 2037 is done. Exiting.
Publisher 2043 is done. Exiting.
Publisher 2041 is done. Exiting.
Publisher 2039 is done. Exiting.
Publisher 2045 is done. Exiting.
Publisher 2048 is done. Exiting.
Publisher 2050 is done. Exiting.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl publishers 10 subscribers 10 Time:10s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl rtps publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 2195
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_1.log -DCPSConfigFile rtps.ini 
pub_1 PID: 2202 started at 2023-04-28 16:08:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log -DCPSConfigFile rtps.ini 
sub_1 PID: 2203 started at 2023-04-28 16:08:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_2.log -DCPSConfigFile rtps.ini 
pub_2 PID: 2204 started at 2023-04-28 16:08:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log -DCPSConfigFile rtps.ini 
sub_2 PID: 2205 started at 2023-04-28 16:08:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_3.log -DCPSConfigFile rtps.ini 
pub_3 PID: 2206 started at 2023-04-28 16:08:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log -DCPSConfigFile rtps.ini 
sub_3 PID: 2207 started at 2023-04-28 16:08:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_4.log -DCPSConfigFile rtps.ini 
pub_4 PID: 2208 started at 2023-04-28 16:08:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log -DCPSConfigFile rtps.ini 
sub_4 PID: 2209 started at 2023-04-28 16:08:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_5.log -DCPSConfigFile rtps.ini 
pub_5 PID: 2210 started at 2023-04-28 16:08:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log -DCPSConfigFile rtps.ini 
sub_5 PID: 2211 started at 2023-04-28 16:08:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_6.log -DCPSConfigFile rtps.ini 
pub_6 PID: 2212 started at 2023-04-28 16:08:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log -DCPSConfigFile rtps.ini 
sub_6 PID: 2213 started at 2023-04-28 16:08:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_7.log -DCPSConfigFile rtps.ini 
pub_7 PID: 2214 started at 2023-04-28 16:08:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log -DCPSConfigFile rtps.ini 
sub_7 PID: 2215 started at 2023-04-28 16:08:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_8.log -DCPSConfigFile rtps.ini 
pub_8 PID: 2216 started at 2023-04-28 16:08:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log -DCPSConfigFile rtps.ini 
sub_8 PID: 2217 started at 2023-04-28 16:08:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_9.log -DCPSConfigFile rtps.ini 
pub_9 PID: 2218 started at 2023-04-28 16:08:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log -DCPSConfigFile rtps.ini 
sub_9 PID: 2219 started at 2023-04-28 16:08:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_10.log -DCPSConfigFile rtps.ini 
pub_10 PID: 2220 started at 2023-04-28 16:08:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log -DCPSConfigFile rtps.ini 
sub_10 PID: 2221 started at 2023-04-28 16:08:38
(2195|2195) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Subscriber 2203 got new message data:
 - From  : 2202
 - Count : 4
Subscriber 2203 is done. Exiting.
Subscriber 2205 got new message data:
 - From  : 2202
 - Count : 12
Subscriber 2205 is done. Exiting.
Subscriber 2205 got new message data:
 - From  : 2204
 - Count : 14
Subscriber 2205 got new message data:
 - From  : 2206
 - Count : 10
Subscriber 2205 got new message data:
 - From  : 2202
 - Count : 13
Subscriber 2205 got new message data:
 - From  : 2204
 - Count : 15
Subscriber 2205 got new message data:
 - From  : 2206
 - Count : 11
Subscriber 2205 got new message data:
 - From  : 2202
 - Count : 14
Subscriber 2205 got new message data:
 - From  : 2204
 - Count : 16
Subscriber 2207 got new message data:
 - From  : 2206
 - Count : 13
Subscriber 2207 is done. Exiting.
Subscriber 2221 got new message data:
 - From  : 2204
 - Count : 32
Subscriber 2221 got new message data:
 - From  : 2206
 - Count : 28
Subscriber 2221 got new message data:
 - From  : 2202
 - Count : 31
Subscriber 2221 is done. Exiting.
Subscriber 2209 got new message data:
 - From  : 2202
 - Count : 43
Subscriber 2209 is done. Exiting.
Subscriber 2211 got new message data:
 - From  : 2202
 - Count : 105
Subscriber 2211 got new message data:
 - From  : 2208
 - Count : 83
Subscriber 2211 got new message data:
 - From  : 2204
 - Count : 107
Subscriber 2211 got new message data:
 - From  : 2220
 - Count : 79
Subscriber 2211 got new message data:
 - From  : 2206
 - Count : 103
Subscriber 2211 is done. Exiting.
Subscriber 2211 got new message data:
 - From  : 2202
 - Count : 106
Subscriber 2211 got new message data:
 - From  : 2210
 - Count : 58
Subscriber 2211 got new message data:
 - From  : 2208
 - Count : 84
Subscriber 2211 got new message data:
 - From  : 2204
 - Count : 108
Subscriber 2211 got new message data:
 - From  : 2220
 - Count : 80
Subscriber 2211 got new message data:
 - From  : 2206
 - Count : 104
Subscriber 2213 got new message data:
 - From  : 2204
 - Count : 117
Subscriber 2213 got new message data:
 - From  : 2206
 - Count : 113
Subscriber 2213 got new message data:
 - From  : 2202
 - Count : 116
Subscriber 2213 got new message data:
 - From  : 2210
 - Count : 68
Subscriber 2213 is done. Exiting.
Subscriber 2213 got new message data:
 - From  : 2208
 - Count : 94
Subscriber 2217 got new message data:
 - From  : 2204
 - Count : 133
Subscriber 2217 got new message data:
 - From  : 2206
 - Count : 129
Subscriber 2217 got new message data:
 - From  : 2202
 - Count : 132
Subscriber 2217 is done. Exiting.
Subscriber 2217 got new message data:
 - From  : 2210
 - Count : 84
Subscriber 2217 got new message data:
 - From  : 2208
 - Count : 110
Subscriber 2217 got new message data:
 - From  : 2204
 - Count : 134
Subscriber 2217 got new message data:
 - From  : 2212
 - Count : 21
Subscriber 2217 got new message data:
 - From  : 2220
 - Count : 106
Subscriber 2217 got new message data:
 - From  : 2206
 - Count : 130
Subscriber 2217 got new message data:
 - From  : 2214
 - Count : 11
Subscriber 2217 got new message data:
 - From  : 2202
 - Count : 133
Subscriber 2217 got new message data:
 - From  : 2210
 - Count : 85
Subscriber 2217 got new message data:
 - From  : 2208
 - Count : 111
Subscriber 2215 got new message data:
 - From  : 2204
 - Count : 138
Subscriber 2215 got new message data:
 - From  : 2206
 - Count : 134
Subscriber 2215 got new message data:
 - From  : 2202
 - Count : 137
Subscriber 2215 got new message data:
 - From  : 2208
 - Count : 115
Subscriber 2215 got new message data:
 - From  : 2210
 - Count : 89
Subscriber 2215 got new message data:
 - From  : 2212
 - Count : 26
Subscriber 2215 is done. Exiting.
Subscriber 2219 got new message data:
 - From  : 2204
 - Count : 153
Subscriber 2219 got new message data:
 - From  : 2206
 - Count : 149
Subscriber 2219 got new message data:
 - From  : 2202
 - Count : 152
Subscriber 2219 got new message data:
 - From  : 2208
 - Count : 130
Subscriber 2219 got new message data:
 - From  : 2210
 - Count : 104
Subscriber 2219 got new message data:
 - From  : 2212
 - Count : 41
Subscriber 2219 is done. Exiting.
Publisher 2204 is done. Exiting.
Publisher 2202 is done. Exiting.
Publisher 2206 is done. Exiting.
Publisher 2208 is done. Exiting.
Publisher 2220 is done. Exiting.
Publisher 2210 is done. Exiting.
Publisher 2212 is done. Exiting.
Publisher 2214 is done. Exiting.
Publisher 2216 is done. Exiting.
Publisher 2218 is done. Exiting.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl rtps publishers 10 subscribers 10 Time:11s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl rtps_disc publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_1.log -DCPSConfigFile rtps_disc.ini 
pub_1 PID: 2358 started at 2023-04-28 16:08:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log -DCPSConfigFile rtps_disc.ini 
sub_1 PID: 2359 started at 2023-04-28 16:08:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_2.log -DCPSConfigFile rtps_disc.ini 
pub_2 PID: 2360 started at 2023-04-28 16:08:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log -DCPSConfigFile rtps_disc.ini 
sub_2 PID: 2361 started at 2023-04-28 16:08:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_3.log -DCPSConfigFile rtps_disc.ini 
pub_3 PID: 2362 started at 2023-04-28 16:08:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log -DCPSConfigFile rtps_disc.ini 
sub_3 PID: 2363 started at 2023-04-28 16:08:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_4.log -DCPSConfigFile rtps_disc.ini 
pub_4 PID: 2364 started at 2023-04-28 16:08:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log -DCPSConfigFile rtps_disc.ini 
sub_4 PID: 2365 started at 2023-04-28 16:08:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_5.log -DCPSConfigFile rtps_disc.ini 
pub_5 PID: 2366 started at 2023-04-28 16:08:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log -DCPSConfigFile rtps_disc.ini 
sub_5 PID: 2367 started at 2023-04-28 16:08:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_6.log -DCPSConfigFile rtps_disc.ini 
pub_6 PID: 2368 started at 2023-04-28 16:08:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log -DCPSConfigFile rtps_disc.ini 
sub_6 PID: 2369 started at 2023-04-28 16:08:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_7.log -DCPSConfigFile rtps_disc.ini 
pub_7 PID: 2370 started at 2023-04-28 16:08:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log -DCPSConfigFile rtps_disc.ini 
sub_7 PID: 2371 started at 2023-04-28 16:08:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_8.log -DCPSConfigFile rtps_disc.ini 
pub_8 PID: 2372 started at 2023-04-28 16:08:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log -DCPSConfigFile rtps_disc.ini 
sub_8 PID: 2373 started at 2023-04-28 16:08:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_9.log -DCPSConfigFile rtps_disc.ini 
pub_9 PID: 2374 started at 2023-04-28 16:08:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log -DCPSConfigFile rtps_disc.ini 
sub_9 PID: 2375 started at 2023-04-28 16:08:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_10.log -DCPSConfigFile rtps_disc.ini 
pub_10 PID: 2376 started at 2023-04-28 16:08:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log -DCPSConfigFile rtps_disc.ini 
sub_10 PID: 2377 started at 2023-04-28 16:08:48
Subscriber 2365 got new message data:
 - From  : 2362
 - Count : 167
Subscriber 2365 is done. Exiting.
Subscriber 2373 got new message data:
 - From  : 2362
 - Count : 215
Subscriber 2373 is done. Exiting.
Subscriber 2377 got new message data:
 - From  : 2362
 - Count : 218
Subscriber 2377 is done. Exiting.
Subscriber 2371 got new message data:
 - From  : 2360
 - Count : 229
Subscriber 2371 is done. Exiting.
Subscriber 2363 got new message data:
 - From  : 2358
 - Count : 230
Subscriber 2363 is done. Exiting.
Subscriber 2367 got new message data:
 - From  : 2360
 - Count : 235
Subscriber 2367 is done. Exiting.
Subscriber 2369 got new message data:
 - From  : 2360
 - Count : 262
Subscriber 2369 is done. Exiting.
Subscriber 2359 got new message data:
 - From  : 2360
 - Count : 307
Subscriber 2359 is done. Exiting.
Subscriber 2361 got new message data:
 - From  : 2370
 - Count : 344
Subscriber 2361 is done. Exiting.
Subscriber 2361 got new message data:
 - From  : 2372
 - Count : 338
Subscriber 2361 got new message data:
 - From  : 2364
 - Count : 350
Subscriber 2375 got new message data:
 - From  : 2360
 - Count : 359
Subscriber 2375 is done. Exiting.
Publisher 2360 is done. Exiting.
Publisher 2358 is done. Exiting.
Publisher 2364 is done. Exiting.
Publisher 2362 is done. Exiting.
Publisher 2366 is done. Exiting.
Publisher 2368 is done. Exiting.
Publisher 2370 is done. Exiting.
Publisher 2372 is done. Exiting.
Publisher 2374 is done. Exiting.
Publisher 2376 is done. Exiting.
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl rtps_disc publishers 10 subscribers 10 Time:8s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl rtps_disc_tcp publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_1.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_1 PID: 2561 started at 2023-04-28 16:08:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_1 PID: 2562 started at 2023-04-28 16:08:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_2.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_2 PID: 2565 started at 2023-04-28 16:08:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_2 PID: 2566 started at 2023-04-28 16:08:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_3.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_3 PID: 2567 started at 2023-04-28 16:08:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_3 PID: 2568 started at 2023-04-28 16:08:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_4.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_4 PID: 2569 started at 2023-04-28 16:08:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_4 PID: 2570 started at 2023-04-28 16:08:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_5.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_5 PID: 2574 started at 2023-04-28 16:08:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_5 PID: 2575 started at 2023-04-28 16:08:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_6.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_6 PID: 2576 started at 2023-04-28 16:08:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_6 PID: 2577 started at 2023-04-28 16:08:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_7.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_7 PID: 2578 started at 2023-04-28 16:08:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_7 PID: 2579 started at 2023-04-28 16:08:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_8.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_8 PID: 2580 started at 2023-04-28 16:08:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_8 PID: 2581 started at 2023-04-28 16:08:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_9.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_9 PID: 2582 started at 2023-04-28 16:08:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_9 PID: 2583 started at 2023-04-28 16:08:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_10.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_10 PID: 2584 started at 2023-04-28 16:08:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_10 PID: 2585 started at 2023-04-28 16:08:56
Subscriber 2566 got new message data:
 - From  : 2561
 - Count : 269
Subscriber 2566 is done. Exiting.
Subscriber 2562 got new message data:
 - From  : 2561
 - Count : 270
Subscriber 2562 is done. Exiting.
Subscriber 2583 got new message data:
 - From  : 2565
 - Count : 282
Subscriber 2583 is done. Exiting.
Subscriber 2585 got new message data:
 - From  : 2561
 - Count : 284
Subscriber 2585 is done. Exiting.
Subscriber 2579 got new message data:
 - From  : 2561
 - Count : 288
Subscriber 2579 is done. Exiting.
Subscriber 2575 got new message data:
 - From  : 2561
 - Count : 289
Subscriber 2575 is done. Exiting.
Subscriber 2581 got new message data:
 - From  : 2565
 - Count : 295
Subscriber 2581 is done. Exiting.
Subscriber 2577 got new message data:
 - From  : 2561
 - Count : 294
Subscriber 2577 is done. Exiting.
Subscriber 2570 got new message data:
 - From  : 2561
 - Count : 297
Subscriber 2570 is done. Exiting.
Subscriber 2568 got new message data:
 - From  : 2567
 - Count : 300
Subscriber 2568 is done. Exiting.
Publisher 2565 is done. Exiting.
Publisher 2561 is done. Exiting.
Publisher 2567 is done. Exiting.
Publisher 2569 is done. Exiting.
Publisher 2574 is done. Exiting.
Publisher 2578 is done. Exiting.
Publisher 2576 is done. Exiting.
Publisher 2580 is done. Exiting.
Publisher 2582 is done. Exiting.
Publisher 2584 is done. Exiting.
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl rtps_disc_tcp publishers 10 subscribers 10 Time:9s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl large_samples publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 2727
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_1.log
pub_1 PID: 2734 started at 2023-04-28 16:09:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log
sub_1 PID: 2735 started at 2023-04-28 16:09:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_2.log
pub_2 PID: 2736 started at 2023-04-28 16:09:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log
sub_2 PID: 2737 started at 2023-04-28 16:09:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_3.log
pub_3 PID: 2738 started at 2023-04-28 16:09:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log
sub_3 PID: 2739 started at 2023-04-28 16:09:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_4.log
pub_4 PID: 2740 started at 2023-04-28 16:09:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log
sub_4 PID: 2741 started at 2023-04-28 16:09:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_5.log
pub_5 PID: 2743 started at 2023-04-28 16:09:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log
sub_5 PID: 2744 started at 2023-04-28 16:09:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_6.log
pub_6 PID: 2745 started at 2023-04-28 16:09:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log
sub_6 PID: 2747 started at 2023-04-28 16:09:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_7.log
pub_7 PID: 2748 started at 2023-04-28 16:09:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log
sub_7 PID: 2750 started at 2023-04-28 16:09:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_8.log
pub_8 PID: 2751 started at 2023-04-28 16:09:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log
sub_8 PID: 2752 started at 2023-04-28 16:09:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_9.log
pub_9 PID: 2753 started at 2023-04-28 16:09:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log
sub_9 PID: 2755 started at 2023-04-28 16:09:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_10.log
pub_10 PID: 2756 started at 2023-04-28 16:09:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log
sub_10 PID: 2757 started at 2023-04-28 16:09:05
(2727|2727) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Subscriber 2737 got new message data:
 - From  : 2734
 - Count : 1
Subscriber 2739 got new message data:
 - From  : 2734
 - Count : 1
Subscriber 2744 got new message data:
 - From  : 2734
 - Count : 1
Subscriber 2739 is done. Exiting.
Subscriber 2747 got new message data:
 - From  : 2734
 - Count : 1
Subscriber 2737 is done. Exiting.
Subscriber 2744 is done. Exiting.
Subscriber 2747 is done. Exiting.
Subscriber 2757 got new message data:
 - From  : 2734
 - Count : 1
Subscriber 2757 is done. Exiting.
Subscriber 2755 got new message data:
 - From  : 2734
 - Count : 1
Subscriber 2757 got new message data:
 - From  : 2738
 - Count : 1
Subscriber 2755 got new message data:
 - From  : 2738
 - Count : 1
Subscriber 2755 is done. Exiting.
Subscriber 2752 got new message data:
 - From  : 2734
 - Count : 1
Subscriber 2752 is done. Exiting.
Subscriber 2739 got new message data:
 - From  : 2738
 - Count : 1
Subscriber 2750 got new message data:
 - From  : 2734
 - Count : 1
Subscriber 2750 got new message data:
 - From  : 2738
 - Count : 1
Subscriber 2741 got new message data:
 - From  : 2734
 - Count : 1
Subscriber 2750 is done. Exiting.
Subscriber 2741 is done. Exiting.
Subscriber 2735 got new message data:
 - From  : 2734
 - Count : 1
Subscriber 2735 is done. Exiting.
Subscriber 2739 got new message data:
 - From  : 2736
 - Count : 1
Subscriber 2757 got new message data:
 - From  : 2736
 - Count : 1
Subscriber 2739 got new message data:
 - From  : 2740
 - Count : 1
Subscriber 2757 got new message data:
 - From  : 2740
 - Count : 1
Subscriber 2739 got new message data:
 - From  : 2743
 - Count : 1
Subscriber 2757 got new message data:
 - From  : 2743
 - Count : 1
Subscriber 2757 got new message data:
 - From  : 2745
 - Count : 1
Publisher 2734 is done. Exiting.
Publisher 2738 is done. Exiting.
Publisher 2736 is done. Exiting.
Publisher 2740 is done. Exiting.
Publisher 2743 is done. Exiting.
Publisher 2745 is done. Exiting.
Publisher 2756 is done. Exiting.
Publisher 2748 is done. Exiting.
Publisher 2751 is done. Exiting.
Publisher 2753 is done. Exiting.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl large_samples publishers 10 subscribers 10 Time:10s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl large_samples rtps publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 2894
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_1.log -DCPSConfigFile rtps.ini 
pub_1 PID: 2901 started at 2023-04-28 16:09:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log -DCPSConfigFile rtps.ini 
sub_1 PID: 2902 started at 2023-04-28 16:09:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_2.log -DCPSConfigFile rtps.ini 
pub_2 PID: 2903 started at 2023-04-28 16:09:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log -DCPSConfigFile rtps.ini 
sub_2 PID: 2904 started at 2023-04-28 16:09:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_3.log -DCPSConfigFile rtps.ini 
pub_3 PID: 2905 started at 2023-04-28 16:09:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log -DCPSConfigFile rtps.ini 
sub_3 PID: 2906 started at 2023-04-28 16:09:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_4.log -DCPSConfigFile rtps.ini 
pub_4 PID: 2907 started at 2023-04-28 16:09:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log -DCPSConfigFile rtps.ini 
sub_4 PID: 2908 started at 2023-04-28 16:09:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_5.log -DCPSConfigFile rtps.ini 
pub_5 PID: 2909 started at 2023-04-28 16:09:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log -DCPSConfigFile rtps.ini 
sub_5 PID: 2910 started at 2023-04-28 16:09:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_6.log -DCPSConfigFile rtps.ini 
pub_6 PID: 2911 started at 2023-04-28 16:09:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log -DCPSConfigFile rtps.ini 
sub_6 PID: 2912 started at 2023-04-28 16:09:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_7.log -DCPSConfigFile rtps.ini 
pub_7 PID: 2913 started at 2023-04-28 16:09:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log -DCPSConfigFile rtps.ini 
sub_7 PID: 2914 started at 2023-04-28 16:09:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_8.log -DCPSConfigFile rtps.ini 
pub_8 PID: 2915 started at 2023-04-28 16:09:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log -DCPSConfigFile rtps.ini 
sub_8 PID: 2916 started at 2023-04-28 16:09:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_9.log -DCPSConfigFile rtps.ini 
pub_9 PID: 2917 started at 2023-04-28 16:09:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log -DCPSConfigFile rtps.ini 
sub_9 PID: 2918 started at 2023-04-28 16:09:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_10.log -DCPSConfigFile rtps.ini 
pub_10 PID: 2919 started at 2023-04-28 16:09:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log -DCPSConfigFile rtps.ini 
sub_10 PID: 2920 started at 2023-04-28 16:09:16
(2894|2894) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Subscriber 2920 got new message data:
 - From  : 2901
 - Count : 1
Subscriber 2918 got new message data:
 - From  : 2901
 - Count : 1
Subscriber 2910 got new message data:
 - From  : 2901
 - Count : 1
Subscriber 2916 got new message data:
 - From  : 2901
 - Count : 1
Subscriber 2908 got new message data:
 - From  : 2901
 - Count : 1
Subscriber 2906 got new message data:
 - From  : 2901
 - Count : 1
Subscriber 2902 got new message data:
 - From  : 2901
 - Count : 1
Subscriber 2904 got new message data:
 - From  : 2901
 - Count : 1
Subscriber 2910 is done. Exiting.
Subscriber 2904 is done. Exiting.
Subscriber 2916 is done. Exiting.
Subscriber 2908 is done. Exiting.
Subscriber 2906 is done. Exiting.
Subscriber 2902 is done. Exiting.
Subscriber 2904 got new message data:
 - From  : 2903
 - Count : 1
Subscriber 2918 is done. Exiting.
Subscriber 2910 got new message data:
 - From  : 2903
 - Count : 1
Subscriber 2920 is done. Exiting.
Subscriber 2916 got new message data:
 - From  : 2903
 - Count : 1
Subscriber 2912 got new message data:
 - From  : 2901
 - Count : 1
Subscriber 2912 is done. Exiting.
Subscriber 2914 got new message data:
 - From  : 2901
 - Count : 1
Subscriber 2914 is done. Exiting.
Subscriber 2916 got new message data:
 - From  : 2905
 - Count : 1
Subscriber 2910 got new message data:
 - From  : 2905
 - Count : 1
Subscriber 2916 got new message data:
 - From  : 2907
 - Count : 1
Subscriber 2910 got new message data:
 - From  : 2907
 - Count : 1
Publisher 2901 is done. Exiting.
Publisher 2903 is done. Exiting.
Publisher 2905 is done. Exiting.
Publisher 2907 is done. Exiting.
Publisher 2909 is done. Exiting.
Publisher 2919 is done. Exiting.
Publisher 2911 is done. Exiting.
Publisher 2913 is done. Exiting.
Publisher 2917 is done. Exiting.
Publisher 2915 is done. Exiting.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl large_samples rtps publishers 10 subscribers 10 Time:11s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl large_samples rtps_disc publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_1.log -DCPSConfigFile rtps_disc.ini 
pub_1 PID: 3067 started at 2023-04-28 16:09:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log -DCPSConfigFile rtps_disc.ini 
sub_1 PID: 3068 started at 2023-04-28 16:09:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_2.log -DCPSConfigFile rtps_disc.ini 
pub_2 PID: 3069 started at 2023-04-28 16:09:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log -DCPSConfigFile rtps_disc.ini 
sub_2 PID: 3070 started at 2023-04-28 16:09:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_3.log -DCPSConfigFile rtps_disc.ini 
pub_3 PID: 3071 started at 2023-04-28 16:09:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log -DCPSConfigFile rtps_disc.ini 
sub_3 PID: 3072 started at 2023-04-28 16:09:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_4.log -DCPSConfigFile rtps_disc.ini 
pub_4 PID: 3073 started at 2023-04-28 16:09:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log -DCPSConfigFile rtps_disc.ini 
sub_4 PID: 3074 started at 2023-04-28 16:09:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_5.log -DCPSConfigFile rtps_disc.ini 
pub_5 PID: 3075 started at 2023-04-28 16:09:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log -DCPSConfigFile rtps_disc.ini 
sub_5 PID: 3076 started at 2023-04-28 16:09:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_6.log -DCPSConfigFile rtps_disc.ini 
pub_6 PID: 3077 started at 2023-04-28 16:09:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log -DCPSConfigFile rtps_disc.ini 
sub_6 PID: 3078 started at 2023-04-28 16:09:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_7.log -DCPSConfigFile rtps_disc.ini 
pub_7 PID: 3079 started at 2023-04-28 16:09:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log -DCPSConfigFile rtps_disc.ini 
sub_7 PID: 3080 started at 2023-04-28 16:09:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_8.log -DCPSConfigFile rtps_disc.ini 
pub_8 PID: 3081 started at 2023-04-28 16:09:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log -DCPSConfigFile rtps_disc.ini 
sub_8 PID: 3083 started at 2023-04-28 16:09:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_9.log -DCPSConfigFile rtps_disc.ini 
pub_9 PID: 3084 started at 2023-04-28 16:09:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log -DCPSConfigFile rtps_disc.ini 
sub_9 PID: 3085 started at 2023-04-28 16:09:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_10.log -DCPSConfigFile rtps_disc.ini 
pub_10 PID: 3086 started at 2023-04-28 16:09:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log -DCPSConfigFile rtps_disc.ini 
sub_10 PID: 3087 started at 2023-04-28 16:09:26
Subscriber 3070 got new message data:
 - From  : 3067
 - Count : 2
Subscriber 3076 got new message data:
 - From  : 3067
 - Count : 2
Subscriber 3072 got new message data:
 - From  : 3067
 - Count : 2
Subscriber 3087 got new message data:
 - From  : 3067
 - Count : 2
Subscriber 3085 got new message data:
 - From  : 3067
 - Count : 2
Subscriber 3083 got new message data:
 - From  : 3067
 - Count : 2
Subscriber 3074 got new message data:
 - From  : 3067
 - Count : 2
Subscriber 3068 got new message data:
 - From  : 3067
 - Count : 2
Subscriber 3080 got new message data:
 - From  : 3067
 - Count : 2
Subscriber 3072 is done. Exiting.
Subscriber 3085 is done. Exiting.
Subscriber 3078 got new message data:
 - From  : 3067
 - Count : 2
Subscriber 3076 is done. Exiting.
Subscriber 3074 is done. Exiting.
Subscriber 3087 is done. Exiting.
Subscriber 3083 is done. Exiting.
Subscriber 3078 is done. Exiting.
Subscriber 3068 is done. Exiting.
Subscriber 3080 is done. Exiting.
Subscriber 3070 is done. Exiting.
Publisher 3067 is done. Exiting.
Publisher 3069 is done. Exiting.
Publisher 3073 is done. Exiting.
Publisher 3071 is done. Exiting.
Publisher 3075 is done. Exiting.
Publisher 3077 is done. Exiting.
Publisher 3079 is done. Exiting.
Publisher 3081 is done. Exiting.
Publisher 3084 is done. Exiting.
Publisher 3086 is done. Exiting.
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl large_samples rtps_disc publishers 10 subscribers 10 Time:9s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl large_samples rtps_disc_tcp publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_1.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_1 PID: 3190 started at 2023-04-28 16:09:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_1 PID: 3191 started at 2023-04-28 16:09:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_2.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_2 PID: 3192 started at 2023-04-28 16:09:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_2 PID: 3193 started at 2023-04-28 16:09:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_3.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_3 PID: 3194 started at 2023-04-28 16:09:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_3 PID: 3195 started at 2023-04-28 16:09:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_4.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_4 PID: 3196 started at 2023-04-28 16:09:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_4 PID: 3197 started at 2023-04-28 16:09:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_5.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_5 PID: 3198 started at 2023-04-28 16:09:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_5 PID: 3199 started at 2023-04-28 16:09:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_6.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_6 PID: 3200 started at 2023-04-28 16:09:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_6 PID: 3201 started at 2023-04-28 16:09:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_7.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_7 PID: 3202 started at 2023-04-28 16:09:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_7 PID: 3203 started at 2023-04-28 16:09:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_8.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_8 PID: 3204 started at 2023-04-28 16:09:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_8 PID: 3205 started at 2023-04-28 16:09:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_9.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_9 PID: 3206 started at 2023-04-28 16:09:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_9 PID: 3207 started at 2023-04-28 16:09:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_10.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_10 PID: 3208 started at 2023-04-28 16:09:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_10 PID: 3209 started at 2023-04-28 16:09:35
Subscriber 3197 got new message data:
 - From  : 3196
 - Count : 1
Subscriber 3197 is done. Exiting.
Subscriber 3195 got new message data:
 - From  : 3190
 - Count : 2
Subscriber 3191 got new message data:
 - From  : 3190
 - Count : 2
Subscriber 3201 got new message data:
 - From  : 3190
 - Count : 2
Subscriber 3193 got new message data:
 - From  : 3190
 - Count : 2
Subscriber 3207 got new message data:
 - From  : 3190
 - Count : 2
Subscriber 3199 got new message data:
 - From  : 3190
 - Count : 2
Subscriber 3209 got new message data:
 - From  : 3190
 - Count : 2
Subscriber 3203 got new message data:
 - From  : 3190
 - Count : 2
Subscriber 3195 is done. Exiting.
Subscriber 3191 is done. Exiting.
Subscriber 3205 got new message data:
 - From  : 3190
 - Count : 2
Subscriber 3193 is done. Exiting.
Subscriber 3201 is done. Exiting.
Subscriber 3209 is done. Exiting.
Subscriber 3207 is done. Exiting.
Subscriber 3199 is done. Exiting.
Subscriber 3203 is done. Exiting.
Subscriber 3205 is done. Exiting.
Subscriber 3201 got new message data:
 - From  : 3192
 - Count : 2
Subscriber 3201 got new message data:
 - From  : 3196
 - Count : 2
Subscriber 3207 got new message data:
 - From  : 3192
 - Count : 2
Subscriber 3195 got new message data:
 - From  : 3196
 - Count : 2
Subscriber 3207 got new message data:
 - From  : 3196
 - Count : 2
Subscriber 3195 got new message data:
 - From  : 3192
 - Count : 2
Publisher 3190 is done. Exiting.
Publisher 3196 is done. Exiting.
Publisher 3192 is done. Exiting.
Publisher 3194 is done. Exiting.
Publisher 3200 is done. Exiting.
Publisher 3198 is done. Exiting.
Publisher 3202 is done. Exiting.
Publisher 3208 is done. Exiting.
Publisher 3204 is done. Exiting.
Publisher 3206 is done. Exiting.
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl large_samples rtps_disc_tcp publishers 10 subscribers 10 Time:8s Result:0

==============================================================================

tests/DCPS/LivelinessKeepAliveTest/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 3311
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessKeepAliveTest/subscriber  -DCPSPendingTimeout 3 
sub PID: 3318 started at 2023-04-28 16:09:44
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessKeepAliveTest/publisher SATELLITE_ONE -DCPSPendingTimeout 3 
pub PID: 3319 started at 2023-04-28 16:09:44
(3311|3311) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(3319|3319) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(3318|3318) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Subscriber: waiting for events
(3318|3318)  16:09:44.274899 waiting for publisher to be ready
(3318|3333) AlertDataReaderListenerImpl::on_subscription_matched
(3318|3318)  16:09:44.525240 Publisher is ready
(3318|3318)  16:09:44.525444 waiting for publisher to finish
(3319|3319) SATELLITE_ONE : Publishing Low Fuel Alert
(3319|3319) SATELLITE_ONE : Publishing Dead Battery Alert

==================================================
(3318|3333) AlertDataReaderListenerImpl::on_liveliness_changed
    Liveliness Changes     = 1
    Alive Count            = 1
    Alive Count Change     = 1
    Not Alive Count        = 0
    Not Alive Count Change = 0
==================================================


======================
(3318|3333) AlertDataReaderListenerImpl::on_data_available:
	SATELLITE_ONE - Received Alert (0) Fuel : Low - Your fuel is low
======================


======================
(3318|3333) AlertDataReaderListenerImpl::on_data_available:
	SATELLITE_ONE - Received Alert (0) Battery : Dead - Your battery is dead; re-orient the solar panels to recharge
======================

(3319|3319) SATELLITE_ONE : Publishing Low Fuel Alert

======================
(3318|3333) AlertDataReaderListenerImpl::on_data_available:
	SATELLITE_ONE - Received Alert (1) Fuel : Low - Your fuel is low
======================

(3319|3319) SATELLITE_ONE : Publishing Low Fuel Alert

======================
(3318|3333) AlertDataReaderListenerImpl::on_data_available:
	SATELLITE_ONE - Received Alert (2) Fuel : Low - Your fuel is low
======================

(3319|3319) SATELLITE_ONE : Publishing Dead Battery Alert

======================
(3318|3333) AlertDataReaderListenerImpl::on_data_available:
	SATELLITE_ONE - Received Alert (1) Battery : Dead - Your battery is dead; re-orient the solar panels to recharge
======================

(3319|3319) SATELLITE_ONE : Publishing Low Fuel Alert

======================
(3318|3333) AlertDataReaderListenerImpl::on_data_available:
	SATELLITE_ONE - Received Alert (3) Fuel : Low - Your fuel is low
======================

(3319|3319)  16:10:04.538159 Writers are finished
(3319|3319)  16:10:04.538565 waiting for readers to finish

======================
(3318|3333) AlertDataReaderListenerImpl::on_data_available:
	SATELLITE_ONE - Received Alert (9999) System : System Shutdown - The Satellite is being shut down
======================

(3318|3333) AlertDataReaderListenerImpl::on_data_available:
Received SYSTEM_SHUTDOWN message, udpating expected liveliness values
(3319|3319)  16:10:04.788786 Readers are finished

==================================================
(3318|3322) AlertDataReaderListenerImpl::on_liveliness_changed
    Liveliness Changes     = 2
    Alive Count            = 0
    Alive Count Change     = -1
    Not Alive Count        = 0
    Not Alive Count Change = 0
==================================================

(3318|3322) AlertDataReaderListenerImpl::on_subscription_matched
Exiting...

=== TEST COMPLETE ===
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/LivelinessKeepAliveTest/run_test.pl Time:26s Result:0

==============================================================================

tests/DCPS/LivelinessTimeout/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 3348
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/subscriber -t 19 -l 2 -x 67.1 -DCPSPendingTimeout 3 
sub PID: 3355 started at 2023-04-28 16:10:09
(3348|3348) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(3355|3355) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 67.1 -DCPSLivelinessFactor 300  -DCPSPendingTimeout 3 
pub0 PID: 3364 started at 2023-04-28 16:10:14
(3364|3364) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(3355|3363) DataReaderListenerImpl::on_subscription_matched
(3364|3370) DataReaderListenerImpl::on_publication_matched
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 64.1 -DCPSLivelinessFactor 80  -DCPSPendingTimeout 3 
pub1 PID: 3371 started at 2023-04-28 16:10:17
(3371|3371) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(3355|3363) DataReaderListenerImpl::on_liveliness_changed: active=1, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=0
(3355|3363) DataReaderListenerImpl::on_subscription_matched
(3371|3377) DataReaderListenerImpl::on_publication_matched
(3355|3363) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=0
(3355|3356) DataReaderListenerImpl::on_liveliness_changed: active=1, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=1
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 61.1 -DCPSLivelinessFactor 80  -DCPSPendingTimeout 3 
pub2 PID: 3378 started at 2023-04-28 16:10:20
(3378|3378) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(3355|3363) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=1
(3355|3363) DataReaderListenerImpl::on_subscription_matched
(3378|3384) DataReaderListenerImpl::on_publication_matched
(3355|3363) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=1
(3355|3356) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=2
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 58.1 -DCPSLivelinessFactor 80  -DCPSPendingTimeout 3 
pub3 PID: 3385 started at 2023-04-28 16:10:23
(3385|3385) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(3355|3363) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=2
(3355|3363) DataReaderListenerImpl::on_subscription_matched
(3385|3391) DataReaderListenerImpl::on_publication_matched
(3355|3363) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=2
(3355|3356) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=3
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 55.1 -DCPSLivelinessFactor 80  -DCPSPendingTimeout 3 
pub4 PID: 3392 started at 2023-04-28 16:10:26
(3392|3392) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(3355|3363) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=3
(3355|3363) DataReaderListenerImpl::on_subscription_matched
(3392|3398) DataReaderListenerImpl::on_publication_matched
(3355|3363) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=3
(3355|3356) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=4
(3355|3363) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=4
(3355|3356) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=5
(3355|3363) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=5
(3355|3356) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=6
(3355|3363) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=6
(3355|3356) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=7
(3355|3363) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=7
(3355|3356) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=8
(3355|3363) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=8
(3355|3356) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=9
(3355|3363) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=9
(3355|3356) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=10
(3355|3363) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=10
(3355|3356) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=11
(3355|3363) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=11
(3355|3356) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=12
(3355|3363) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=12
(3355|3356) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=13
(3355|3363) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=13
(3355|3356) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=14
(3355|3363) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=14
(3355|3356) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=15
(3355|3363) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=15
(3355|3356) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=16
(3355|3363) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=16
(3355|3356) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=17
(3355|3363) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=17
(3355|3356) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=18
(3355|3363) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=18
(3355|3356) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=19
(3355|3363) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=19
(3355|3356) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=20
(3392|3394) DataReaderListenerImpl::on_publication_matched
(3364|3366) DataReaderListenerImpl::on_publication_matched
(3371|3373) DataReaderListenerImpl::on_publication_matched
(3378|3380) DataReaderListenerImpl::on_publication_matched
(3355|3355) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=0, activeDelta=0, inactiveDelta=-1 deadline_missed=20
(3355|3355) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(3355|3355) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(3355|3355) DataReaderListenerImpl::on_liveliness_changed: active=1, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(3355|3355) DataReaderListenerImpl::on_liveliness_changed: active=0, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(3385|3387) DataReaderListenerImpl::on_publication_matched
(3355|3355) DataReaderListenerImpl::on_subscription_matched
(3355|3355) subscriber: liviness deadline violated enough for test. Got 20, expected at least 19.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/LivelinessTimeout/run_test.pl Time:73s Result:0

==============================================================================

tests/DCPS/LivelinessTimeout/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/subscriber -t 19 -l 2 -x 67.1 -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
sub PID: 3411 started at 2023-04-28 16:11:22
(3411|3411) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 67.1 -DCPSLivelinessFactor 300  -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
pub0 PID: 3417 started at 2023-04-28 16:11:27
(3417|3417) NOTICE: using DCPSLivelinessFactor value from command option (overrides value if it's in config file)
(3417|3417) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(3417|3419) DataReaderListenerImpl::on_publication_matched
(3411|3413) DataReaderListenerImpl::on_subscription_matched
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 64.1 -DCPSLivelinessFactor 80  -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
pub1 PID: 3423 started at 2023-04-28 16:11:30
(3423|3423) NOTICE: using DCPSLivelinessFactor value from command option (overrides value if it's in config file)
(3423|3423) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(3411|3414) DataReaderListenerImpl::on_liveliness_changed: active=1, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=0
(3423|3425) DataReaderListenerImpl::on_publication_matched
(3411|3413) DataReaderListenerImpl::on_subscription_matched
(3411|3414) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=0
(3411|3412) DataReaderListenerImpl::on_liveliness_changed: active=1, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=1
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 61.1 -DCPSLivelinessFactor 80  -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
pub2 PID: 3431 started at 2023-04-28 16:11:33
(3431|3431) NOTICE: using DCPSLivelinessFactor value from command option (overrides value if it's in config file)
(3431|3431) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(3411|3414) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=1
(3411|3413) DataReaderListenerImpl::on_subscription_matched
(3431|3433) DataReaderListenerImpl::on_publication_matched
(3411|3414) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=1
(3411|3412) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=2
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 58.1 -DCPSLivelinessFactor 80  -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
pub3 PID: 3437 started at 2023-04-28 16:11:36
(3437|3437) NOTICE: using DCPSLivelinessFactor value from command option (overrides value if it's in config file)
(3437|3437) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(3411|3414) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=2
(3437|3439) DataReaderListenerImpl::on_publication_matched
(3411|3413) DataReaderListenerImpl::on_subscription_matched
(3411|3414) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=2
(3411|3412) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=3
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 55.1 -DCPSLivelinessFactor 80  -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
pub4 PID: 3443 started at 2023-04-28 16:11:39
(3443|3443) NOTICE: using DCPSLivelinessFactor value from command option (overrides value if it's in config file)
(3443|3443) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(3411|3414) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=3
(3443|3445) DataReaderListenerImpl::on_publication_matched
(3411|3413) DataReaderListenerImpl::on_subscription_matched
(3411|3414) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=3
(3411|3412) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=4
(3411|3414) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=4
(3411|3412) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=5
(3411|3414) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=5
(3411|3412) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=6
(3411|3414) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=6
(3411|3412) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=7
(3411|3414) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=7
(3411|3412) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=8
(3411|3414) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=8
(3411|3412) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=9
(3411|3414) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=9
(3411|3412) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=10
(3411|3414) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=10
(3411|3412) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=11
(3411|3414) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=11
(3411|3412) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=12
(3411|3414) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=12
(3411|3412) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=13
(3411|3414) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=13
(3411|3412) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=14
(3411|3414) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=14
(3411|3412) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=15
(3411|3414) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=15
(3411|3412) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=16
(3411|3414) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=16
(3411|3412) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=17
(3411|3414) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=17
(3411|3412) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=18
(3411|3414) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=18
(3411|3412) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=19
(3411|3414) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=19
(3411|3412) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=20
(3411|3411) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=0, activeDelta=0, inactiveDelta=-1 deadline_missed=20
(3411|3411) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(3411|3411) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(3411|3411) DataReaderListenerImpl::on_liveliness_changed: active=1, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(3411|3411) DataReaderListenerImpl::on_liveliness_changed: active=0, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(3443|3445) DataReaderListenerImpl::on_publication_matched
(3411|3411) DataReaderListenerImpl::on_subscription_matched
(3431|3433) DataReaderListenerImpl::on_publication_matched
(3423|3425) DataReaderListenerImpl::on_publication_matched
(3417|3419) DataReaderListenerImpl::on_publication_matched
(3437|3439) DataReaderListenerImpl::on_publication_matched
(3411|3411) subscriber: liviness deadline violated enough for test. Got 20, expected at least 19.
test PASSED.

auto_run_tests_finished: tests/DCPS/LivelinessTimeout/run_test.pl rtps_disc Time:72s Result:0

==============================================================================

tests/DCPS/BitDataReader/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BitDataReader/BitDataReader -DCPSConfigFile rtps.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile exec.log -DCPSPendingTimeout 3 
exec PID: 3461 started at 2023-04-28 16:12:34
test PASSED.

auto_run_tests_finished: tests/DCPS/BitDataReader/run_test.pl Time:5s Result:0

==============================================================================

tests/unit-tests/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/unit-tests/UnitTests  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile UnitTests.log -DCPSPendingTimeout 3 
UnitTests PID: 3467 started at 2023-04-28 16:12:40
[==========] Running 760 tests from 55 test cases.
[----------] Global test environment set-up.
[----------] 2 tests from dds_DCPS_SafeBool_T
[ RUN      ] dds_DCPS_SafeBool_T.virtual_method
[       OK ] dds_DCPS_SafeBool_T.virtual_method (0 ms)
[ RUN      ] dds_DCPS_SafeBool_T.non_virtual_method
[       OK ] dds_DCPS_SafeBool_T.non_virtual_method (0 ms)
[----------] 2 tests from dds_DCPS_SafeBool_T (1 ms total)

[----------] 12 tests from dds_DCPS_RcHandle_T
[ RUN      ] dds_DCPS_RcHandle_T.ctors
[       OK ] dds_DCPS_RcHandle_T.ctors (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.dtor
[       OK ] dds_DCPS_RcHandle_T.dtor (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.reset
[       OK ] dds_DCPS_RcHandle_T.reset (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.assign
[       OK ] dds_DCPS_RcHandle_T.assign (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.swaps
[       OK ] dds_DCPS_RcHandle_T.swaps (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.access
[       OK ] dds_DCPS_RcHandle_T.access (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.param_passing
[       OK ] dds_DCPS_RcHandle_T.param_passing (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.bool_conv
[       OK ] dds_DCPS_RcHandle_T.bool_conv (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.relational
[       OK ] dds_DCPS_RcHandle_T.relational (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.casts
[       OK ] dds_DCPS_RcHandle_T.casts (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.make_rch
[       OK ] dds_DCPS_RcHandle_T.make_rch (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.rchandle_from
[       OK ] dds_DCPS_RcHandle_T.rchandle_from (0 ms)
[----------] 12 tests from dds_DCPS_RcHandle_T (0 ms total)

[----------] 18 tests from dds_DCPS_XTypes_TypeAssignability
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.PrimitiveTypesTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.PrimitiveTypesTest_Assignable (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.PrimitiveTypesTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.PrimitiveTypesTest_NotAssignable (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.StringTypesTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.StringTypesTest_Assignable (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.StringTypesTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.StringTypesTest_NotAssignable (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.BitmaskTypeTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.BitmaskTypeTest_Assignable (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.BitmaskTypeTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.BitmaskTypeTest_NotAssignable (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.SequenceTypeTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.SequenceTypeTest_Assignable (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.SequenceTypeTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.SequenceTypeTest_NotAssignable (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.ArrayTypeTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.ArrayTypeTest_Assignable (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.ArrayTypeTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.ArrayTypeTest_NotAssignable (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.MapTypeTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.MapTypeTest_Assignable (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.MapTypeTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.MapTypeTest_NotAssignable (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.AliasTypeTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.AliasTypeTest_Assignable (4 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.AliasTypeTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.AliasTypeTest_NotAssignable (4 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.StructTypeTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.StructTypeTest_Assignable (6 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.StructTypeTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.StructTypeTest_NotAssignable (4 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.UnionTypeTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.UnionTypeTest_Assignable (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.UnionTypeTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.UnionTypeTest_NotAssignable (1 ms)
[----------] 18 tests from dds_DCPS_XTypes_TypeAssignability (26 ms total)

[----------] 2 tests from dds_DCPS_XTypes_TypeAssignability_EnumTypeTest
[ RUN      ] dds_DCPS_XTypes_TypeAssignability_EnumTypeTest.Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability_EnumTypeTest.Assignable (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability_EnumTypeTest.NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability_EnumTypeTest.NotAssignable (1 ms)
[----------] 2 tests from dds_DCPS_XTypes_TypeAssignability_EnumTypeTest (1 ms total)

[----------] 6 tests from dds_DCPS_XTypes_Utils
[ RUN      ] dds_DCPS_XTypes_Utils.extensibility
[       OK ] dds_DCPS_XTypes_Utils.extensibility (16 ms)
[ RUN      ] dds_DCPS_XTypes_Utils.max_extensibility
[       OK ] dds_DCPS_XTypes_Utils.max_extensibility (17 ms)
[ RUN      ] dds_DCPS_XTypes_Utils.get_keys
[       OK ] dds_DCPS_XTypes_Utils.get_keys (9 ms)
[ RUN      ] dds_DCPS_XTypes_Utils.member_path_get_member_from_type
[       OK ] dds_DCPS_XTypes_Utils.member_path_get_member_from_type (1 ms)
[ RUN      ] dds_DCPS_XTypes_Utils.less_than
[       OK ] dds_DCPS_XTypes_Utils.less_than (24 ms)
[ RUN      ] dds_DCPS_XTypes_Utils.MemberPathParser
[       OK ] dds_DCPS_XTypes_Utils.MemberPathParser (0 ms)
[----------] 6 tests from dds_DCPS_XTypes_Utils (67 ms total)

[----------] 40 tests from dds_DCPS_XTypes_DynamicDataXcdrReadImpl
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadValueFromStruct
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadValueFromStruct (24 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_StructWithOptionalMembers
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_StructWithOptionalMembers (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadValueFromUnion
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadValueFromUnion (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadSequenceFromStruct
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadSequenceFromStruct (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadSequenceFromUnion
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadSequenceFromUnion (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadValueFromArray
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadValueFromArray (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_SkipNestedMembers
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_SkipNestedMembers (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadRecursiveStruct
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadRecursiveStruct (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromStruct
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromStruct (6 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromStructXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromStructXCDR1 (6 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_StructWithOptionalMembers
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_StructWithOptionalMembers (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromUnion
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromUnion (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromUnionXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromUnionXCDR1 (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromStruct
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromStruct (4 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromStructXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromStructXCDR1 (5 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromUnion
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromUnion (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromUnionXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromUnionXCDR1 (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromArray
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromArray (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromArrayXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromArrayXCDR1 (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_SkipNestedMembers
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_SkipNestedMembers (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_SkipNestedMembersXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_SkipNestedMembersXCDR1 (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromStruct
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromStruct (5 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromStructXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromStructXCDR1 (6 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_StructWithOptionalMembers
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_StructWithOptionalMembers (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromUnion
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromUnion (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromUnionXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromUnionXCDR1 (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromStruct
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromStruct (5 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromStructXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromStructXCDR1 (4 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromUnion
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromUnion (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromUnionXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromUnionXCDR1 (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromArray
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromArray (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromArrayXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromArrayXCDR1 (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_SkipNestedMembers
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_SkipNestedMembers (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_SkipNestedMembersXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_SkipNestedMembersXCDR1 (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_SingleKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_SingleKeyOnly (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_NestedStructKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_NestedStructKeyOnly (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_NestedUnionKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_NestedUnionKeyOnly (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ArrayKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ArrayKeyOnly (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ImplicitNestedKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ImplicitNestedKeyOnly (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Enum_As_String
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Enum_As_String (2 ms)
[----------] 40 tests from dds_DCPS_XTypes_DynamicDataXcdrReadImpl (122 ms total)

[----------] 1 test from dds_DCPS_XTypes_DynamicDataAdapter
[ RUN      ] dds_DCPS_XTypes_DynamicDataAdapter.null_get_dynamic_data_adapter
[       OK ] dds_DCPS_XTypes_DynamicDataAdapter.null_get_dynamic_data_adapter (15 ms)
[----------] 1 test from dds_DCPS_XTypes_DynamicDataAdapter (15 ms total)

[----------] 11 tests from dds_DCPS_XTypes_DynamicTypeImpl
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyInnerStruct
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyInnerStruct (4 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyOuterStruct
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyOuterStruct (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyAliasStruct
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyAliasStruct (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_StructWithTypedefMember
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_StructWithTypedefMember (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_PrimitiveKind
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_PrimitiveKind (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyUnion
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyUnion (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyInnerArray
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyInnerArray (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyOuterArray
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyOuterArray (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MySeq
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MySeq (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyAnonStruct
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyAnonStruct (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_CircularStruct
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_CircularStruct (1 ms)
[----------] 11 tests from dds_DCPS_XTypes_DynamicTypeImpl (14 ms total)

[----------] 6 tests from dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.MyStruct
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.MyStruct (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.MyUnion
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.MyUnion (0 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.SCC
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.SCC (0 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.LSeq
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.LSeq (0 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.LArr
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.LArr (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.MyEnum
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.MyEnum (0 ms)
[----------] 6 tests from dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal (3 ms total)

[----------] 30 tests from dds_DCPS_XTypes_DynamicDataImpl
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToStruct
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToStruct (8 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToStructDefault
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToStructDefault (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToUnion
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToUnion (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToUnionDefault
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToUnionDefault (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteSequenceToStruct
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteSequenceToStruct (4 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteSequenceToStructDefault
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteSequenceToStructDefault (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteSequenceToUnion
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteSequenceToUnion (5 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToArray
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToArray (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToArrayDefault
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToArrayDefault (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteStructWithNestedMembers
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteStructWithNestedMembers (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteRecursiveStruct
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteRecursiveStruct (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteValueToStruct
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteValueToStruct (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteValueToUnion
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteValueToUnion (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteSequenceToStruct
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteSequenceToStruct (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteSequenceToUnion
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteSequenceToUnion (5 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteStructWithNestedMembers
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteStructWithNestedMembers (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteValueToStruct
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteValueToStruct (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteValueToUnion
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteValueToUnion (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteSequenceToStruct
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteSequenceToStruct (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteSequenceToUnion
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteSequenceToUnion (4 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteStructWithNestedMembers
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteStructWithNestedMembers (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteKeyOnly (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteKeyOnly (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteKeyOnly (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.MutableArray_WriteKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.MutableArray_WriteKeyOnly (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Nested_WriteKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Nested_WriteKeyOnly (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Union_Defaults
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Union_Defaults (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Union_Setter
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Union_Setter (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Enum_As_String
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Enum_As_String (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.String_As_Enum
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.String_As_Enum (2 ms)
[----------] 30 tests from dds_DCPS_XTypes_DynamicDataImpl (72 ms total)

[----------] 88 tests from dds_DCPS_XTypes_TypeObject
[ RUN      ] dds_DCPS_XTypes_TypeObject.maintest
[       OK ] dds_DCPS_XTypes_TypeObject.maintest (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.Optional_equal
[       OK ] dds_DCPS_XTypes_TypeObject.Optional_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.Optional_has_value
[       OK ] dds_DCPS_XTypes_TypeObject.Optional_has_value (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.Optional_destructor
[       OK ] dds_DCPS_XTypes_TypeObject.Optional_destructor (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.Optional_assignment
[       OK ] dds_DCPS_XTypes_TypeObject.Optional_assignment (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.Sequence_equal
[       OK ] dds_DCPS_XTypes_TypeObject.Sequence_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.ExtendedAnnotationParameterValue_equal
[       OK ] dds_DCPS_XTypes_TypeObject.ExtendedAnnotationParameterValue_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.AnnotationParameterValue_equal
[       OK ] dds_DCPS_XTypes_TypeObject.AnnotationParameterValue_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.AppliedAnnotationParameter_equal
[       OK ] dds_DCPS_XTypes_TypeObject.AppliedAnnotationParameter_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.AppliedVerbatimAnnotation_equal
[       OK ] dds_DCPS_XTypes_TypeObject.AppliedVerbatimAnnotation_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.AppliedBuiltinMemberAnnotations_equal
[       OK ] dds_DCPS_XTypes_TypeObject.AppliedBuiltinMemberAnnotations_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonStructMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonStructMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteMemberDetail_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteMemberDetail_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalMemberDetail_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalMemberDetail_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteStructMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteStructMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalStructMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalStructMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.AppliedBuiltinTypeAnnotations_equal
[       OK ] dds_DCPS_XTypes_TypeObject.AppliedBuiltinTypeAnnotations_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalTypeDetail_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalTypeDetail_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteTypeDetail_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteTypeDetail_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteStructHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteStructHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalStructHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalStructHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteStructType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteStructType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalStructType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalStructType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonUnionMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonUnionMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteUnionMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteUnionMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalUnionMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalUnionMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonDiscriminatorMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonDiscriminatorMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteDiscriminatorMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteDiscriminatorMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalDiscriminatorMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalDiscriminatorMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteUnionHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteUnionHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalUnionHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalUnionHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteUnionType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteUnionType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalUnionType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalUnionType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonAnnotationParameter_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonAnnotationParameter_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteAnnotationParameter_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteAnnotationParameter_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalAnnotationParameter_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalAnnotationParameter_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteAnnotationHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteAnnotationHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalAnnotationHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalAnnotationHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteAnnotationType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteAnnotationType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalAnnotationType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalAnnotationType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonAliasBody_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonAliasBody_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteAliasBody_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteAliasBody_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalAliasBody_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalAliasBody_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteAliasHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteAliasHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalAliasHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalAliasHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteAliasType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteAliasType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalAliasType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalAliasType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteElementDetail_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteElementDetail_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonCollectionElement_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonCollectionElement_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteCollectionElement_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteCollectionElement_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalCollectionElement_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalCollectionElement_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonCollectionHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonCollectionHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteCollectionHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteCollectionHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalCollectionHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalCollectionHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteSequenceType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteSequenceType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalSequenceType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalSequenceType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonArrayHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonArrayHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteArrayHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteArrayHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalArrayHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalArrayHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteArrayType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteArrayType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalArrayType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalArrayType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteMapType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteMapType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalMapType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalMapType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonEnumeratedLiteral_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonEnumeratedLiteral_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteEnumeratedLiteral_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteEnumeratedLiteral_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalEnumeratedLiteral_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalEnumeratedLiteral_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonEnumeratedHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonEnumeratedHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteEnumeratedHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteEnumeratedHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalEnumeratedHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalEnumeratedHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteEnumeratedType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteEnumeratedType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalEnumeratedType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalEnumeratedType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonBitflag_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonBitflag_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteBitflag_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteBitflag_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalBitflag_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalBitflag_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteBitmaskType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteBitmaskType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalBitmaskType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalBitmaskType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonBitfield_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonBitfield_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteBitfield_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteBitfield_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalBitfield_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalBitfield_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteBitsetHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteBitsetHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalBitsetHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalBitsetHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteBitsetType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteBitsetType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalBitsetType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalBitsetType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteExtendedType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteExtendedType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalExtendedType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalExtendedType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteTypeObject_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteTypeObject_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalTypeObject_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalTypeObject_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.TypeObject_equal
[       OK ] dds_DCPS_XTypes_TypeObject.TypeObject_equal (0 ms)
[----------] 88 tests from dds_DCPS_XTypes_TypeObject (2 ms total)

[----------] 1 test from dds_DCPS_XTypes_TypeIdentifierTypeObjectPair
[ RUN      ] dds_DCPS_XTypes_TypeIdentifierTypeObjectPair.TypeIdentifierTypeObjectPair_equal
[       OK ] dds_DCPS_XTypes_TypeIdentifierTypeObjectPair.TypeIdentifierTypeObjectPair_equal (0 ms)
[----------] 1 test from dds_DCPS_XTypes_TypeIdentifierTypeObjectPair (0 ms total)

[----------] 1 test from dds_DCPS_XTypes_TypeIdentifierPair
[ RUN      ] dds_DCPS_XTypes_TypeIdentifierPair.TypeIdentifierPair_equal
[       OK ] dds_DCPS_XTypes_TypeIdentifierPair.TypeIdentifierPair_equal (0 ms)
[----------] 1 test from dds_DCPS_XTypes_TypeIdentifierPair (0 ms total)

[----------] 1 test from dds_DCPS_XTypes_TypeIdentifierWithSize
[ RUN      ] dds_DCPS_XTypes_TypeIdentifierWithSize.TypeIdentifierWithSize_equal
[       OK ] dds_DCPS_XTypes_TypeIdentifierWithSize.TypeIdentifierWithSize_equal (0 ms)
[----------] 1 test from dds_DCPS_XTypes_TypeIdentifierWithSize (0 ms total)

[----------] 5 tests from dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue
[ RUN      ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.enqueue
[       OK ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.enqueue (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.enqueue_vector
[       OK ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.enqueue_vector (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.purge
[       OK ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.purge (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.purge_remote
[       OK ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.purge_remote (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.purge_local
[       OK ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.purge_local (0 ms)
[----------] 5 tests from dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue (0 ms total)

[----------] 1 test from dds_DCPS_TransactionalRtpsSendQueue
[ RUN      ] dds_DCPS_TransactionalRtpsSendQueue.begin_and_end_transaction
[       OK ] dds_DCPS_TransactionalRtpsSendQueue.begin_and_end_transaction (0 ms)
[----------] 1 test from dds_DCPS_TransactionalRtpsSendQueue (0 ms total)

[----------] 5 tests from dds_DCPS_transport_rtps_udp_MetaSubmessage
[ RUN      ] dds_DCPS_transport_rtps_udp_MetaSubmessage.DefaultConstructor
[       OK ] dds_DCPS_transport_rtps_udp_MetaSubmessage.DefaultConstructor (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_MetaSubmessage.Constructor
[       OK ] dds_DCPS_transport_rtps_udp_MetaSubmessage.Constructor (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_MetaSubmessage.reset_destination
[       OK ] dds_DCPS_transport_rtps_udp_MetaSubmessage.reset_destination (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_MetaSubmessage.dedup_empty
[       OK ] dds_DCPS_transport_rtps_udp_MetaSubmessage.dedup_empty (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_MetaSubmessage.Merging
[       OK ] dds_DCPS_transport_rtps_udp_MetaSubmessage.Merging (1 ms)
[----------] 5 tests from dds_DCPS_transport_rtps_udp_MetaSubmessage (1 ms total)

[----------] 6 tests from dds_DCPS_transport_framework_ReceivedDataSample
[ RUN      ] dds_DCPS_transport_framework_ReceivedDataSample.defctor
[       OK ] dds_DCPS_transport_framework_ReceivedDataSample.defctor (0 ms)
[ RUN      ] dds_DCPS_transport_framework_ReceivedDataSample.msgblock
[       OK ] dds_DCPS_transport_framework_ReceivedDataSample.msgblock (0 ms)
[ RUN      ] dds_DCPS_transport_framework_ReceivedDataSample.alloc
[       OK ] dds_DCPS_transport_framework_ReceivedDataSample.alloc (0 ms)
[ RUN      ] dds_DCPS_transport_framework_ReceivedDataSample.cont
[       OK ] dds_DCPS_transport_framework_ReceivedDataSample.cont (0 ms)
[ RUN      ] dds_DCPS_transport_framework_ReceivedDataSample.ser_copy_peek
[       OK ] dds_DCPS_transport_framework_ReceivedDataSample.ser_copy_peek (0 ms)
[ RUN      ] dds_DCPS_transport_framework_ReceivedDataSample.modifiers
[       OK ] dds_DCPS_transport_framework_ReceivedDataSample.modifiers (0 ms)
[----------] 6 tests from dds_DCPS_transport_framework_ReceivedDataSample (0 ms total)

[----------] 13 tests from dds_DCPS_transport_framework_TransportReassembly
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Main_Test
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Main_Test (3 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Empty
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Empty (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_Has_Frag
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_Has_Frag (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_First_Insert_Has_No_Gaps
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_First_Insert_Has_No_Gaps (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_Gaps
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_Gaps (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_One_Then_Gap
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_One_Then_Gap (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_One_Then_Split_Gap
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_One_Then_Split_Gap (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Right_To_Left
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Right_To_Left (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Left_To_Right
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Left_To_Right (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Out_Of_Order
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Out_Of_Order (1 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Permutations
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Permutations (14 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Overlapping_Inputs
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Overlapping_Inputs (1 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Overlapping_Inputs_2
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Overlapping_Inputs_2 (0 ms)
[----------] 13 tests from dds_DCPS_transport_framework_TransportReassembly (20 ms total)

[----------] 2 tests from copy_chain_visitor
[ RUN      ] copy_chain_visitor.simple_copy
[       OK ] copy_chain_visitor.simple_copy (0 ms)
[ RUN      ] copy_chain_visitor.simple_duplicate
[       OK ] copy_chain_visitor.simple_duplicate (0 ms)
[----------] 2 tests from copy_chain_visitor (0 ms total)

[----------] 4 tests from dds_DCPS_RTPS_DiscoveredEntities
[ RUN      ] dds_DCPS_RTPS_DiscoveredEntities.LocalEntity_ctor
[       OK ] dds_DCPS_RTPS_DiscoveredEntities.LocalEntity_ctor (0 ms)
[ RUN      ] dds_DCPS_RTPS_DiscoveredEntities.DiscoveredParticipant_ctor
[       OK ] dds_DCPS_RTPS_DiscoveredEntities.DiscoveredParticipant_ctor (0 ms)
[ RUN      ] dds_DCPS_RTPS_DiscoveredEntities.DiscoveredSubscription_ctor
[       OK ] dds_DCPS_RTPS_DiscoveredEntities.DiscoveredSubscription_ctor (0 ms)
[ RUN      ] dds_DCPS_RTPS_DiscoveredEntities.DiscoveredPublication_ctor
[       OK ] dds_DCPS_RTPS_DiscoveredEntities.DiscoveredPublication_ctor (0 ms)
[----------] 4 tests from dds_DCPS_RTPS_DiscoveredEntities (0 ms total)

[----------] 1 test from dds_DCPS_RTPS_ParameterListConverter
[ RUN      ] dds_DCPS_RTPS_ParameterListConverter.maintest
[       OK ] dds_DCPS_RTPS_ParameterListConverter.maintest (4 ms)
[----------] 1 test from dds_DCPS_RTPS_ParameterListConverter (4 ms total)

[----------] 5 tests from dds_DCPS_RTPS_AssociationRecord
[ RUN      ] dds_DCPS_RTPS_AssociationRecord.BuiltinAssociationRecord_ctor
[       OK ] dds_DCPS_RTPS_AssociationRecord.BuiltinAssociationRecord_ctor (2 ms)
[ RUN      ] dds_DCPS_RTPS_AssociationRecord.BuiltinAssociationRecord_ctor_with_flags
[       OK ] dds_DCPS_RTPS_AssociationRecord.BuiltinAssociationRecord_ctor_with_flags (0 ms)
[ RUN      ] dds_DCPS_RTPS_AssociationRecord.BuiltinAssociationRecord_local_tokens_sent
[       OK ] dds_DCPS_RTPS_AssociationRecord.BuiltinAssociationRecord_local_tokens_sent (1 ms)
[ RUN      ] dds_DCPS_RTPS_AssociationRecord.WriterAssociationRecord_ctor
[       OK ] dds_DCPS_RTPS_AssociationRecord.WriterAssociationRecord_ctor (0 ms)
[ RUN      ] dds_DCPS_RTPS_AssociationRecord.ReaderAssociationRecord_ctor
[       OK ] dds_DCPS_RTPS_AssociationRecord.ReaderAssociationRecord_ctor (0 ms)
[----------] 5 tests from dds_DCPS_RTPS_AssociationRecord (3 ms total)

[----------] 8 tests from RtpsCoreTypeSupportImpl
[ RUN      ] RtpsCoreTypeSupportImpl.PropertyQosPolicy
[       OK ] RtpsCoreTypeSupportImpl.PropertyQosPolicy (0 ms)
[ RUN      ] RtpsCoreTypeSupportImpl.InsertParameter
[       OK ] RtpsCoreTypeSupportImpl.InsertParameter (0 ms)
[ RUN      ] RtpsCoreTypeSupportImpl.ExtractParameter
[       OK ] RtpsCoreTypeSupportImpl.ExtractParameter (0 ms)
[ RUN      ] RtpsCoreTypeSupportImpl.InsertFilterResult_t
[       OK ] RtpsCoreTypeSupportImpl.InsertFilterResult_t (0 ms)
[ RUN      ] RtpsCoreTypeSupportImpl.ExtractFilterResult_t
[       OK ] RtpsCoreTypeSupportImpl.ExtractFilterResult_t (0 ms)
[ RUN      ] RtpsCoreTypeSupportImpl.ExtractSequenceWithUnverifiedLength
[       OK ] RtpsCoreTypeSupportImpl.ExtractSequenceWithUnverifiedLength (0 ms)
[ RUN      ] RtpsCoreTypeSupportImpl.Serializer_test_issue4105
[       OK ] RtpsCoreTypeSupportImpl.Serializer_test_issue4105 (0 ms)
[ RUN      ] RtpsCoreTypeSupportImpl.Serializer_test_parameterlist
[       OK ] RtpsCoreTypeSupportImpl.Serializer_test_parameterlist (0 ms)
[----------] 8 tests from RtpsCoreTypeSupportImpl (0 ms total)

[----------] 1 test from dds_DCPS_RTPS_MessageUtils
[ RUN      ] dds_DCPS_RTPS_MessageUtils.maintest
[       OK ] dds_DCPS_RTPS_MessageUtils.maintest (1 ms)
[----------] 1 test from dds_DCPS_RTPS_MessageUtils (1 ms total)

[----------] 4 tests from dds_DCPS_RTPS_GuidGenerator
[ RUN      ] dds_DCPS_RTPS_GuidGenerator.not_NULL
[       OK ] dds_DCPS_RTPS_GuidGenerator.not_NULL (0 ms)
[ RUN      ] dds_DCPS_RTPS_GuidGenerator.populate
[       OK ] dds_DCPS_RTPS_GuidGenerator.populate (0 ms)
[ RUN      ] dds_DCPS_RTPS_GuidGenerator.getCount
[       OK ] dds_DCPS_RTPS_GuidGenerator.getCount (0 ms)
[ RUN      ] dds_DCPS_RTPS_GuidGenerator.interfaceName
[       OK ] dds_DCPS_RTPS_GuidGenerator.interfaceName (0 ms)
[----------] 4 tests from dds_DCPS_RTPS_GuidGenerator (0 ms total)

[----------] 18 tests from dds_DCPS_DispatchService
[ RUN      ] dds_DCPS_DispatchService.DefaultConstructor
[       OK ] dds_DCPS_DispatchService.DefaultConstructor (0 ms)
[ RUN      ] dds_DCPS_DispatchService.ArgConstructorFour
[       OK ] dds_DCPS_DispatchService.ArgConstructorFour (1 ms)
[ RUN      ] dds_DCPS_DispatchService.ArgConstructorOrderAlpha
[       OK ] dds_DCPS_DispatchService.ArgConstructorOrderAlpha (1 ms)
[ RUN      ] dds_DCPS_DispatchService.ArgConstructorOrderBeta
[       OK ] dds_DCPS_DispatchService.ArgConstructorOrderBeta (0 ms)
[ RUN      ] dds_DCPS_DispatchService.SimpleDispatchAlpha
[       OK ] dds_DCPS_DispatchService.SimpleDispatchAlpha (0 ms)
[ RUN      ] dds_DCPS_DispatchService.SimpleDispatchBeta
[       OK ] dds_DCPS_DispatchService.SimpleDispatchBeta (1 ms)
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchAlpha
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchAlpha (1 ms)
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchAlpha_ImmediateShutdown
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchAlpha_ImmediateShutdown (0 ms)
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchBeta
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchBeta (0 ms)
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchBeta_ImmediateShutdown
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchBeta_ImmediateShutdown (1 ms)
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchGamma
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchGamma (2 ms)
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchGamma_ImmediateShutdown
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchGamma_ImmediateShutdown (1 ms)
[ RUN      ] dds_DCPS_DispatchService.InternalShutdown
[       OK ] dds_DCPS_DispatchService.InternalShutdown (0 ms)
[ RUN      ] dds_DCPS_DispatchService.ShutdownReturnsPending
[       OK ] dds_DCPS_DispatchService.ShutdownReturnsPending (1 ms)
[ RUN      ] dds_DCPS_DispatchService.TimedDispatch
[       OK ] dds_DCPS_DispatchService.TimedDispatch (90 ms)
[ RUN      ] dds_DCPS_DispatchService.TimedDispatchSingleThreaded
[       OK ] dds_DCPS_DispatchService.TimedDispatchSingleThreaded (91 ms)
[ RUN      ] dds_DCPS_DispatchService.CancelDispatch
[       OK ] dds_DCPS_DispatchService.CancelDispatch (70 ms)
[ RUN      ] dds_DCPS_DispatchService.CancelDispatchSingleThreaded
[       OK ] dds_DCPS_DispatchService.CancelDispatchSingleThreaded (71 ms)
[----------] 18 tests from dds_DCPS_DispatchService (331 ms total)

[----------] 9 tests from dds_DCPS_WaitSet
[ RUN      ] dds_DCPS_WaitSet.DefaultConstructor
[       OK ] dds_DCPS_WaitSet.DefaultConstructor (0 ms)
[ RUN      ] dds_DCPS_WaitSet.VarDuplicate
[       OK ] dds_DCPS_WaitSet.VarDuplicate (0 ms)
[ RUN      ] dds_DCPS_WaitSet.AttachDetachFailures
[       OK ] dds_DCPS_WaitSet.AttachDetachFailures (1 ms)
[ RUN      ] dds_DCPS_WaitSet.AttachDetach
[       OK ] dds_DCPS_WaitSet.AttachDetach (0 ms)
[ RUN      ] dds_DCPS_WaitSet.AttachDetachAll
[       OK ] dds_DCPS_WaitSet.AttachDetachAll (0 ms)
[ RUN      ] dds_DCPS_WaitSet.AttachNoDetach
[       OK ] dds_DCPS_WaitSet.AttachNoDetach (0 ms)
[ RUN      ] dds_DCPS_WaitSet.WaitBogusDeadline
[       OK ] dds_DCPS_WaitSet.WaitBogusDeadline (0 ms)
[ RUN      ] dds_DCPS_WaitSet.WaitDeadlineTimeout
[       OK ] dds_DCPS_WaitSet.WaitDeadlineTimeout (0 ms)
[ RUN      ] dds_DCPS_WaitSet.WaitDeadlineTriggered
[       OK ] dds_DCPS_WaitSet.WaitDeadlineTriggered (0 ms)
[----------] 9 tests from dds_DCPS_WaitSet (1 ms total)

[----------] 6 tests from dds_DCPS_RcObject
[ RUN      ] dds_DCPS_RcObject.ctors_weak
[       OK ] dds_DCPS_RcObject.ctors_weak (0 ms)
[ RUN      ] dds_DCPS_RcObject.assign_weak
[       OK ] dds_DCPS_RcObject.assign_weak (0 ms)
[ RUN      ] dds_DCPS_RcObject.add_remove_ref_count
[       OK ] dds_DCPS_RcObject.add_remove_ref_count (0 ms)
[ RUN      ] dds_DCPS_RcObject.lock_reset_weak
[       OK ] dds_DCPS_RcObject.lock_reset_weak (0 ms)
[ RUN      ] dds_DCPS_RcObject.lock_failed
[       OK ] dds_DCPS_RcObject.lock_failed (0 ms)
[ RUN      ] dds_DCPS_RcObject.compare_weak
[       OK ] dds_DCPS_RcObject.compare_weak (0 ms)
[----------] 6 tests from dds_DCPS_RcObject (0 ms total)

[----------] 2 tests from dds_DCPS_TimeDuration
[ RUN      ] dds_DCPS_TimeDuration.str
[       OK ] dds_DCPS_TimeDuration.str (1 ms)
[ RUN      ] dds_DCPS_TimeDuration.double_ctor
[       OK ] dds_DCPS_TimeDuration.double_ctor (0 ms)
[----------] 2 tests from dds_DCPS_TimeDuration (1 ms total)

[----------] 17 tests from dds_DCPS_ServiceEventDispatcher
[ RUN      ] dds_DCPS_ServiceEventDispatcher.DefaultConstructor
[       OK ] dds_DCPS_ServiceEventDispatcher.DefaultConstructor (0 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.ArgConstructorFour
[       OK ] dds_DCPS_ServiceEventDispatcher.ArgConstructorFour (0 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.ArgConstructorOrderAlpha
[       OK ] dds_DCPS_ServiceEventDispatcher.ArgConstructorOrderAlpha (1 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.ArgConstructorOrderBeta
[       OK ] dds_DCPS_ServiceEventDispatcher.ArgConstructorOrderBeta (1 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.SimpleDispatchAlpha
[       OK ] dds_DCPS_ServiceEventDispatcher.SimpleDispatchAlpha (0 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.SimpleDispatchBeta
[       OK ] dds_DCPS_ServiceEventDispatcher.SimpleDispatchBeta (1 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchAlpha
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchAlpha (1 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchAlpha_ImmediateShutdown
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchAlpha_ImmediateShutdown (0 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchBeta
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchBeta (1 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchBeta_ImmediateShutdown
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchBeta_ImmediateShutdown (1 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchGamma
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchGamma (5 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchGamma_ImmediateShutdown
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchGamma_ImmediateShutdown (4 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.TestShutdown
[       OK ] dds_DCPS_ServiceEventDispatcher.TestShutdown (1 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.TimedDispatch
[       OK ] dds_DCPS_ServiceEventDispatcher.TimedDispatch (90 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.TimedDispatchSingleThreaded
[       OK ] dds_DCPS_ServiceEventDispatcher.TimedDispatchSingleThreaded (91 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.CancelDispatch
[       OK ] dds_DCPS_ServiceEventDispatcher.CancelDispatch (71 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.CancelDispatchSingleThreaded
[       OK ] dds_DCPS_ServiceEventDispatcher.CancelDispatchSingleThreaded (70 ms)
[----------] 17 tests from dds_DCPS_ServiceEventDispatcher (339 ms total)

[----------] 4 tests from dds_DCPS_DisjointSequence
[ RUN      ] dds_DCPS_DisjointSequence.maintest
[       OK ] dds_DCPS_DisjointSequence.maintest (1 ms)
[ RUN      ] dds_DCPS_DisjointSequence.OrderedRanges_main_test
[       OK ] dds_DCPS_DisjointSequence.OrderedRanges_main_test (0 ms)
[ RUN      ] dds_DCPS_DisjointSequence.OrderedRanges_insert_out_of_order
[       OK ] dds_DCPS_DisjointSequence.OrderedRanges_insert_out_of_order (0 ms)
[ RUN      ] dds_DCPS_DisjointSequence.OrderedRanges_insert_ranges
[       OK ] dds_DCPS_DisjointSequence.OrderedRanges_insert_ranges (0 ms)
[----------] 4 tests from dds_DCPS_DisjointSequence (2 ms total)

[----------] 14 tests from dds_DCPS_InternalDataReader
[ RUN      ] dds_DCPS_InternalDataReader.durable
[       OK ] dds_DCPS_InternalDataReader.durable (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.write
[       OK ] dds_DCPS_InternalDataReader.write (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.write_keep_all
[       OK ] dds_DCPS_InternalDataReader.write_keep_all (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.unregister_instance
[       OK ] dds_DCPS_InternalDataReader.unregister_instance (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.dispose
[       OK ] dds_DCPS_InternalDataReader.dispose (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.remove_publication_autodispose
[       OK ] dds_DCPS_InternalDataReader.remove_publication_autodispose (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.remove_publication
[       OK ] dds_DCPS_InternalDataReader.remove_publication (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.listener
[       OK ] dds_DCPS_InternalDataReader.listener (1002 ms)
[ RUN      ] dds_DCPS_InternalDataReader.read
[       OK ] dds_DCPS_InternalDataReader.read (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.read_instance_state
[       OK ] dds_DCPS_InternalDataReader.read_instance_state (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.take
[       OK ] dds_DCPS_InternalDataReader.take (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.take_instance_state
[       OK ] dds_DCPS_InternalDataReader.take_instance_state (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.read_instance
[       OK ] dds_DCPS_InternalDataReader.read_instance (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.take_instance
[       OK ] dds_DCPS_InternalDataReader.take_instance (0 ms)
[----------] 14 tests from dds_DCPS_InternalDataReader (1003 ms total)

[----------] 5 tests from dds_DCPS_InternalTopic
[ RUN      ] dds_DCPS_InternalTopic.connect_writer
[       OK ] dds_DCPS_InternalTopic.connect_writer (0 ms)
[ RUN      ] dds_DCPS_InternalTopic.connect_reader
[       OK ] dds_DCPS_InternalTopic.connect_reader (0 ms)
[ RUN      ] dds_DCPS_InternalTopic.disconnect_writer
[       OK ] dds_DCPS_InternalTopic.disconnect_writer (0 ms)
[ RUN      ] dds_DCPS_InternalTopic.disconnect_reader
[       OK ] dds_DCPS_InternalTopic.disconnect_reader (0 ms)
[ RUN      ] dds_DCPS_InternalTopic.connect_multiple
[       OK ] dds_DCPS_InternalTopic.connect_multiple (0 ms)
[----------] 5 tests from dds_DCPS_InternalTopic (0 ms total)

[----------] 26 tests from dds_DCPS_NetworkAddress
[ RUN      ] dds_DCPS_NetworkAddress.DefaultConstructor
[       OK ] dds_DCPS_NetworkAddress.DefaultConstructor (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.AddrConstructorDefault
[       OK ] dds_DCPS_NetworkAddress.AddrConstructorDefault (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.AddrConstructorPortStrIpFour
[       OK ] dds_DCPS_NetworkAddress.AddrConstructorPortStrIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.AddrConstructorStrIpFour
[       OK ] dds_DCPS_NetworkAddress.AddrConstructorStrIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.AddrConstructorPortStrIpSix
[       OK ] dds_DCPS_NetworkAddress.AddrConstructorPortStrIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.AddrConstructortStrIpSix
[       OK ] dds_DCPS_NetworkAddress.AddrConstructortStrIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.PortStrConstructorIpFour
[       OK ] dds_DCPS_NetworkAddress.PortStrConstructorIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.StrConstructorIpFour
[       OK ] dds_DCPS_NetworkAddress.StrConstructorIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.PortStrConstructorIpSix
[       OK ] dds_DCPS_NetworkAddress.PortStrConstructorIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.StrConstructorIpSix
[       OK ] dds_DCPS_NetworkAddress.StrConstructorIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.SetPortIpFour
[       OK ] dds_DCPS_NetworkAddress.SetPortIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.SetPortIpSix
[       OK ] dds_DCPS_NetworkAddress.SetPortIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.OperatorsIpFour
[       OK ] dds_DCPS_NetworkAddress.OperatorsIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.OperatorsIpSix
[       OK ] dds_DCPS_NetworkAddress.OperatorsIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsAnyIpFour
[       OK ] dds_DCPS_NetworkAddress.IsAnyIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsAnyIpSix
[       OK ] dds_DCPS_NetworkAddress.IsAnyIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsLoopbackIpFour
[       OK ] dds_DCPS_NetworkAddress.IsLoopbackIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsLoopbackIpSix
[       OK ] dds_DCPS_NetworkAddress.IsLoopbackIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsMulticastIpFour
[       OK ] dds_DCPS_NetworkAddress.IsMulticastIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsMulticastIpSix
[       OK ] dds_DCPS_NetworkAddress.IsMulticastIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsPrivateIpFour
[       OK ] dds_DCPS_NetworkAddress.IsPrivateIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsUniqueLocalIpSix
[       OK ] dds_DCPS_NetworkAddress.IsUniqueLocalIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsLinkLocalIpSix
[       OK ] dds_DCPS_NetworkAddress.IsLinkLocalIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsSiteLocalIpSix
[       OK ] dds_DCPS_NetworkAddress.IsSiteLocalIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsMoreLocalIpFour
[       OK ] dds_DCPS_NetworkAddress.IsMoreLocalIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsMoreLocalIpSix
[       OK ] dds_DCPS_NetworkAddress.IsMoreLocalIpSix (0 ms)
[----------] 26 tests from dds_DCPS_NetworkAddress (1 ms total)

[----------] 42 tests from dds_DCPS_PrinterValueWriter
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_struct
[       OK ] dds_DCPS_PrinterValueWriter.begin_struct (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_struct
[       OK ] dds_DCPS_PrinterValueWriter.end_struct (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_struct_member
[       OK ] dds_DCPS_PrinterValueWriter.begin_struct_member (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_struct_member
[       OK ] dds_DCPS_PrinterValueWriter.end_struct_member (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_union
[       OK ] dds_DCPS_PrinterValueWriter.begin_union (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_union
[       OK ] dds_DCPS_PrinterValueWriter.end_union (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_discriminator
[       OK ] dds_DCPS_PrinterValueWriter.begin_discriminator (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_discriminator
[       OK ] dds_DCPS_PrinterValueWriter.end_discriminator (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_union_member
[       OK ] dds_DCPS_PrinterValueWriter.begin_union_member (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_union_member
[       OK ] dds_DCPS_PrinterValueWriter.end_union_member (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.complete_struct
[       OK ] dds_DCPS_PrinterValueWriter.complete_struct (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_array
[       OK ] dds_DCPS_PrinterValueWriter.begin_array (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_array
[       OK ] dds_DCPS_PrinterValueWriter.end_array (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_sequence
[       OK ] dds_DCPS_PrinterValueWriter.begin_sequence (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_sequence
[       OK ] dds_DCPS_PrinterValueWriter.end_sequence (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_element
[       OK ] dds_DCPS_PrinterValueWriter.begin_element (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_element
[       OK ] dds_DCPS_PrinterValueWriter.end_element (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.complete_sequence
[       OK ] dds_DCPS_PrinterValueWriter.complete_sequence (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.complete_sequence_write_array
[       OK ] dds_DCPS_PrinterValueWriter.complete_sequence_write_array (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.complete_array
[       OK ] dds_DCPS_PrinterValueWriter.complete_array (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.complete_array_write_array
[       OK ] dds_DCPS_PrinterValueWriter.complete_array_write_array (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.complete_struct_with_complete_array
[       OK ] dds_DCPS_PrinterValueWriter.complete_struct_with_complete_array (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_boolean
[       OK ] dds_DCPS_PrinterValueWriter.write_boolean (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_byte
[       OK ] dds_DCPS_PrinterValueWriter.write_byte (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_int8
[       OK ] dds_DCPS_PrinterValueWriter.write_int8 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_uint8
[       OK ] dds_DCPS_PrinterValueWriter.write_uint8 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_int16
[       OK ] dds_DCPS_PrinterValueWriter.write_int16 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_uint16
[       OK ] dds_DCPS_PrinterValueWriter.write_uint16 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_int32
[       OK ] dds_DCPS_PrinterValueWriter.write_int32 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_uint32
[       OK ] dds_DCPS_PrinterValueWriter.write_uint32 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_int64
[       OK ] dds_DCPS_PrinterValueWriter.write_int64 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_uint64
[       OK ] dds_DCPS_PrinterValueWriter.write_uint64 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_float32
[       OK ] dds_DCPS_PrinterValueWriter.write_float32 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_float64
[       OK ] dds_DCPS_PrinterValueWriter.write_float64 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_float128
[       OK ] dds_DCPS_PrinterValueWriter.write_float128 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_fixed
[       OK ] dds_DCPS_PrinterValueWriter.write_fixed (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_char8
[       OK ] dds_DCPS_PrinterValueWriter.write_char8 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_char8_null
[       OK ] dds_DCPS_PrinterValueWriter.write_char8_null (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_char16
[       OK ] dds_DCPS_PrinterValueWriter.write_char16 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_char16_null
[       OK ] dds_DCPS_PrinterValueWriter.write_char16_null (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_string
[       OK ] dds_DCPS_PrinterValueWriter.write_string (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_enum
[       OK ] dds_DCPS_PrinterValueWriter.write_enum (0 ms)
[----------] 42 tests from dds_DCPS_PrinterValueWriter (2 ms total)

[----------] 5 tests from dds_DCPS_SporadicEvent
[ RUN      ] dds_DCPS_SporadicEvent.ConstructDestruct
[       OK ] dds_DCPS_SporadicEvent.ConstructDestruct (0 ms)
[ RUN      ] dds_DCPS_SporadicEvent.Nominal
[       OK ] dds_DCPS_SporadicEvent.Nominal (1 ms)
[ RUN      ] dds_DCPS_SporadicEvent.MoveUp
[       OK ] dds_DCPS_SporadicEvent.MoveUp (3 ms)
[ RUN      ] dds_DCPS_SporadicEvent.NoDoubleExec
[       OK ] dds_DCPS_SporadicEvent.NoDoubleExec (201 ms)
[ RUN      ] dds_DCPS_SporadicEvent.Cancel
[       OK ] dds_DCPS_SporadicEvent.Cancel (100 ms)
[----------] 5 tests from dds_DCPS_SporadicEvent (305 ms total)

[----------] 4 tests from dds_DCPS_EventDispatcher
[ RUN      ] dds_DCPS_EventDispatcher.EventBaseConstructDestruct
[       OK ] dds_DCPS_EventDispatcher.EventBaseConstructDestruct (0 ms)
[ RUN      ] dds_DCPS_EventDispatcher.EventBasePassThrough
[       OK ] dds_DCPS_EventDispatcher.EventBasePassThrough (0 ms)
[ RUN      ] dds_DCPS_EventDispatcher.EventBaseHandleException
[       OK ] dds_DCPS_EventDispatcher.EventBaseHandleException (10 ms)
[ RUN      ] dds_DCPS_EventDispatcher.TestEventDispatcher
[       OK ] dds_DCPS_EventDispatcher.TestEventDispatcher (0 ms)
[----------] 4 tests from dds_DCPS_EventDispatcher (11 ms total)

[----------] 206 tests from dds_DCPS_Qos_Helper
[ RUN      ] dds_DCPS_Qos_Helper.maintest
[       OK ] dds_DCPS_Qos_Helper.maintest (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TransportPriorityQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.TransportPriorityQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TransportPriorityQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.TransportPriorityQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TransportPriorityQosPolicyBuilder_value
[       OK ] dds_DCPS_Qos_Helper.TransportPriorityQosPolicyBuilder_value (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LifespanQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.LifespanQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LifespanQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.LifespanQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LifespanQosPolicyBuilder_duration
[       OK ] dds_DCPS_Qos_Helper.LifespanQosPolicyBuilder_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_kind
[       OK ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_volatile
[       OK ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_volatile (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_transient_local
[       OK ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_transient_local (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_transient
[       OK ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_transient (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_persistent
[       OK ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_persistent (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_service_cleanup_delay
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_service_cleanup_delay (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_history_kind
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_history_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_keep_last
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_keep_last (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_keep_all
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_keep_all (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_history_depth
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_history_depth (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_max_samples
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_max_samples (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_max_instances
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_max_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_max_samples_per_instance
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_max_samples_per_instance (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DeadlineQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.DeadlineQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DeadlineQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.DeadlineQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DeadlineQosPolicyBuilder_period
[       OK ] dds_DCPS_Qos_Helper.DeadlineQosPolicyBuilder_period (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LatencyBudgetQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.LatencyBudgetQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LatencyBudgetQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.LatencyBudgetQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LatencyBudgetQosPolicyBuilder_duration
[       OK ] dds_DCPS_Qos_Helper.LatencyBudgetQosPolicyBuilder_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_kind
[       OK ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_shared
[       OK ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_shared (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_exclusive
[       OK ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_exclusive (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipStrengthQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.OwnershipStrengthQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipStrengthQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.OwnershipStrengthQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipStrengthQosPolicyBuilder_value
[       OK ] dds_DCPS_Qos_Helper.OwnershipStrengthQosPolicyBuilder_value (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_kind
[       OK ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_automatic
[       OK ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_automatic (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_manual_by_participant
[       OK ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_manual_by_participant (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_manual_by_topic
[       OK ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_manual_by_topic (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_lease_duration
[       OK ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_lease_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TimeBasedFilterQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.TimeBasedFilterQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TimeBasedFilterQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.TimeBasedFilterQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TimeBasedFilterQosPolicyBuilder_minimum_separation
[       OK ] dds_DCPS_Qos_Helper.TimeBasedFilterQosPolicyBuilder_minimum_separation (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_max_samples
[       OK ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_max_samples (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_max_instances
[       OK ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_max_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_max_samples_per_instance
[       OK ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_max_samples_per_instance (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.WriterDataLifecycleQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.WriterDataLifecycleQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.WriterDataLifecycleQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.WriterDataLifecycleQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.WriterDataLifecycleQosPolicyBuilder_autodispose_unregistered_instances
[       OK ] dds_DCPS_Qos_Helper.WriterDataLifecycleQosPolicyBuilder_autodispose_unregistered_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.ReaderDataLifecycleQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.ReaderDataLifecycleQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.ReaderDataLifecycleQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.ReaderDataLifecycleQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.ReaderDataLifecycleQosPolicyBuilder_autopurge_nowriter_samples_delay
[       OK ] dds_DCPS_Qos_Helper.ReaderDataLifecycleQosPolicyBuilder_autopurge_nowriter_samples_delay (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_kind
[       OK ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_disallow_type_coercion
[       OK ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_disallow_type_coercion (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_allow_type_coercion
[       OK ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_allow_type_coercion (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_ignore_sequence_bounds
[       OK ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_ignore_sequence_bounds (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_ignore_string_bounds
[       OK ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_ignore_string_bounds (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_ignore_member_names
[       OK ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_ignore_member_names (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_prevent_type_widening
[       OK ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_prevent_type_widening (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_force_type_validation
[       OK ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_force_type_validation (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_topic_data_value
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_topic_data_value (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_kind
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_volatile
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_volatile (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_transient_local
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_transient_local (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_transient
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_transient (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_persistent
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_persistent (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_service_cleanup_delay
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_service_cleanup_delay (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_history_kind
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_history_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_keep_last
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_keep_last (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_keep_all
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_keep_all (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_history_depth
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_history_depth (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_max_samples
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_max_samples (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_max_instances
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_max_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_max_samples_per_instance
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_max_samples_per_instance (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_deadline_period
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_deadline_period (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_latency_budget_duration
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_latency_budget_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_kind
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_automatic
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_automatic (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_manual_by_participant
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_manual_by_participant (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_manual_by_topic
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_manual_by_topic (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_lease_duration
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_lease_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_kind
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_best_effort
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_best_effort (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_reliable
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_reliable (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_max_blocking_time
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_max_blocking_time (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_destination_order_kind
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_destination_order_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_destination_order_by_source_timestamp
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_destination_order_by_source_timestamp (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_destination_order_by_reception_timestamp
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_destination_order_by_reception_timestamp (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_kind
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_keep_last
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_keep_last (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_keep_all
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_keep_all (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_depth
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_depth (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_resource_limits_max_samples
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_resource_limits_max_samples (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_resource_limits_max_instances
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_resource_limits_max_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_resource_limits_max_samples_per_instance
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_resource_limits_max_samples_per_instance (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_transport_priority_value
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_transport_priority_value (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_lifespan_duration
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_lifespan_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_ownership_kind
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_ownership_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_ownership_shared
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_ownership_shared (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_ownership_exclusive
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_ownership_exclusive (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_kind
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_volatile
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_volatile (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_transient_local
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_transient_local (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_transient
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_transient (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_persistent
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_persistent (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_service_cleanup_delay
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_service_cleanup_delay (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_history_kind
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_history_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_keep_last
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_keep_last (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_keep_all
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_keep_all (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_history_depth
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_history_depth (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_max_samples
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_max_samples (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_max_instances
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_max_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_max_samples_per_instance
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_max_samples_per_instance (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_deadline_period
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_deadline_period (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_latency_budget_duration
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_latency_budget_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_kind
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_automatic
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_automatic (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_manual_by_participant
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_manual_by_participant (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_manual_by_topic
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_manual_by_topic (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_lease_duration
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_lease_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_kind
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_best_effort
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_best_effort (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_reliable
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_reliable (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_max_blocking_time
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_max_blocking_time (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_destination_order_kind
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_destination_order_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_destination_order_by_source_timestamp
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_destination_order_by_source_timestamp (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_destination_order_by_reception_timestamp
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_destination_order_by_reception_timestamp (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_kind
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_keep_last
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_keep_last (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_keep_all
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_keep_all (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_depth
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_depth (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_resource_limits_max_samples
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_resource_limits_max_samples (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_resource_limits_max_instances
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_resource_limits_max_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_resource_limits_max_samples_per_instance
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_resource_limits_max_samples_per_instance (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_transport_priority_value
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_transport_priority_value (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_lifespan_duration
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_lifespan_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_user_data_value
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_user_data_value (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_kind
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_shared
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_shared (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_exclusive
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_exclusive (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_strength_value
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_strength_value (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_writer_data_lifecycle_autodispose_unregistered_instances
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_writer_data_lifecycle_autodispose_unregistered_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Publisher_ctor
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Publisher_ctor (1 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Publisher_ctor_error
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Publisher_ctor_error (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Topic_ctor
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Topic_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Topic_ctor_error
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Topic_ctor_error (1 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_durability_kind
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_durability_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_durability_volatile
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_durability_volatile (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_durability_transient_local
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_durability_transient_local (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_durability_transient
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_durability_transient (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_durability_persistent
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_durability_persistent (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_deadline_period
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_deadline_period (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_latency_budget_duration
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_latency_budget_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_liveliness_kind
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_liveliness_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_liveliness_automatic
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_liveliness_automatic (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_liveliness_manual_by_participant
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_liveliness_manual_by_participant (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_liveliness_manual_by_topic
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_liveliness_manual_by_topic (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_liveliness_lease_duration
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_liveliness_lease_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_reliability_kind
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_reliability_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_reliability_best_effort
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_reliability_best_effort (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_reliability_reliable
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_reliability_reliable (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_reliability_max_blocking_time
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_reliability_max_blocking_time (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_destination_order_kind
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_destination_order_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_destination_order_by_source_timestamp
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_destination_order_by_source_timestamp (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_destination_order_by_reception_timestamp
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_destination_order_by_reception_timestamp (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_history_kind
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_history_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_history_keep_last
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_history_keep_last (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_history_keep_all
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_history_keep_all (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_history_depth
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_history_depth (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_resource_limits_max_samples
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_resource_limits_max_samples (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_resource_limits_max_instances
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_resource_limits_max_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_resource_limits_max_samples_per_instance
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_resource_limits_max_samples_per_instance (1 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_user_data_value
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_user_data_value (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_ownership_kind
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_ownership_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_ownership_shared
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_ownership_shared (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_ownership_exclusive
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_ownership_exclusive (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_time_based_filter_minimum_separation
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_time_based_filter_minimum_separation (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_reader_data_lifecycle_autopurge_nowriter_samples_delay
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_reader_data_lifecycle_autopurge_nowriter_samples_delay (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_reader_data_lifecycle_autopurge_disposed_samples_delay
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_reader_data_lifecycle_autopurge_disposed_samples_delay (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_kind
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_disallow_type_coercion
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_disallow_type_coercion (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_allow_type_coercion
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_allow_type_coercion (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_ignore_sequence_bounds
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_ignore_sequence_bounds (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_ignore_string_bounds
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_ignore_string_bounds (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_ignore_member_names
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_ignore_member_names (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_prevent_type_widening
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_prevent_type_widening (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_force_type_validation
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_force_type_validation (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_Subscriber_ctor
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_Subscriber_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_Subscriber_ctor_error
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_Subscriber_ctor_error (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_Topic_ctor
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_Topic_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_Topic_ctor_error
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_Topic_ctor_error (0 ms)
[----------] 206 tests from dds_DCPS_Qos_Helper (7 ms total)

[----------] 3 tests from dds_DCPS_PeriodicEvent
[ RUN      ] dds_DCPS_PeriodicEvent.ConstructDestruct
[       OK ] dds_DCPS_PeriodicEvent.ConstructDestruct (0 ms)
[ RUN      ] dds_DCPS_PeriodicEvent.Nominal
[       OK ] dds_DCPS_PeriodicEvent.Nominal (601 ms)
[ RUN      ] dds_DCPS_PeriodicEvent.NoDoubleExec
[       OK ] dds_DCPS_PeriodicEvent.NoDoubleExec (1001 ms)
[----------] 3 tests from dds_DCPS_PeriodicEvent (1602 ms total)

[----------] 1 test from dds_DCPS_DataSampleHeader
[ RUN      ] dds_DCPS_DataSampleHeader.valid_data
[       OK ] dds_DCPS_DataSampleHeader.valid_data (0 ms)
[----------] 1 test from dds_DCPS_DataSampleHeader (0 ms total)

[----------] 1 test from dds_DCPS_SequenceNumber
[ RUN      ] dds_DCPS_SequenceNumber.maintest
[       OK ] dds_DCPS_SequenceNumber.maintest (0 ms)
[----------] 1 test from dds_DCPS_SequenceNumber (0 ms total)

[----------] 1 test from dds_DCPS_MemoryPool
[ RUN      ] dds_DCPS_MemoryPool.maintest
[       OK ] dds_DCPS_MemoryPool.maintest (7 ms)
[----------] 1 test from dds_DCPS_MemoryPool (7 ms total)

[----------] 9 tests from dds_DCPS_SporadicTask
[ RUN      ] dds_DCPS_SporadicTask.schedule
[       OK ] dds_DCPS_SporadicTask.schedule (1 ms)
[ RUN      ] dds_DCPS_SporadicTask.schedule_pmf
[       OK ] dds_DCPS_SporadicTask.schedule_pmf (0 ms)
[ RUN      ] dds_DCPS_SporadicTask.schedule_error
[       OK ] dds_DCPS_SporadicTask.schedule_error (1 ms)
[ RUN      ] dds_DCPS_SporadicTask.schedule_earlier
[       OK ] dds_DCPS_SporadicTask.schedule_earlier (0 ms)
[ RUN      ] dds_DCPS_SporadicTask.schedule_later
[       OK ] dds_DCPS_SporadicTask.schedule_later (1 ms)
[ RUN      ] dds_DCPS_SporadicTask.schedule_no_interceptor
[       OK ] dds_DCPS_SporadicTask.schedule_no_interceptor (0 ms)
[ RUN      ] dds_DCPS_SporadicTask.cancel_not_scheduled
[       OK ] dds_DCPS_SporadicTask.cancel_not_scheduled (0 ms)
[ RUN      ] dds_DCPS_SporadicTask.cancel_scheduled
[       OK ] dds_DCPS_SporadicTask.cancel_scheduled (1 ms)
[ RUN      ] dds_DCPS_SporadicTask.cancel_no_interceptor
[       OK ] dds_DCPS_SporadicTask.cancel_no_interceptor (0 ms)
[----------] 9 tests from dds_DCPS_SporadicTask (4 ms total)

[----------] 2 tests from dds_DCPS_GuidUtils
[ RUN      ] dds_DCPS_GuidUtils.guid_t_vs_octet_array16_size_test
[       OK ] dds_DCPS_GuidUtils.guid_t_vs_octet_array16_size_test (0 ms)
[ RUN      ] dds_DCPS_GuidUtils.guid_pair_cmp
[       OK ] dds_DCPS_GuidUtils.guid_pair_cmp (0 ms)
[----------] 2 tests from dds_DCPS_GuidUtils (0 ms total)

[----------] 12 tests from dds_DCPS_NetworkResource
[ RUN      ] dds_DCPS_NetworkResource.hostname_info
[       OK ] dds_DCPS_NetworkResource.hostname_info (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.fully_qualified_domain_hostname_basic
(3467|3467) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
[       OK ] dds_DCPS_NetworkResource.fully_qualified_domain_hostname_basic (6 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv4
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv4 (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6 (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_localhost
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_localhost (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_double_self
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_double_self (3 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals_port0
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals_port0 (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals_localhost
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals_localhost (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals_localhost_port0
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals_localhost_port0 (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv4_literals
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv4_literals (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv4_literals_port0
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv4_literals_port0 (0 ms)
[----------] 12 tests from dds_DCPS_NetworkResource (10 ms total)

[----------] 1 test from dds_DCPS_AtomicBool
[ RUN      ] dds_DCPS_AtomicBool.tsan_test
[       OK ] dds_DCPS_AtomicBool.tsan_test (1 ms)
[----------] 1 test from dds_DCPS_AtomicBool (1 ms total)

[----------] 3 tests from dds_DCPS_GuidConverter
[ RUN      ] dds_DCPS_GuidConverter.prefixes_to_ParticipantID
[       OK ] dds_DCPS_GuidConverter.prefixes_to_ParticipantID (1 ms)
[ RUN      ] dds_DCPS_GuidConverter.validate_Checksum
[       OK ] dds_DCPS_GuidConverter.validate_Checksum (0 ms)
[ RUN      ] dds_DCPS_GuidConverter.validate_IDs_and_Key
[       OK ] dds_DCPS_GuidConverter.validate_IDs_and_Key (0 ms)
[----------] 3 tests from dds_DCPS_GuidConverter (1 ms total)

[----------] 8 tests from dds_DCPS_InternalDataWriter
[ RUN      ] dds_DCPS_InternalDataWriter.add_reader
[       OK ] dds_DCPS_InternalDataWriter.add_reader (0 ms)
[ RUN      ] dds_DCPS_InternalDataWriter.add_reader_durable
[       OK ] dds_DCPS_InternalDataWriter.add_reader_durable (0 ms)
[ RUN      ] dds_DCPS_InternalDataWriter.add_reader_durable_history1
[       OK ] dds_DCPS_InternalDataWriter.add_reader_durable_history1 (0 ms)
[ RUN      ] dds_DCPS_InternalDataWriter.remove_reader
[       OK ] dds_DCPS_InternalDataWriter.remove_reader (0 ms)
[ RUN      ] dds_DCPS_InternalDataWriter.write
[       OK ] dds_DCPS_InternalDataWriter.write (0 ms)
[ RUN      ] dds_DCPS_InternalDataWriter.unregister_instance
[       OK ] dds_DCPS_InternalDataWriter.unregister_instance (0 ms)
[ RUN      ] dds_DCPS_InternalDataWriter.unregister_instance_no_dispose
[       OK ] dds_DCPS_InternalDataWriter.unregister_instance_no_dispose (0 ms)
[ RUN      ] dds_DCPS_InternalDataWriter.dispose
[       OK ] dds_DCPS_InternalDataWriter.dispose (0 ms)
[----------] 8 tests from dds_DCPS_InternalDataWriter (0 ms total)

[----------] 6 tests from dds_DCPS_ThreadPool
[ RUN      ] dds_DCPS_ThreadPool.NoArgConstructor
[       OK ] dds_DCPS_ThreadPool.NoArgConstructor (0 ms)
[ RUN      ] dds_DCPS_ThreadPool.ArgConstructorZero
[       OK ] dds_DCPS_ThreadPool.ArgConstructorZero (0 ms)
[ RUN      ] dds_DCPS_ThreadPool.ArgConstructorOne
[       OK ] dds_DCPS_ThreadPool.ArgConstructorOne (1 ms)
[ RUN      ] dds_DCPS_ThreadPool.ArgConstructorFour
[       OK ] dds_DCPS_ThreadPool.ArgConstructorFour (0 ms)
[ RUN      ] dds_DCPS_ThreadPool.ArgConstructorSixteen
[       OK ] dds_DCPS_ThreadPool.ArgConstructorSixteen (2 ms)
[ RUN      ] dds_DCPS_ThreadPool.CheckMembership
[       OK ] dds_DCPS_ThreadPool.CheckMembership (1 ms)
[----------] 6 tests from dds_DCPS_ThreadPool (4 ms total)

[----------] 1 test from dds_DCPS_DomainParticipantImpl
[ RUN      ] dds_DCPS_DomainParticipantImpl.maintest
[       OK ] dds_DCPS_DomainParticipantImpl.maintest (0 ms)
[----------] 1 test from dds_DCPS_DomainParticipantImpl (0 ms total)

[----------] 5 tests from dds_DCPS_FibonacciSequence
[ RUN      ] dds_DCPS_FibonacciSequence.size_t_test
[       OK ] dds_DCPS_FibonacciSequence.size_t_test (0 ms)
[ RUN      ] dds_DCPS_FibonacciSequence.TimeDuration_test
[       OK ] dds_DCPS_FibonacciSequence.TimeDuration_test (0 ms)
[ RUN      ] dds_DCPS_FibonacciSequence.advance_with_max
[       OK ] dds_DCPS_FibonacciSequence.advance_with_max (0 ms)
[ RUN      ] dds_DCPS_FibonacciSequence.set_with_value
[       OK ] dds_DCPS_FibonacciSequence.set_with_value (0 ms)
[ RUN      ] dds_DCPS_FibonacciSequence.set_with_two_values
[       OK ] dds_DCPS_FibonacciSequence.set_with_two_values (0 ms)
[----------] 5 tests from dds_DCPS_FibonacciSequence (0 ms total)

[----------] 8 tests from dds_DCPS_AddressCache
[ RUN      ] dds_DCPS_AddressCache.load_fail
[       OK ] dds_DCPS_AddressCache.load_fail (0 ms)
[ RUN      ] dds_DCPS_AddressCache.store_load_success
[       OK ] dds_DCPS_AddressCache.store_load_success (0 ms)
[ RUN      ] dds_DCPS_AddressCache.store_remove_load_fail
[       OK ] dds_DCPS_AddressCache.store_remove_load_fail (0 ms)
[ RUN      ] dds_DCPS_AddressCache.store_remove_id_load_fail
[       OK ] dds_DCPS_AddressCache.store_remove_id_load_fail (0 ms)
[ RUN      ] dds_DCPS_AddressCache.scoped_access_load_success
[       OK ] dds_DCPS_AddressCache.scoped_access_load_success (0 ms)
[ RUN      ] dds_DCPS_AddressCache.scoped_access_cache_hit
[       OK ] dds_DCPS_AddressCache.scoped_access_cache_hit (0 ms)
[ RUN      ] dds_DCPS_AddressCache.store_twice
[       OK ] dds_DCPS_AddressCache.store_twice (0 ms)
[ RUN      ] dds_DCPS_AddressCache.scoped_access_expired
[       OK ] dds_DCPS_AddressCache.scoped_access_expired (0 ms)
[----------] 8 tests from dds_DCPS_AddressCache (1 ms total)

[----------] 60 tests from dds_DCPS_Serializer
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding
[       OK ] dds_DCPS_Serializer.Encoding_Encoding (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR1_ENDIAN_BIG
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR1_ENDIAN_BIG (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_UNALIGNED_CDR_ENDIAN_BIG
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_UNALIGNED_CDR_ENDIAN_BIG (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_ENDIAN_BIG
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_ENDIAN_BIG (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR1_ENDIAN_LITTLE
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR1_ENDIAN_LITTLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_UNALIGNED_CDR_ENDIAN_LITTLE
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_UNALIGNED_CDR_ENDIAN_LITTLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_ENDIAN_LITTLE
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_ENDIAN_LITTLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_Swap
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_Swap (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_No_Swap
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_No_Swap (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR1_max_align
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR1_max_align (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_UNALIGNED_CDR_max_align
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_UNALIGNED_CDR_max_align (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_max_align
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_max_align (0 ms)
[ RUN      ] dds_DCPS_Serializer.align_value_no_offset
[       OK ] dds_DCPS_Serializer.align_value_no_offset (0 ms)
[ RUN      ] dds_DCPS_Serializer.align_value_add_offset
[       OK ] dds_DCPS_Serializer.align_value_add_offset (0 ms)
[ RUN      ] dds_DCPS_Serializer.align_value_smaller_than_by
[       OK ] dds_DCPS_Serializer.align_value_smaller_than_by (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_is_encapsulated_this_XCDR1
[       OK ] dds_DCPS_Serializer.Encoding_is_encapsulated_this_XCDR1 (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_is_encapsulated_this_UNALIGNED_CDR
[       OK ] dds_DCPS_Serializer.Encoding_is_encapsulated_this_UNALIGNED_CDR (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_static_is_encacapsulatd_XCDR2
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_static_is_encacapsulatd_XCDR2 (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_EncapsulationHeader
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_EncapsulationHeader (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_EncapsulationHeader_Encoding_Valid
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_EncapsulationHeader_Encoding_Valid (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_EncapsulationHeader_Encoding_Invalid
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_EncapsulationHeader_Encoding_Invalid (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR1_BIG_FINAL
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR1_BIG_FINAL (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR1_LITTLE_FINAL
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR1_LITTLE_FINAL (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR1_LITTLE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR1_LITTLE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR2_LITTLE_FINAL
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR2_LITTLE_FINAL (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR2_LITTLE_APPENDABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR2_LITTLE_APPENDABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR2_LITTLE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR2_LITTLE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_UNALIGNED_CDR_LITTLE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_UNALIGNED_CDR_LITTLE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_NOT_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_NOT_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR_LE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR_LE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR_LE_NOT_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR_LE_NOT_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR_BE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR_BE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR_LE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR_LE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR2_BE_FINAL
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR2_BE_FINAL (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR2_LE_FINAL
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR2_LE_FINAL (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_D_CDR2_BE_APPENDABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_D_CDR2_BE_APPENDABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_D_CDR2_LE_APPENDABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_D_CDR2_LE_APPENDABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR2_BE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR2_BE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR2_LE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR2_LE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_XML
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_XML (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_INVALID
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_INVALID (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_Serializer_ACE_Message_Block_Encoding
[       OK ] dds_DCPS_Serializer.Serializer_Serializer_ACE_Message_Block_Encoding (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_Serializer_ACE_Message_Block_Kind
[       OK ] dds_DCPS_Serializer.Serializer_Serializer_ACE_Message_Block_Kind (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_Serializer_ACE_Message_Block_Kind_bool
[       OK ] dds_DCPS_Serializer.Serializer_Serializer_ACE_Message_Block_Kind_bool (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_set_endianness
[       OK ] dds_DCPS_Serializer.Serializer_set_endianness (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_swap_bytes_endianness
[       OK ] dds_DCPS_Serializer.Serializer_swap_bytes_endianness (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_align_context_basic_reference
[       OK ] dds_DCPS_Serializer.Serializer_align_context_basic_reference (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_align_context_basic
[       OK ] dds_DCPS_Serializer.Serializer_align_context_basic (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_align_context_2_buff
[       OK ] dds_DCPS_Serializer.Serializer_align_context_2_buff (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_align_context_2_buff_diff_walign
[       OK ] dds_DCPS_Serializer.Serializer_align_context_2_buff_diff_walign (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_align_context_2_buff_diff_walign_read
[       OK ] dds_DCPS_Serializer.Serializer_align_context_2_buff_diff_walign_read (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_align_context_2_buff_diff_walign_read_with_min
[       OK ] dds_DCPS_Serializer.Serializer_align_context_2_buff_diff_walign_read_with_min (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_test_peek_align
[       OK ] dds_DCPS_Serializer.Serializer_test_peek_align (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_test_peek_depth
[       OK ] dds_DCPS_Serializer.Serializer_test_peek_depth (8 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_test_trim
[       OK ] dds_DCPS_Serializer.Serializer_test_trim (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_test_bad_string
[       OK ] dds_DCPS_Serializer.Serializer_test_bad_string (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_test_bad_wstring
[       OK ] dds_DCPS_Serializer.Serializer_test_bad_wstring (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_test_bad_string2
[       OK ] dds_DCPS_Serializer.Serializer_test_bad_string2 (0 ms)
[ RUN      ] dds_DCPS_Serializer.read_parameter_id_xcdr2
[       OK ] dds_DCPS_Serializer.read_parameter_id_xcdr2 (0 ms)
[----------] 60 tests from dds_DCPS_Serializer (10 ms total)

[----------] 7 tests from dds_DCPS_Time_Helper
[ RUN      ] dds_DCPS_Time_Helper.infinite_duration_to_time_value
[       OK ] dds_DCPS_Time_Helper.infinite_duration_to_time_value (0 ms)
[ RUN      ] dds_DCPS_Time_Helper.infinite_duration_to_absolute_time_value
[       OK ] dds_DCPS_Time_Helper.infinite_duration_to_absolute_time_value (0 ms)
[ RUN      ] dds_DCPS_Time_Helper.finite_duration_to_time_value
[       OK ] dds_DCPS_Time_Helper.finite_duration_to_time_value (0 ms)
[ RUN      ] dds_DCPS_Time_Helper.Duration_t_difference
[       OK ] dds_DCPS_Time_Helper.Duration_t_difference (0 ms)
[ RUN      ] dds_DCPS_Time_Helper.MonotonicTime_t_equal
[       OK ] dds_DCPS_Time_Helper.MonotonicTime_t_equal (0 ms)
[ RUN      ] dds_DCPS_Time_Helper.make_duration
[       OK ] dds_DCPS_Time_Helper.make_duration (0 ms)
[ RUN      ] dds_DCPS_Time_Helper.add_time_duration
[       OK ] dds_DCPS_Time_Helper.add_time_duration (0 ms)
[----------] 7 tests from dds_DCPS_Time_Helper (0 ms total)

[----------] Global test environment tear-down
[==========] 760 tests from 55 test cases ran. (3997 ms total)
[  PASSED  ] 760 tests.
test PASSED.

auto_run_tests_finished: tests/unit-tests/run_test.pl Time:5s Result:0

==============================================================================

tests/stress-tests/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/stress-tests/StressTests  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile StressTests.log -DCPSPendingTimeout 3 
StressTests PID: 3633 started at 2023-04-28 16:12:44
[==========] Running 7 tests from 5 test cases.
[----------] Global test environment set-up.
[----------] 2 tests from dds_DCPS_DispatchService
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchDelta
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchDelta (208 ms)
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchDelta_ImmediateShutdown
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchDelta_ImmediateShutdown (101 ms)
[----------] 2 tests from dds_DCPS_DispatchService (309 ms total)

[----------] 1 test from dds_DCPS_RcObject
[ RUN      ] dds_DCPS_RcObject.WRCH_lock_vs_RCH_reset
[       OK ] dds_DCPS_RcObject.WRCH_lock_vs_RCH_reset (2657 ms)
[----------] 1 test from dds_DCPS_RcObject (2657 ms total)

[----------] 2 tests from dds_DCPS_ServiceEventDispatcher
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchDelta
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchDelta (231 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchDelta_ImmediateShutdown
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchDelta_ImmediateShutdown (195 ms)
[----------] 2 tests from dds_DCPS_ServiceEventDispatcher (426 ms total)

[----------] 1 test from dds_DCPS_MultiTask
[ RUN      ] dds_DCPS_MultiTask.TimingChecker
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
total_count = 0
TestObj::execute() called at  16:12:50.310439
TestObj::execute() called at  16:12:52.310385
total_count = 2
TestObj::execute() called at  16:12:53.408744
TestObj::execute() called at  16:12:53.509021
TestObj::execute() called at  16:12:53.609251
TestObj::execute() called at  16:12:53.709485
TestObj::execute() called at  16:12:53.809743
TestObj::execute() called at  16:12:53.909982
TestObj::execute() called at  16:12:54.010240
TestObj::execute() called at  16:12:54.110503
TestObj::execute() called at  16:12:54.210728
TestObj::execute() called at  16:12:54.311006
TestObj::execute() called at  16:12:54.411308
TestObj::execute() called at  16:12:54.511588
TestObj::execute() called at  16:12:54.611916
TestObj::execute() called at  16:12:54.712181
TestObj::execute() called at  16:12:54.812443
TestObj::execute() called at  16:12:54.912710
TestObj::execute() called at  16:12:55.012987
TestObj::execute() called at  16:12:55.113328
TestObj::execute() called at  16:12:55.213606
TestObj::execute() called at  16:12:55.313940
enable_calls = 1799
total_count = 22
TestObj::execute() called at  16:12:57.315885
TestObj::execute() called at  16:12:59.315853
total_count = 24
[       OK ] dds_DCPS_MultiTask.TimingChecker (12643 ms)
[----------] 1 test from dds_DCPS_MultiTask (12643 ms total)

[----------] 1 test from dds_DCPS_SporadicTask
[ RUN      ] dds_DCPS_SporadicTask.TimingChecker
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
total_count = 0
TestObj::execute() called at  16:13:02.924031
total_count = 1
TestObj::execute() called at  16:13:06.022266
TestObj::execute() called at  16:13:06.122506
TestObj::execute() called at  16:13:06.222741
TestObj::execute() called at  16:13:06.322947
TestObj::execute() called at  16:13:06.423192
TestObj::execute() called at  16:13:06.523509
TestObj::execute() called at  16:13:06.623798
TestObj::execute() called at  16:13:06.724125
TestObj::execute() called at  16:13:06.824380
TestObj::execute() called at  16:13:06.924637
TestObj::execute() called at  16:13:07.024942
TestObj::execute() called at  16:13:07.125247
TestObj::execute() called at  16:13:07.225418
TestObj::execute() called at  16:13:07.325682
TestObj::execute() called at  16:13:07.425961
TestObj::execute() called at  16:13:07.526249
TestObj::execute() called at  16:13:07.626503
TestObj::execute() called at  16:13:07.726775
TestObj::execute() called at  16:13:07.827204
TestObj::execute() called at  16:13:07.927559
schedule_calls = 1805
total_count = 21
total_count = 21
[       OK ] dds_DCPS_SporadicTask.TimingChecker (9614 ms)
[----------] 1 test from dds_DCPS_SporadicTask (9614 ms total)

[----------] Global test environment tear-down
[==========] 7 tests from 5 test cases ran. (25649 ms total)
[  PASSED  ] 7 tests.
test PASSED.

auto_run_tests_finished: tests/stress-tests/run_test.pl Time:26s Result:0

==============================================================================

tests/DCPS/KeyTest/run_test.pl keymarshalling #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/KeyTest/KeyMarshalling  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile keymarshalling.log -DCPSPendingTimeout 3 
keymarshalling PID: 3683 started at 2023-04-28 16:13:10
Messenger1::Message
  bound = 0
0xd41d8cd98f00b204e9800998ecf8427e
Messenger2::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger3::Message
  bound = 8
0x0ee0646c1c77d8131cc8f4ee65c7673b
Messenger4::Message
  bound = <unbounded>
0x9bdaba22388482f4cae1104b5d1a3e67
Messenger5::Message
  bound = <unbounded>
0xf1d3ff8443297732862df21dc4e57262
Messenger6::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger7::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger8::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger9::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger10::Message
  bound = <unbounded>
0x4716e03130f78bb16871f3b75b93bd26
Messenger11::Message
  bound = 16
0x190c4c105786a2121d85018939108a6c
Messenger12::Message
  bound = 20
0x4d5555e067dd97d08fef90959b1510cb
Messenger1::Message
  bound = 0
0xd41d8cd98f00b204e9800998ecf8427e
Messenger2::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger3::Message
  bound = 8
0x0ee0646c1c77d8131cc8f4ee65c7673b
Messenger4::Message
  bound = <unbounded>
0x9bdaba22388482f4cae1104b5d1a3e67
Messenger5::Message
  bound = <unbounded>
0xf1d3ff8443297732862df21dc4e57262
Messenger6::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger7::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger8::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger9::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger10::Message
  bound = <unbounded>
0x4716e03130f78bb16871f3b75b93bd26
Messenger11::Message
  bound = 16
0x190c4c105786a2121d85018939108a6c
Messenger12::Message
  bound = 20
0x4d5555e067dd97d08fef90959b1510cb
Messenger1::Message
  bound = 0
0xd41d8cd98f00b204e9800998ecf8427e
Messenger2::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger3::Message
  bound = 8
0x0ee0646c1c77d8131cc8f4ee65c7673b
Messenger4::Message
  bound = <unbounded>
0x9bdaba22388482f4cae1104b5d1a3e67
Messenger5::Message
  bound = <unbounded>
0xf1d3ff8443297732862df21dc4e57262
Messenger6::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger7::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger8::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger9::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger10::Message
  bound = <unbounded>
0x4716e03130f78bb16871f3b75b93bd26
Messenger11::Message
  bound = 16
0x190c4c105786a2121d85018939108a6c
Messenger12::Message
  bound = 20
0x4d5555e067dd97d08fef90959b1510cb
test PASSED.

auto_run_tests_finished: tests/DCPS/KeyTest/run_test.pl keymarshalling Time:0s Result:0

==============================================================================

tests/DCPS/KeyTest/run_test.pl isbounded #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/KeyTest/IsBounded  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile isbounded.log -DCPSPendingTimeout 3 
isbounded PID: 3685 started at 2023-04-28 16:13:10
test PASSED.

auto_run_tests_finished: tests/DCPS/KeyTest/run_test.pl isbounded Time:0s Result:0

==============================================================================

tests/DCPS/KeyTest/run_test.pl md5 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/KeyTest/KeyTest_MD5  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile md5.log -DCPSPendingTimeout 3 
md5 PID: 3687 started at 2023-04-28 16:13:10
0xe4d909c290d0fb1ca068ffaddf22cbd0
0xe4d909c290d0fb1ca068ffaddf22cbd0
0xd41d8cd98f00b204e9800998ecf8427e
0xd41d8cd98f00b204e9800998ecf8427e
0x9e107d9d372bb6826bd81d3542a419d6
0x9e107d9d372bb6826bd81d3542a419d6
test PASSED.

auto_run_tests_finished: tests/DCPS/KeyTest/run_test.pl md5 Time:0s Result:0

==============================================================================

tests/DCPS/KeyTest/run_test.pl compiler #

compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_array_noindex.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_bad_nesting.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_missing_right_bracket.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_multi_dim_array.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_nofield.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_nonarrayindex2.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_nonarrayindex.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_struct_no_nest.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_sequence.idl

auto_run_tests_finished: tests/DCPS/KeyTest/run_test.pl compiler Time:1s Result:0

==============================================================================

tests/DCPS/CompatibilityTest/run_test.pl #

Test #1


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 3721
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c true -d transient_local -k automatic -r reliable -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 3728 started at 2023-04-28 16:13:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 3729 started at 2023-04-28 16:13:11
(3721|3721) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #2


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 3745
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 7 -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 3752 started at 2023-04-28 16:13:21
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 3753 started at 2023-04-28 16:13:21
(3745|3745) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #3


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 3768
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l infinite -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 3775 started at 2023-04-28 16:13:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 3776 started at 2023-04-28 16:13:32
(3768|3768) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #4


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 3791
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l infinite -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 3798 started at 2023-04-28 16:13:42
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l infinite -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 3799 started at 2023-04-28 16:13:42
(3791|3791) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #5


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 3814
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 3821 started at 2023-04-28 16:13:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 3822 started at 2023-04-28 16:13:52
(3814|3814) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #6


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 3845
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 3852 started at 2023-04-28 16:14:06
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l infinite -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 3853 started at 2023-04-28 16:14:06
(3845|3845) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #7


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 3869
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 3876 started at 2023-04-28 16:14:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 3877 started at 2023-04-28 16:14:16
(3869|3869) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #8


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 3894
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 3901 started at 2023-04-28 16:14:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r best_effort -l 5 -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 3902 started at 2023-04-28 16:14:30
(3894|3894) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #9


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 3918
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 3925 started at 2023-04-28 16:14:43
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d volatile -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 3926 started at 2023-04-28 16:14:43
(3918|3918) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/CompatibilityTest/run_test.pl Time:106s Result:0

==============================================================================

tests/DCPS/CompatibilityTest/run_test.pl rtps_disc #

Test #1


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c true -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 3942 started at 2023-04-28 16:14:57
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 3943 started at 2023-04-28 16:14:57
test PASSED.


Test #2


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 7 -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 3962 started at 2023-04-28 16:15:07
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 3963 started at 2023-04-28 16:15:07
test PASSED.


Test #3


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l infinite -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 3974 started at 2023-04-28 16:15:17
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 3975 started at 2023-04-28 16:15:17
test PASSED.


Test #4


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l infinite -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 3986 started at 2023-04-28 16:15:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l infinite -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 3987 started at 2023-04-28 16:15:27
test PASSED.


Test #5


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 3999 started at 2023-04-28 16:15:37
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4000 started at 2023-04-28 16:15:37
test PASSED.


Test #6


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4012 started at 2023-04-28 16:15:47
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l infinite -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4013 started at 2023-04-28 16:15:47
test PASSED.


Test #7


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4026 started at 2023-04-28 16:15:57
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4027 started at 2023-04-28 16:15:57
test PASSED.


Test #8


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4046 started at 2023-04-28 16:16:07
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r best_effort -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4047 started at 2023-04-28 16:16:07
test PASSED.


Test #9


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4058 started at 2023-04-28 16:16:18
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d volatile -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4059 started at 2023-04-28 16:16:18
test PASSED.

auto_run_tests_finished: tests/DCPS/CompatibilityTest/run_test.pl rtps_disc Time:91s Result:0

==============================================================================

tests/DCPS/CompatibilityTest/run_test.pl rtps_disc_tcp #

Test #1


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c true -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4071 started at 2023-04-28 16:16:28
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4072 started at 2023-04-28 16:16:28
test PASSED.


Test #2


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 7 -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4083 started at 2023-04-28 16:16:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4084 started at 2023-04-28 16:16:38
test PASSED.


Test #3


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l infinite -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4096 started at 2023-04-28 16:16:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4097 started at 2023-04-28 16:16:48
test PASSED.


Test #4


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l infinite -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4109 started at 2023-04-28 16:16:58
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l infinite -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4110 started at 2023-04-28 16:16:58
test PASSED.


Test #5


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4130 started at 2023-04-28 16:17:08
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4131 started at 2023-04-28 16:17:08
test PASSED.


Test #6


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4144 started at 2023-04-28 16:17:18
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l infinite -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4145 started at 2023-04-28 16:17:18
test PASSED.


Test #7


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4156 started at 2023-04-28 16:17:29
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4157 started at 2023-04-28 16:17:29
test PASSED.


Test #8


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4169 started at 2023-04-28 16:17:39
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r best_effort -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4170 started at 2023-04-28 16:17:39
test PASSED.


Test #9


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4181 started at 2023-04-28 16:17:49
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d volatile -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4182 started at 2023-04-28 16:17:49
test PASSED.

auto_run_tests_finished: tests/DCPS/CompatibilityTest/run_test.pl rtps_disc_tcp Time:91s Result:0

==============================================================================

tests/DCPS/Partition/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 4195
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Partition/publisher -ORBLogFile test_run.data -DCPSPendingTimeout 3 
pub PID: 4202 started at 2023-04-28 16:17:59
(4195|4195) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Partition/subscriber  -DCPSPendingTimeout 3 
sub PID: 4219 started at 2023-04-28 16:18:01
(4219|4219) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(4219|4221) DataReaderListener::on_subscription_matched
(4219|4221) Requested Partition
Partition	Name
=========	====
** Zero length partition name sequence (default) **
(4219|4219) DataReaderListener::on_subscription_matched
(4219|4219) Requested Partition
Partition	Name
=========	====
** Zero length partition name sequence (default) **
(4219|4221) DataReaderListener::on_subscription_matched
(4219|4221) Requested Partition
Partition	Name
=========	====
 0		Amadeus
 1		Wun1Two23Tree
(4219|4219) DataReaderListener::on_subscription_matched
(4219|4219) Requested Partition
Partition	Name
=========	====
 0		Amadeus
 1		Wun1Two23Tree
(4219|4221) DataReaderListener::on_subscription_matched
(4219|4221) Requested Partition
Partition	Name
=========	====
 0		ZiggieStardust
(4219|4221) DataReaderListener::on_subscription_matched
(4219|4221) Requested Partition
Partition	Name
=========	====
 0		""
(4219|4219) DataReaderListener::on_subscription_matched
(4219|4219) Requested Partition
Partition	Name
=========	====
 0		""
(4219|4221) DataReaderListener::on_subscription_matched
(4219|4221) Requested Partition
Partition	Name
=========	====
 0		*
(4219|4221) DataReaderListener::on_subscription_matched
(4219|4221) Requested Partition
Partition	Name
=========	====
 0		*
(4219|4219) DataReaderListener::on_subscription_matched
(4219|4219) Requested Partition
Partition	Name
=========	====
 0		*
(4219|4221) DataReaderListener::on_subscription_matched
(4219|4221) Requested Partition
Partition	Name
=========	====
 0		*
(4219|4220) DataReaderListener::on_subscription_matched
(4219|4220) Requested Partition
Partition	Name
=========	====
** Zero length partition name sequence (default) **
(4219|4220) DataReaderListener::on_subscription_matched
(4219|4220) Requested Partition
Partition	Name
=========	====
 0		Amadeus
 1		Wun1Two23Tree
(4219|4220) DataReaderListener::on_subscription_matched
(4219|4220) Requested Partition
Partition	Name
=========	====
 0		ZiggieStardust
(4219|4220) DataReaderListener::on_subscription_matched
(4219|4220) Requested Partition
Partition	Name
=========	====
 0		""
(4219|4220) DataReaderListener::on_subscription_matched
(4219|4220) Requested Partition
Partition	Name
=========	====
 0		*
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Partition/run_test.pl Time:21s Result:0

==============================================================================

tests/DCPS/Deadline/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 4229
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Deadline/publisher -DCPSConfigFile pub.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4236 started at 2023-04-28 16:18:20
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Deadline/subscriber -DCPSConfigFile sub.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4237 started at 2023-04-28 16:18:20
(4229|4229) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Deadline/run_test.pl Time:27s Result:0

==============================================================================

tests/DCPS/Deadline/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Deadline/publisher -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4256 started at 2023-04-28 16:18:47
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Deadline/subscriber -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4257 started at 2023-04-28 16:18:47
test PASSED.

auto_run_tests_finished: tests/DCPS/Deadline/run_test.pl rtps_disc Time:28s Result:0

==============================================================================

tests/DCPS/SetQosDeadline/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 4280
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosDeadline/publisher -DCPSConfigFile pub.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4287 started at 2023-04-28 16:19:15
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosDeadline/subscriber -DCPSConfigFile sub.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4288 started at 2023-04-28 16:19:15
(4280|4280) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
DataReaderListenerImpl[0x26c5de0]::on_subscription_matched tc=1 tcc=1 cc=1 ccc=1
DataReaderListenerImpl::on_requested_incompatible_qos
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
instance is unregistered
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl[0x26c5de0]::on_subscription_matched tc=1 tcc=0 cc=0 ccc=-1
DataReaderListenerImpl::on_subscription_lost
DataReaderListenerImpl[0x26c5de0]::on_subscription_matched tc=2 tcc=1 cc=1 ccc=1
DataReaderListenerImpl[0x2707390]::on_subscription_matched tc=1 tcc=1 cc=1 ccc=1
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 1
  total_count_change = 1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 2
  total_count_change = 1
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 3
  total_count_change = 1
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 1
  total_count_change = 1
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 4
  total_count_change = 1
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl[0x26c5de0]::on_subscription_matched tc=2 tcc=0 cc=0 ccc=-1
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl[0x2707390]::on_subscription_matched tc=1 tcc=0 cc=0 ccc=-1
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/SetQosDeadline/run_test.pl Time:23s Result:0

==============================================================================

tests/DCPS/SetQosDeadline/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosDeadline/publisher -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4309 started at 2023-04-28 16:19:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosDeadline/subscriber -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4310 started at 2023-04-28 16:19:38
DataReaderListenerImpl::on_requested_incompatible_qos
DataReaderListenerImpl[0x746cd0]::on_subscription_matched tc=1 tcc=1 cc=1 ccc=1
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
instance is unregistered
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl[0x746cd0]::on_subscription_matched tc=1 tcc=0 cc=0 ccc=-1
DataReaderListenerImpl[0x746cd0]::on_subscription_matched tc=2 tcc=1 cc=1 ccc=1
DataReaderListenerImpl[0x75df30]::on_subscription_matched tc=1 tcc=1 cc=1 ccc=1
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 1
  total_count_change = 1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 2
  total_count_change = 1
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 3
  total_count_change = 1
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 1
  total_count_change = 1
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 4
  total_count_change = 1
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl[0x746cd0]::on_subscription_matched tc=2 tcc=0 cc=0 ccc=-1
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl[0x75df30]::on_subscription_matched tc=1 tcc=0 cc=0 ccc=-1
test PASSED.

auto_run_tests_finished: tests/DCPS/SetQosDeadline/run_test.pl rtps_disc Time:23s Result:0

==============================================================================

tests/DCPS/SetQosPartition/run_test.pl ini=inforepo_tcp.ini #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 4332
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosPartition/pubsub -DCPSPendingTimeout 0 -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pubsub.log -DCPSConfigFile inforepo_tcp.ini 
pubsub PID: 4339 started at 2023-04-28 16:20:01
(4332|4332) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Pub waiting for match on partition A
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
instance is unregistered
Pub waiting for match on partition B
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 10
         text       = Worst. Movie. Ever.
Pub waiting for additional match on partition B
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
instance is disposed
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
instance is disposed
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/SetQosPartition/run_test.pl ini=inforepo_tcp.ini Time:1s Result:0

==============================================================================

tests/DCPS/SetQosPartition/run_test.pl ini=rtps_rtps.ini #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosPartition/pubsub -DCPSPendingTimeout 0 -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pubsub.log -DCPSConfigFile rtps_rtps.ini 
pubsub PID: 4359 started at 2023-04-28 16:20:02
Pub waiting for match on partition A
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
instance is unregistered
Pub waiting for match on partition B
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 10
         text       = Worst. Movie. Ever.
Pub waiting for additional match on partition B
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
instance is disposed
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
instance is disposed
test PASSED.

auto_run_tests_finished: tests/DCPS/SetQosPartition/run_test.pl ini=rtps_rtps.ini Time:5s Result:0

==============================================================================

tests/DCPS/SetQosPartition/run_test.pl ini=rtps_tcp.ini #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosPartition/pubsub -DCPSPendingTimeout 0 -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pubsub.log -DCPSConfigFile rtps_tcp.ini 
pubsub PID: 4373 started at 2023-04-28 16:20:07
Pub waiting for match on partition A
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
instance is unregistered
Pub waiting for match on partition B
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 10
         text       = Worst. Movie. Ever.
Pub waiting for additional match on partition B
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
instance is disposed
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
instance is disposed
test PASSED.

auto_run_tests_finished: tests/DCPS/SetQosPartition/run_test.pl ini=rtps_tcp.ini Time:2s Result:0

==============================================================================

tests/DCPS/StringKey/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 4387
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StringKey/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub.log -DCPSPendingTimeout 3 
pub PID: 4394 started at 2023-04-28 16:20:09
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StringKey/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub.log -DCPSPendingTimeout 3 
sub PID: 4395 started at 2023-04-28 16:20:09
(4387|4387) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
HANDLE CHANGED: previous handle = 0
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/StringKey/run_test.pl Time:2s Result:0

==============================================================================

tests/DCPS/GuardCondition/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/GuardCondition/GuardConditionTest  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile GuardConditionTest.log -DCPSPendingTimeout 3 
GuardConditionTest PID: 4412 started at 2023-04-28 16:20:11
test PASSED.

auto_run_tests_finished: tests/DCPS/GuardCondition/run_test.pl Time:9s Result:0

==============================================================================

tests/DCPS/ReadCondition/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -NOBITS -DCPSPendingTimeout 3 
InfoRepo PID: 4418
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReadCondition/ReadConditionTest -DCPSConfigFile dcps.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile rc.log -DCPSBit 0  -DCPSPendingTimeout 3 
rc PID: 4425 started at 2023-04-28 16:20:20
wait returned
took sample 1
took sample 2
took sample 3
testing take_next_instance_w_condition
took sample 4
took sample 5
took sample 6
took sample 7
took sample 8
took sample 9
took sample 10
took sample 11
wait returned
an instance has been disposed, exiting
wait returned
took sample 1
took sample 2
took sample 3
testing take_instance_w_condition
wait returned
took sample 4
took sample 5
took sample 6
testing take_instance_w_condition
wait returned
took sample 7
took sample 8
took sample 9
testing take_instance_w_condition
wait returned
took sample 10
took sample 11
testing take_instance_w_condition
an instance has been disposed, exiting
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ReadCondition/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/RegisterInstance/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RegisterInstance/register_instance_test -DCPSConfigFile rtps_disc.ini -ORBLogFile output.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
register_instance_test PID: 4431 started at 2023-04-28 16:20:20
test PASSED.

auto_run_tests_finished: tests/DCPS/RegisterInstance/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/FileSystemStorage/run_test.pl #

encoded {} =>	{}
encoded {f} =>	{CO======}
encoded {fo} =>	{CPNG====}
encoded {foo} =>	{CPNMU===}
encoded {foob} =>	{CPNMUOG=}
encoded {fooba} =>	{CPNMUOJ1}
encoded {foobar} =>	{CPNMUOJ1E8======}
encoded {The[quIck]brOwn-fox?jumPes\oVer The/lazy dog!} =>	{AHK6AMRHEL4M6QQTC9P4UTRE5LJ6UU1VD9QMQK35EDE6ULJ5E8G58Q355TM62UJP41I6UPP1}
Testing file and directory operations...
...done

auto_run_tests_finished: tests/DCPS/FileSystemStorage/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/LatencyBudget/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 4440
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LatencyBudget/publisher  -ORBLogFile test_run.data -DCPSPendingTimeout 3 
pub PID: 4447 started at 2023-04-28 16:20:21
(4440|4440) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LatencyBudget/subscriber  -DCPSPendingTimeout 3 
sub PID: 4457 started at 2023-04-28 16:20:23
(4457|4457) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/LatencyBudget/run_test.pl Time:31s Result:0

==============================================================================

tests/DCPS/LatencyBudget/run_test.pl late #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 4468
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LatencyBudget/publisher -o 10 -ORBLogFile test_run.data -DCPSPendingTimeout 3 
pub PID: 4475 started at 2023-04-28 16:20:52
(4468|4468) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LatencyBudget/subscriber -l 10 -DCPSPendingTimeout 3 
sub PID: 4485 started at 2023-04-28 16:20:54
(4485|4485) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 1
       max = 10.0009
       min = 10.0009
      mean = 10.0009
  variance = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 2
       max = 10.0015
       min = 10.0009
      mean = 10.0012
  variance = 9.61e-08
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 3
       max = 10.0018
       min = 10.0009
      mean = 10.0014
  variance = 1.55089e-07
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 4
       max = 10.0022
       min = 10.0009
      mean = 10.0016
  variance = 2.3235e-07
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 5
       max = 10.0025
       min = 10.0009
      mean = 10.0018
  variance = 3.14331e-07
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 6
       max = 10.0027
       min = 10.0009
      mean = 10.0019
  variance = 3.78936e-07
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 7
       max = 10.003
       min = 10.0009
      mean = 10.0021
  variance = 4.5976e-07
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 8
       max = 10.0033
       min = 10.0009
      mean = 10.0022
  variance = 5.52745e-07
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 9
       max = 10.0035
       min = 10.0009
      mean = 10.0024
  variance = 6.61662e-07
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 10
       max = 10.0038
       min = 10.0009
      mean = 10.0025
  variance = 7.77313e-07
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/LatencyBudget/run_test.pl late Time:30s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl single #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 4501
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1 -n 1 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 4508 started at 2023-04-28 16:21:22
(4508|4508) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(4508|4508) -> Thrasher started
(4508|4508) -> Subscriber::Subscriber
(4501|4501) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(4508|4508) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(4508|4508)    Subscriber reader id: 01030000.7a0ee56a.00000002.00000507(4ef00da7)
(4508|4508) -> PublisherService::PublisherService
(4508|4508) -> PublisherService::start (1 threads)
(4508|4508) sub wait_received 0:1
(4508|4517) pub0  writer id: 01030000.7a0ee56a.00000003.00000102(6796159c)
(4508|4517) pub0->started
(4508|4517) pub0->wait_match() before write for 01030000.7a0ee56a.00000003.00000102(6796159c)
(4508|4517) pub0<-match found! before write for 01030000.7a0ee56a.00000003.00000102(6796159c)
(4508|4516)  sub 100% (1 samples received)
(4508|4516) sub condition_.notify_all
(4508|4508) sub condition_.wait returned
(4508|4508) sub check_received
(4508|4508) sub check_received returns 0
(4508|4508) <- PublisherService::end
(4508|4517) pub0  100% (1 samples sent)
(4508|4517) pub0  waiting for acks
(4508|4517) pub0  waiting for acks returned
(4508|4517) pub0<-delete_contained_entities
(4508|4517) pub0<-delete_participant
(4508|4508) <- PublisherService::~PublisherService
(4508|4508) <- Subscriber delete_contained_entities
(4508|4508) <- Subscriber delete_participant
(4508|4508) <- Subscriber::~Subscriber
(4508|4508) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl single Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl double #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 4519
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 2 -s 1 -n 2 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 4526 started at 2023-04-28 16:21:23
(4526|4526) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(4526|4526) -> Thrasher started
(4526|4526) -> Subscriber::Subscriber
(4519|4519) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(4526|4526) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(4526|4526)    Subscriber reader id: 01030000.61f85c5a.00000002.00000507(0ede895b)
(4526|4526) -> PublisherService::PublisherService
(4526|4526) -> PublisherService::start (2 threads)
(4526|4526) sub wait_received 0:2
(4526|4535) pub1  writer id: 01030000.61f85c5a.00000004.00000102(95984d70)
(4526|4535) pub1->started
(4526|4535) pub1->wait_match() before write for 01030000.61f85c5a.00000004.00000102(95984d70)
(4526|4535) pub1<-match found! before write for 01030000.61f85c5a.00000004.00000102(95984d70)
(4526|4534)  sub 50% (1 samples received)
(4526|4535) pub1  100% (1 samples sent)
(4526|4535) pub1  waiting for acks
(4526|4535) pub1  waiting for acks returned
(4526|4535) pub1<-delete_contained_entities
(4526|4536) pub0  writer id: 01030000.61f85c5a.00000003.00000102(27b89160)
(4526|4536) pub0->started
(4526|4536) pub0->wait_match() before write for 01030000.61f85c5a.00000003.00000102(27b89160)
(4526|4536) pub0<-match found! before write for 01030000.61f85c5a.00000003.00000102(27b89160)
(4526|4534)  sub 100% (2 samples received)
(4526|4534) sub condition_.notify_all
(4526|4526) sub condition_.wait returned
(4526|4526) sub check_received
(4526|4526) sub check_received returns 0
(4526|4526) <- PublisherService::end
(4526|4536) pub0  100% (1 samples sent)
(4526|4536) pub0  waiting for acks
(4526|4536) pub0  waiting for acks returned
(4526|4536) pub0<-delete_contained_entities
(4526|4535) pub1<-delete_participant
(4526|4536) pub0<-delete_participant
(4526|4526) <- PublisherService::~PublisherService
(4526|4526) <- Subscriber delete_contained_entities
(4526|4526) <- Subscriber delete_participant
(4526|4526) <- Subscriber::~Subscriber
(4526|4526) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl double Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl triangle #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 4538
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 3 -s 3 -n 9 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 4545 started at 2023-04-28 16:21:24
(4545|4545) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(4545|4545) -> Thrasher started
(4545|4545) -> Subscriber::Subscriber
(4538|4538) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(4545|4545) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(4545|4545)    Subscriber reader id: 01030000.1269264d.00000002.00000507(6c59ce22)
(4545|4545) -> PublisherService::PublisherService
(4545|4545) -> PublisherService::start (3 threads)
(4545|4545) sub wait_received 0:3
(4545|4554) pub1  writer id: 01030000.1269264d.00000003.00000102(453fd619)
(4545|4554) pub1->started
(4545|4554) pub1->wait_match() before write for 01030000.1269264d.00000003.00000102(453fd619)
(4545|4554) pub1<-match found! before write for 01030000.1269264d.00000003.00000102(453fd619)
(4545|4554) pub1  33% (1 samples sent)
(4545|4554) pub1  66% (2 samples sent)
(4545|4554) pub1  100% (3 samples sent)
(4545|4554) pub1  waiting for acks
(4545|4553)  sub 11% (1 samples received)
(4545|4553)  sub 22% (2 samples received)
(4545|4553)  sub 33% (3 samples received)
(4545|4554) pub1  waiting for acks returned
(4545|4554) pub1<-delete_contained_entities
(4545|4556) pub2  writer id: 01030000.1269264d.00000005.00000102(ca7f23b9)
(4545|4556) pub2->started
(4545|4556) pub2->wait_match() before write for 01030000.1269264d.00000005.00000102(ca7f23b9)
(4545|4556) pub2<-match found! before write for 01030000.1269264d.00000005.00000102(ca7f23b9)
(4545|4556) pub2  33% (1 samples sent)
(4545|4556) pub2  66% (2 samples sent)
(4545|4556) pub2  100% (3 samples sent)
(4545|4556) pub2  waiting for acks
(4545|4553)  sub 44% (4 samples received)
(4545|4553)  sub 55% (5 samples received)
(4545|4553)  sub 66% (6 samples received)
(4545|4556) pub2  waiting for acks returned
(4545|4556) pub2<-delete_contained_entities
(4545|4555) pub0  writer id: 01030000.1269264d.00000004.00000102(f71f0a09)
(4545|4555) pub0->started
(4545|4555) pub0->wait_match() before write for 01030000.1269264d.00000004.00000102(f71f0a09)
(4545|4555) pub0<-match found! before write for 01030000.1269264d.00000004.00000102(f71f0a09)
(4545|4555) pub0  33% (1 samples sent)
(4545|4555) pub0  66% (2 samples sent)
(4545|4555) pub0  100% (3 samples sent)
(4545|4555) pub0  waiting for acks
(4545|4553)  sub 77% (7 samples received)
(4545|4553) sub condition_.notify_all
(4545|4545) sub condition_.wait returned
(4545|4545) sub check_received
(4545|4545) sub check_received returns 0
(4545|4545) <- PublisherService::end
(4545|4553)  sub 88% (8 samples received)
(4545|4553) sub condition_.notify_all
(4545|4553)  sub 100% (9 samples received)
(4545|4553) sub condition_.notify_all
(4545|4555) pub0  waiting for acks returned
(4545|4555) pub0<-delete_contained_entities
(4545|4554) pub1<-delete_participant
(4545|4556) pub2<-delete_participant
(4545|4555) pub0<-delete_participant
(4545|4545) <- PublisherService::~PublisherService
(4545|4545) <- Subscriber delete_contained_entities
(4545|4545) <- Subscriber delete_participant
(4545|4545) <- Subscriber::~Subscriber
(4545|4545) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl triangle Time:0s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl default #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 4558
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1024 -n 1024 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 4565 started at 2023-04-28 16:21:24
(4565|4565) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(4565|4565) -> Thrasher started
(4565|4565) -> Subscriber::Subscriber
(4558|4558) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(4565|4565) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(4565|4565)    Subscriber reader id: 01030000.0cde9f92.00000002.00000507(469db5db)
(4565|4565) -> PublisherService::PublisherService
(4565|4565) -> PublisherService::start (1 threads)
(4565|4565) sub wait_received 0:1
(4565|4574) pub0  writer id: 01030000.0cde9f92.00000003.00000102(6ffbade0)
(4565|4574) pub0->started
(4565|4574) pub0->wait_match() before write for 01030000.0cde9f92.00000003.00000102(6ffbade0)
(4565|4574) pub0<-match found! before write for 01030000.0cde9f92.00000003.00000102(6ffbade0)
(4565|4573) sub condition_.notify_all
(4565|4565) sub condition_.wait returned
(4565|4565) sub check_received
(4565|4565) sub check_received returns 0
(4565|4565) <- PublisherService::end
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4574) pub0  10% (103 samples sent)
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4574) pub0  20% (205 samples sent)
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573)  sub 10% (103 samples received)
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4574) pub0  30% (308 samples sent)
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4574) pub0  40% (410 samples sent)
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573)  sub 20% (205 samples received)
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4574) pub0  50% (512 samples sent)
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4574) pub0  60% (615 samples sent)
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4574) pub0  70% (717 samples sent)
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573)  sub 30% (308 samples received)
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4574) pub0  80% (820 samples sent)
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4574) pub0  90% (922 samples sent)
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4574) pub0  100% (1024 samples sent)
(4565|4574) pub0  waiting for acks
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573)  sub 40% (410 samples received)
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573)  sub 50% (512 samples received)
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573)  sub 60% (615 samples received)
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573)  sub 70% (717 samples received)
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573)  sub 80% (820 samples received)
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573)  sub 90% (922 samples received)
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573) sub condition_.notify_all
(4565|4573)  sub 100% (1024 samples received)
(4565|4573) sub condition_.notify_all
(4565|4574) pub0  waiting for acks returned
(4565|4574) pub0<-delete_contained_entities
(4565|4574) pub0<-delete_participant
(4565|4565) <- PublisherService::~PublisherService
(4565|4565) <- Subscriber delete_contained_entities
(4565|4565) <- Subscriber delete_participant
(4565|4565) <- Subscriber::~Subscriber
(4565|4565) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl default Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl low #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 4576
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 8 -s 128 -n 1024 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 4583 started at 2023-04-28 16:21:25
(4583|4583) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(4583|4583) -> Thrasher started
(4583|4583) -> Subscriber::Subscriber
(4576|4576) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(4583|4583) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(4583|4583)    Subscriber reader id: 01030000.6e78ee23.00000002.00000507(dda80d3f)
(4583|4583) -> PublisherService::PublisherService
(4583|4583) -> PublisherService::start (8 threads)
(4583|4583) sub wait_received 0:8
(4583|4593) pub1  writer id: 01030000.6e78ee23.00000004.00000102(46eec914)
(4583|4593) pub1->started
(4583|4593) pub1->wait_match() before write for 01030000.6e78ee23.00000004.00000102(46eec914)
(4583|4593) pub1<-match found! before write for 01030000.6e78ee23.00000004.00000102(46eec914)
(4583|4593) pub1  10% (13 samples sent)
(4583|4593) pub1  20% (26 samples sent)
(4583|4593) pub1  30% (39 samples sent)
(4583|4593) pub1  40% (52 samples sent)
(4583|4593) pub1  50% (64 samples sent)
(4583|4599) pub2  writer id: 01030000.6e78ee23.00000005.00000102(7b8ee0a4)
(4583|4599) pub2->started
(4583|4599) pub2->wait_match() before write for 01030000.6e78ee23.00000005.00000102(7b8ee0a4)
(4583|4599) pub2<-match found! before write for 01030000.6e78ee23.00000005.00000102(7b8ee0a4)
(4583|4599) pub2  10% (13 samples sent)
(4583|4599) pub2  20% (26 samples sent)
(4583|4592) pub0  writer id: 01030000.6e78ee23.00000003.00000102(f4ce1504)
(4583|4592) pub0->started
(4583|4592) pub0->wait_match() before write for 01030000.6e78ee23.00000003.00000102(f4ce1504)
(4583|4593) pub1  60% (77 samples sent)
(4583|4593) pub1  70% (90 samples sent)
(4583|4599) pub2  30% (39 samples sent)
(4583|4597) pub3  writer id: 01030000.6e78ee23.00000006.00000102(3c2e9a74)
(4583|4597) pub3->started
(4583|4597) pub3->wait_match() before write for 01030000.6e78ee23.00000006.00000102(3c2e9a74)
(4583|4599) pub2  40% (52 samples sent)
(4583|4599) pub2  50% (64 samples sent)
(4583|4599) pub2  60% (77 samples sent)
(4583|4593) pub1  80% (103 samples sent)
(4583|4595) pub4  writer id: 01030000.6e78ee23.00000007.00000102(014eb3c4)
(4583|4595) pub4->started
(4583|4595) pub4->wait_match() before write for 01030000.6e78ee23.00000007.00000102(014eb3c4)
(4583|4593) pub1  90% (116 samples sent)
(4583|4593) pub1  100% (128 samples sent)
(4583|4593) pub1  waiting for acks
(4583|4599) pub2  70% (90 samples sent)
(4583|4599) pub2  80% (103 samples sent)
(4583|4599) pub2  90% (116 samples sent)
(4583|4599) pub2  100% (128 samples sent)
(4583|4599) pub2  waiting for acks
(4583|4598) pub7  writer id: 01030000.6e78ee23.0000000a.00000102(f9de7775)
(4583|4598) pub7->started
(4583|4598) pub7->wait_match() before write for 01030000.6e78ee23.0000000a.00000102(f9de7775)
(4583|4596) pub5  writer id: 01030000.6e78ee23.00000008.00000102(831e2415)
(4583|4596) pub5->started
(4583|4596) pub5->wait_match() before write for 01030000.6e78ee23.00000008.00000102(831e2415)
(4583|4591)  sub 10% (103 samples received)
(4583|4594) pub6  writer id: 01030000.6e78ee23.00000009.00000102(be7e0da5)
(4583|4594) pub6->started
(4583|4594) pub6->wait_match() before write for 01030000.6e78ee23.00000009.00000102(be7e0da5)
(4583|4592) pub0<-match found! before write for 01030000.6e78ee23.00000003.00000102(f4ce1504)
(4583|4597) pub3<-match found! before write for 01030000.6e78ee23.00000006.00000102(3c2e9a74)
(4583|4595) pub4<-match found! before write for 01030000.6e78ee23.00000007.00000102(014eb3c4)
(4583|4598) pub7<-match found! before write for 01030000.6e78ee23.0000000a.00000102(f9de7775)
(4583|4598) pub7  10% (13 samples sent)
(4583|4595) pub4  10% (13 samples sent)
(4583|4595) pub4  20% (26 samples sent)
(4583|4596) pub5<-match found! before write for 01030000.6e78ee23.00000008.00000102(831e2415)
(4583|4596) pub5  10% (13 samples sent)
(4583|4592) pub0  10% (13 samples sent)
(4583|4592) pub0  20% (26 samples sent)
(4583|4592) pub0  30% (39 samples sent)
(4583|4598) pub7  20% (26 samples sent)
(4583|4596) pub5  20% (26 samples sent)
(4583|4597) pub3  10% (13 samples sent)
(4583|4597) pub3  20% (26 samples sent)
(4583|4598) pub7  30% (39 samples sent)
(4583|4597) pub3  30% (39 samples sent)
(4583|4597) pub3  40% (52 samples sent)
(4583|4596) pub5  30% (39 samples sent)
(4583|4598) pub7  40% (52 samples sent)
(4583|4596) pub5  40% (52 samples sent)
(4583|4598) pub7  50% (64 samples sent)
(4583|4596) pub5  50% (64 samples sent)
(4583|4598) pub7  60% (77 samples sent)
(4583|4597) pub3  50% (64 samples sent)
(4583|4592) pub0  40% (52 samples sent)
(4583|4592) pub0  50% (64 samples sent)
(4583|4592) pub0  60% (77 samples sent)
(4583|4595) pub4  30% (39 samples sent)
(4583|4595) pub4  40% (52 samples sent)
(4583|4595) pub4  50% (64 samples sent)
(4583|4598) pub7  70% (90 samples sent)
(4583|4595) pub4  60% (77 samples sent)
(4583|4598) pub7  80% (103 samples sent)
(4583|4595) pub4  70% (90 samples sent)
(4583|4597) pub3  60% (77 samples sent)
(4583|4597) pub3  70% (90 samples sent)
(4583|4597) pub3  80% (103 samples sent)
(4583|4596) pub5  60% (77 samples sent)
(4583|4598) pub7  90% (116 samples sent)
(4583|4596) pub5  70% (90 samples sent)
(4583|4596) pub5  80% (103 samples sent)
(4583|4592) pub0  70% (90 samples sent)
(4583|4592) pub0  80% (103 samples sent)
(4583|4592) pub0  90% (116 samples sent)
(4583|4598) pub7  100% (128 samples sent)
(4583|4598) pub7  waiting for acks
(4583|4595) pub4  80% (103 samples sent)
(4583|4595) pub4  90% (116 samples sent)
(4583|4595) pub4  100% (128 samples sent)
(4583|4595) pub4  waiting for acks
(4583|4597) pub3  90% (116 samples sent)
(4583|4597) pub3  100% (128 samples sent)
(4583|4597) pub3  waiting for acks
(4583|4592) pub0  100% (128 samples sent)
(4583|4592) pub0  waiting for acks
(4583|4596) pub5  90% (116 samples sent)
(4583|4596) pub5  100% (128 samples sent)
(4583|4596) pub5  waiting for acks
(4583|4591)  sub 20% (205 samples received)
(4583|4591)  sub 30% (308 samples received)
(4583|4594) pub6<-match found! before write for 01030000.6e78ee23.00000009.00000102(be7e0da5)
(4583|4593) pub1  waiting for acks returned
(4583|4593) pub1<-delete_contained_entities
(4583|4594) pub6  10% (13 samples sent)
(4583|4594) pub6  20% (26 samples sent)
(4583|4594) pub6  30% (39 samples sent)
(4583|4599) pub2  waiting for acks returned
(4583|4599) pub2<-delete_contained_entities
(4583|4594) pub6  40% (52 samples sent)
(4583|4594) pub6  50% (64 samples sent)
(4583|4593) pub1<-delete_participant
(4583|4594) pub6  60% (77 samples sent)
(4583|4594) pub6  70% (90 samples sent)
(4583|4594) pub6  80% (103 samples sent)
(4583|4594) pub6  90% (116 samples sent)
(4583|4594) pub6  100% (128 samples sent)
(4583|4594) pub6  waiting for acks
(4583|4599) pub2<-delete_participant
(4583|4591)  sub 40% (410 samples received)
(4583|4591)  sub 50% (512 samples received)
(4583|4591)  sub 60% (615 samples received)
(4583|4591)  sub 70% (717 samples received)
(4583|4591)  sub 80% (820 samples received)
(4583|4591) sub condition_.notify_all
(4583|4583) sub condition_.wait returned
(4583|4583) sub check_received
(4583|4583) sub check_received returns 0
(4583|4583) <- PublisherService::end
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4598) pub7  waiting for acks returned
(4583|4598) pub7<-delete_contained_entities
(4583|4595) pub4  waiting for acks returned
(4583|4595) pub4<-delete_contained_entities
(4583|4597) pub3  waiting for acks returned
(4583|4597) pub3<-delete_contained_entities
(4583|4592) pub0  waiting for acks returned
(4583|4592) pub0<-delete_contained_entities
(4583|4596) pub5  waiting for acks returned
(4583|4596) pub5<-delete_contained_entities
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591)  sub 90% (922 samples received)
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4595) pub4<-delete_participant
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4598) pub7<-delete_participant
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4591) sub condition_.notify_all
(4583|4597) pub3<-delete_participant
(4583|4591) sub condition_.notify_all
(4583|4591)  sub 100% (1024 samples received)
(4583|4591) sub condition_.notify_all
(4583|4594) pub6  waiting for acks returned
(4583|4594) pub6<-delete_contained_entities
(4583|4592) pub0<-delete_participant
(4583|4596) pub5<-delete_participant
(4583|4594) pub6<-delete_participant
(4583|4583) <- PublisherService::~PublisherService
(4583|4583) <- Subscriber delete_contained_entities
(4583|4583) <- Subscriber delete_participant
(4583|4583) <- Subscriber::~Subscriber
(4583|4583) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl low Time:2s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl medium #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 4601
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 16 -s 64 -n 1024 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 4608 started at 2023-04-28 16:21:27
(4608|4608) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(4608|4608) -> Thrasher started
(4608|4608) -> Subscriber::Subscriber
(4601|4601) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(4608|4608) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(4608|4608)    Subscriber reader id: 01030000.3cceff54.00000002.00000507(e67839a6)
(4608|4608) -> PublisherService::PublisherService
(4608|4608) -> PublisherService::start (16 threads)
(4608|4608) sub wait_received 0:16
(4608|4617) pub0  writer id: 01030000.3cceff54.00000003.00000102(cf1e219d)
(4608|4617) pub0->started
(4608|4617) pub0->wait_match() before write for 01030000.3cceff54.00000003.00000102(cf1e219d)
(4608|4617) pub0<-match found! before write for 01030000.3cceff54.00000003.00000102(cf1e219d)
(4608|4617) pub0  10% (7 samples sent)
(4608|4617) pub0  20% (13 samples sent)
(4608|4617) pub0  31% (20 samples sent)
(4608|4617) pub0  40% (26 samples sent)
(4608|4617) pub0  50% (32 samples sent)
(4608|4617) pub0  60% (39 samples sent)
(4608|4617) pub0  70% (45 samples sent)
(4608|4617) pub0  81% (52 samples sent)
(4608|4617) pub0  90% (58 samples sent)
(4608|4617) pub0  100% (64 samples sent)
(4608|4617) pub0  waiting for acks
(4608|4617) pub0  waiting for acks returned
(4608|4617) pub0<-delete_contained_entities
(4608|4618) pub1  writer id: 01030000.3cceff54.00000005.00000102(405ed43d)
(4608|4618) pub1->started
(4608|4618) pub1->wait_match() before write for 01030000.3cceff54.00000005.00000102(405ed43d)
(4608|4618) pub1<-match found! before write for 01030000.3cceff54.00000005.00000102(405ed43d)
(4608|4618) pub1  10% (7 samples sent)
(4608|4618) pub1  20% (13 samples sent)
(4608|4618) pub1  31% (20 samples sent)
(4608|4618) pub1  40% (26 samples sent)
(4608|4618) pub1  50% (32 samples sent)
(4608|4618) pub1  60% (39 samples sent)
(4608|4618) pub1  70% (45 samples sent)
(4608|4618) pub1  81% (52 samples sent)
(4608|4618) pub1  90% (58 samples sent)
(4608|4618) pub1  100% (64 samples sent)
(4608|4618) pub1  waiting for acks
(4608|4616)  sub 10% (103 samples received)
(4608|4618) pub1  waiting for acks returned
(4608|4618) pub1<-delete_contained_entities
(4608|4628) pub11  writer id: 01030000.3cceff54.0000000f.00000102(0aeecc9c)
(4608|4628) pub11->started
(4608|4628) pub11->wait_match() before write for 01030000.3cceff54.0000000f.00000102(0aeecc9c)
(4608|4628) pub11<-match found! before write for 01030000.3cceff54.0000000f.00000102(0aeecc9c)
(4608|4628) pub11  10% (7 samples sent)
(4608|4621) pub8  writer id: 01030000.3cceff54.0000000c.00000102(4d4eb64c)
(4608|4621) pub8->started
(4608|4621) pub8->wait_match() before write for 01030000.3cceff54.0000000c.00000102(4d4eb64c)
(4608|4628) pub11  20% (13 samples sent)
(4608|4628) pub11  31% (20 samples sent)
(4608|4628) pub11  40% (26 samples sent)
(4608|4628) pub11  50% (32 samples sent)
(4608|4621) pub8<-match found! before write for 01030000.3cceff54.0000000c.00000102(4d4eb64c)
(4608|4621) pub8  10% (7 samples sent)
(4608|4621) pub8  20% (13 samples sent)
(4608|4621) pub8  31% (20 samples sent)
(4608|4624) pub2  writer id: 01030000.3cceff54.00000004.00000102(7d3efd8d)
(4608|4624) pub2->started
(4608|4624) pub2->wait_match() before write for 01030000.3cceff54.00000004.00000102(7d3efd8d)
(4608|4621) pub8  40% (26 samples sent)
(4608|4621) pub8  50% (32 samples sent)
(4608|4621) pub8  60% (39 samples sent)
(4608|4628) pub11  60% (39 samples sent)
(4608|4625) pub4  writer id: 01030000.3cceff54.00000006.00000102(07feaeed)
(4608|4625) pub4->started
(4608|4625) pub4->wait_match() before write for 01030000.3cceff54.00000006.00000102(07feaeed)
(4608|4621) pub8  70% (45 samples sent)
(4608|4621) pub8  81% (52 samples sent)
(4608|4621) pub8  90% (58 samples sent)
(4608|4621) pub8  100% (64 samples sent)
(4608|4621) pub8  waiting for acks
(4608|4628) pub11  70% (45 samples sent)
(4608|4628) pub11  81% (52 samples sent)
(4608|4626) pub13  writer id: 01030000.3cceff54.00000011.00000102(d53ee57f)
(4608|4626) pub13->started
(4608|4626) pub13->wait_match() before write for 01030000.3cceff54.00000011.00000102(d53ee57f)
(4608|4628) pub11  90% (58 samples sent)
(4608|4628) pub11  100% (64 samples sent)
(4608|4628) pub11  waiting for acks
(4608|4624) pub2<-match found! before write for 01030000.3cceff54.00000004.00000102(7d3efd8d)
(4608|4624) pub2  10% (7 samples sent)
(4608|4624) pub2  20% (13 samples sent)
(4608|4624) pub2  31% (20 samples sent)
(4608|4624) pub2  40% (26 samples sent)
(4608|4624) pub2  50% (32 samples sent)
(4608|4624) pub2  60% (39 samples sent)
(4608|4624) pub2  70% (45 samples sent)
(4608|4624) pub2  81% (52 samples sent)
(4608|4624) pub2  90% (58 samples sent)
(4608|4624) pub2  100% (64 samples sent)
(4608|4624) pub2  waiting for acks
(4608|4631) pub14  writer id: 01030000.3cceff54.00000008.00000102(b8ce108c)
(4608|4631) pub14->started
(4608|4631) pub14->wait_match() before write for 01030000.3cceff54.00000008.00000102(b8ce108c)
(4608|4623) pub12  writer id: 01030000.3cceff54.00000010.00000102(e85ecccf)
(4608|4623) pub12->started
(4608|4623) pub12->wait_match() before write for 01030000.3cceff54.00000010.00000102(e85ecccf)
(4608|4629) pub15  writer id: 01030000.3cceff54.00000012.00000102(929e9faf)
(4608|4629) pub15->started
(4608|4629) pub15->wait_match() before write for 01030000.3cceff54.00000012.00000102(929e9faf)
(4608|4616)  sub 20% (205 samples received)
(4608|4632) pub6  writer id: 01030000.3cceff54.0000000b.00000102(ff6e6a5c)
(4608|4632) pub6->started
(4608|4632) pub6->wait_match() before write for 01030000.3cceff54.0000000b.00000102(ff6e6a5c)
(4608|4627) pub7  writer id: 01030000.3cceff54.0000000a.00000102(c20e43ec)
(4608|4627) pub7->started
(4608|4627) pub7->wait_match() before write for 01030000.3cceff54.0000000a.00000102(c20e43ec)
(4608|4620) pub10  writer id: 01030000.3cceff54.0000000e.00000102(378ee52c)
(4608|4620) pub10->started
(4608|4620) pub10->wait_match() before write for 01030000.3cceff54.0000000e.00000102(378ee52c)
(4608|4630) pub5  writer id: 01030000.3cceff54.00000009.00000102(85ae393c)
(4608|4630) pub5->started
(4608|4630) pub5->wait_match() before write for 01030000.3cceff54.00000009.00000102(85ae393c)
(4608|4619) pub3  writer id: 01030000.3cceff54.00000007.00000102(3a9e875d)
(4608|4619) pub3->started
(4608|4619) pub3->wait_match() before write for 01030000.3cceff54.00000007.00000102(3a9e875d)
(4608|4625) pub4<-match found! before write for 01030000.3cceff54.00000006.00000102(07feaeed)
(4608|4626) pub13<-match found! before write for 01030000.3cceff54.00000011.00000102(d53ee57f)
(4608|4626) pub13  10% (7 samples sent)
(4608|4626) pub13  20% (13 samples sent)
(4608|4626) pub13  31% (20 samples sent)
(4608|4626) pub13  40% (26 samples sent)
(4608|4626) pub13  50% (32 samples sent)
(4608|4626) pub13  60% (39 samples sent)
(4608|4625) pub4  10% (7 samples sent)
(4608|4625) pub4  20% (13 samples sent)
(4608|4625) pub4  31% (20 samples sent)
(4608|4631) pub14<-match found! before write for 01030000.3cceff54.00000008.00000102(b8ce108c)
(4608|4625) pub4  40% (26 samples sent)
(4608|4625) pub4  50% (32 samples sent)
(4608|4631) pub14  10% (7 samples sent)
(4608|4631) pub14  20% (13 samples sent)
(4608|4625) pub4  60% (39 samples sent)
(4608|4623) pub12<-match found! before write for 01030000.3cceff54.00000010.00000102(e85ecccf)
(4608|4625) pub4  70% (45 samples sent)
(4608|4626) pub13  70% (45 samples sent)
(4608|4631) pub14  31% (20 samples sent)
(4608|4623) pub12  10% (7 samples sent)
(4608|4623) pub12  20% (13 samples sent)
(4608|4631) pub14  40% (26 samples sent)
(4608|4627) pub7<-match found! before write for 01030000.3cceff54.0000000a.00000102(c20e43ec)
(4608|4620) pub10<-match found! before write for 01030000.3cceff54.0000000e.00000102(378ee52c)
(4608|4632) pub6<-match found! before write for 01030000.3cceff54.0000000b.00000102(ff6e6a5c)
(4608|4619) pub3<-match found! before write for 01030000.3cceff54.00000007.00000102(3a9e875d)
(4608|4630) pub5<-match found! before write for 01030000.3cceff54.00000009.00000102(85ae393c)
(4608|4630) pub5  10% (7 samples sent)
(4608|4619) pub3  10% (7 samples sent)
(4608|4621) pub8  waiting for acks returned
(4608|4621) pub8<-delete_contained_entities
(4608|4620) pub10  10% (7 samples sent)
(4608|4629) pub15<-match found! before write for 01030000.3cceff54.00000012.00000102(929e9faf)
(4608|4627) pub7  10% (7 samples sent)
(4608|4628) pub11  waiting for acks returned
(4608|4628) pub11<-delete_contained_entities
(4608|4619) pub3  20% (13 samples sent)
(4608|4619) pub3  31% (20 samples sent)
(4608|4619) pub3  40% (26 samples sent)
(4608|4619) pub3  50% (32 samples sent)
(4608|4619) pub3  60% (39 samples sent)
(4608|4619) pub3  70% (45 samples sent)
(4608|4632) pub6  10% (7 samples sent)
(4608|4632) pub6  20% (13 samples sent)
(4608|4629) pub15  10% (7 samples sent)
(4608|4629) pub15  20% (13 samples sent)
(4608|4629) pub15  31% (20 samples sent)
(4608|4629) pub15  40% (26 samples sent)
(4608|4632) pub6  31% (20 samples sent)
(4608|4627) pub7  20% (13 samples sent)
(4608|4625) pub4  81% (52 samples sent)
(4608|4632) pub6  40% (26 samples sent)
(4608|4620) pub10  20% (13 samples sent)
(4608|4620) pub10  31% (20 samples sent)
(4608|4620) pub10  40% (26 samples sent)
(4608|4620) pub10  50% (32 samples sent)
(4608|4627) pub7  31% (20 samples sent)
(4608|4627) pub7  40% (26 samples sent)
(4608|4627) pub7  50% (32 samples sent)
(4608|4627) pub7  60% (39 samples sent)
(4608|4627) pub7  70% (45 samples sent)
(4608|4627) pub7  81% (52 samples sent)
(4608|4627) pub7  90% (58 samples sent)
(4608|4627) pub7  100% (64 samples sent)
(4608|4627) pub7  waiting for acks
(4608|4625) pub4  90% (58 samples sent)
(4608|4625) pub4  100% (64 samples sent)
(4608|4625) pub4  waiting for acks
(4608|4623) pub12  31% (20 samples sent)
(4608|4631) pub14  50% (32 samples sent)
(4608|4623) pub12  40% (26 samples sent)
(4608|4623) pub12  50% (32 samples sent)
(4608|4623) pub12  60% (39 samples sent)
(4608|4630) pub5  20% (13 samples sent)
(4608|4623) pub12  70% (45 samples sent)
(4608|4626) pub13  81% (52 samples sent)
(4608|4623) pub12  81% (52 samples sent)
(4608|4620) pub10  60% (39 samples sent)
(4608|4631) pub14  60% (39 samples sent)
(4608|4631) pub14  70% (45 samples sent)
(4608|4631) pub14  81% (52 samples sent)
(4608|4631) pub14  90% (58 samples sent)
(4608|4631) pub14  100% (64 samples sent)
(4608|4631) pub14  waiting for acks
(4608|4632) pub6  50% (32 samples sent)
(4608|4632) pub6  60% (39 samples sent)
(4608|4623) pub12  90% (58 samples sent)
(4608|4632) pub6  70% (45 samples sent)
(4608|4632) pub6  81% (52 samples sent)
(4608|4632) pub6  90% (58 samples sent)
(4608|4629) pub15  50% (32 samples sent)
(4608|4629) pub15  60% (39 samples sent)
(4608|4629) pub15  70% (45 samples sent)
(4608|4629) pub15  81% (52 samples sent)
(4608|4629) pub15  90% (58 samples sent)
(4608|4630) pub5  31% (20 samples sent)
(4608|4630) pub5  40% (26 samples sent)
(4608|4630) pub5  50% (32 samples sent)
(4608|4619) pub3  81% (52 samples sent)
(4608|4623) pub12  100% (64 samples sent)
(4608|4623) pub12  waiting for acks
(4608|4630) pub5  60% (39 samples sent)
(4608|4632) pub6  100% (64 samples sent)
(4608|4632) pub6  waiting for acks
(4608|4626) pub13  90% (58 samples sent)
(4608|4626) pub13  100% (64 samples sent)
(4608|4626) pub13  waiting for acks
(4608|4630) pub5  70% (45 samples sent)
(4608|4620) pub10  70% (45 samples sent)
(4608|4622) pub9  writer id: 01030000.3cceff54.0000000d.00000102(702e9ffc)
(4608|4622) pub9->started
(4608|4622) pub9->wait_match() before write for 01030000.3cceff54.0000000d.00000102(702e9ffc)
(4608|4620) pub10  81% (52 samples sent)
(4608|4620) pub10  90% (58 samples sent)
(4608|4619) pub3  90% (58 samples sent)
(4608|4619) pub3  100% (64 samples sent)
(4608|4619) pub3  waiting for acks
(4608|4620) pub10  100% (64 samples sent)
(4608|4620) pub10  waiting for acks
(4608|4630) pub5  81% (52 samples sent)
(4608|4629) pub15  100% (64 samples sent)
(4608|4629) pub15  waiting for acks
(4608|4630) pub5  90% (58 samples sent)
(4608|4630) pub5  100% (64 samples sent)
(4608|4630) pub5  waiting for acks
(4608|4616)  sub 30% (308 samples received)
(4608|4617) pub0<-delete_participant
(4608|4622) pub9<-match found! before write for 01030000.3cceff54.0000000d.00000102(702e9ffc)
(4608|4622) pub9  10% (7 samples sent)
(4608|4622) pub9  20% (13 samples sent)
(4608|4622) pub9  31% (20 samples sent)
(4608|4622) pub9  40% (26 samples sent)
(4608|4622) pub9  50% (32 samples sent)
(4608|4624) pub2  waiting for acks returned
(4608|4624) pub2<-delete_contained_entities
(4608|4622) pub9  60% (39 samples sent)
(4608|4622) pub9  70% (45 samples sent)
(4608|4622) pub9  81% (52 samples sent)
(4608|4622) pub9  90% (58 samples sent)
(4608|4622) pub9  100% (64 samples sent)
(4608|4622) pub9  waiting for acks
(4608|4618) pub1<-delete_participant
(4608|4616)  sub 40% (410 samples received)
(4608|4621) pub8<-delete_participant
(4608|4628) pub11<-delete_participant
(4608|4616)  sub 50% (512 samples received)
(4608|4624) pub2<-delete_participant
(4608|4616)  sub 60% (615 samples received)
(4608|4616)  sub 70% (717 samples received)
(4608|4616)  sub 80% (820 samples received)
(4608|4616)  sub 90% (922 samples received)
(4608|4616) sub condition_.notify_all
(4608|4608) sub condition_.wait returned
(4608|4608) sub check_received
(4608|4608) sub check_received returns 0
(4608|4608) <- PublisherService::end
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4627) pub7  waiting for acks returned
(4608|4627) pub7<-delete_contained_entities
(4608|4623) pub12  waiting for acks returned
(4608|4623) pub12<-delete_contained_entities
(4608|4625) pub4  waiting for acks returned
(4608|4625) pub4<-delete_contained_entities
(4608|4626) pub13  waiting for acks returned
(4608|4626) pub13<-delete_contained_entities
(4608|4631) pub14  waiting for acks returned
(4608|4631) pub14<-delete_contained_entities
(4608|4632) pub6  waiting for acks returned
(4608|4632) pub6<-delete_contained_entities
(4608|4619) pub3  waiting for acks returned
(4608|4619) pub3<-delete_contained_entities
(4608|4620) pub10  waiting for acks returned
(4608|4620) pub10<-delete_contained_entities
(4608|4629) pub15  waiting for acks returned
(4608|4629) pub15<-delete_contained_entities
(4608|4630) pub5  waiting for acks returned
(4608|4630) pub5<-delete_contained_entities
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4627) pub7<-delete_participant
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616) sub condition_.notify_all
(4608|4616)  sub 100% (1024 samples received)
(4608|4616) sub condition_.notify_all
(4608|4622) pub9  waiting for acks returned
(4608|4622) pub9<-delete_contained_entities
(4608|4625) pub4<-delete_participant
(4608|4623) pub12<-delete_participant
(4608|4626) pub13<-delete_participant
(4608|4631) pub14<-delete_participant
(4608|4632) pub6<-delete_participant
(4608|4619) pub3<-delete_participant
(4608|4620) pub10<-delete_participant
(4608|4629) pub15<-delete_participant
(4608|4630) pub5<-delete_participant
(4608|4622) pub9<-delete_participant
(4608|4608) <- PublisherService::~PublisherService
(4608|4608) <- Subscriber delete_contained_entities
(4608|4608) <- Subscriber delete_participant
(4608|4608) <- Subscriber::~Subscriber
(4608|4608) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl medium Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl high #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 4634
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 32 -s 32 -n 1024 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 4641 started at 2023-04-28 16:21:28
(4641|4641) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(4641|4641) -> Thrasher started
(4641|4641) -> Subscriber::Subscriber
(4634|4634) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(4641|4641) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(4641|4641)    Subscriber reader id: 01030000.2f993b68.00000002.00000507(752a5310)
(4641|4641) -> PublisherService::PublisherService
(4641|4641) -> PublisherService::start (32 threads)
(4641|4641) sub wait_received 0:32
(4641|4650) pub0  writer id: 01030000.2f993b68.00000003.00000102(5c4c4b2b)
(4641|4650) pub0->started
(4641|4650) pub0->wait_match() before write for 01030000.2f993b68.00000003.00000102(5c4c4b2b)
(4641|4650) pub0<-match found! before write for 01030000.2f993b68.00000003.00000102(5c4c4b2b)
(4641|4650) pub0  12% (4 samples sent)
(4641|4650) pub0  21% (7 samples sent)
(4641|4650) pub0  31% (10 samples sent)
(4641|4650) pub0  40% (13 samples sent)
(4641|4650) pub0  50% (16 samples sent)
(4641|4650) pub0  62% (20 samples sent)
(4641|4650) pub0  71% (23 samples sent)
(4641|4650) pub0  81% (26 samples sent)
(4641|4650) pub0  90% (29 samples sent)
(4641|4650) pub0  100% (32 samples sent)
(4641|4650) pub0  waiting for acks
(4641|4650) pub0  waiting for acks returned
(4641|4650) pub0<-delete_contained_entities
(4641|4665) pub18  writer id: 01030000.2f993b68.0000001a.00000102(31bcbed8)
(4641|4665) pub18->started
(4641|4665) pub18->wait_match() before write for 01030000.2f993b68.0000001a.00000102(31bcbed8)
(4641|4665) pub18<-match found! before write for 01030000.2f993b68.0000001a.00000102(31bcbed8)
(4641|4665) pub18  12% (4 samples sent)
(4641|4665) pub18  21% (7 samples sent)
(4641|4665) pub18  31% (10 samples sent)
(4641|4665) pub18  40% (13 samples sent)
(4641|4665) pub18  50% (16 samples sent)
(4641|4665) pub18  62% (20 samples sent)
(4641|4665) pub18  71% (23 samples sent)
(4641|4665) pub18  81% (26 samples sent)
(4641|4665) pub18  90% (29 samples sent)
(4641|4665) pub18  100% (32 samples sent)
(4641|4665) pub18  waiting for acks
(4641|4665) pub18  waiting for acks returned
(4641|4665) pub18<-delete_contained_entities
(4641|4654) pub2  writer id: 01030000.2f993b68.00000004.00000102(ee6c973b)
(4641|4654) pub2->started
(4641|4654) pub2->wait_match() before write for 01030000.2f993b68.00000004.00000102(ee6c973b)
(4641|4654) pub2<-match found! before write for 01030000.2f993b68.00000004.00000102(ee6c973b)
(4641|4654) pub2  12% (4 samples sent)
(4641|4654) pub2  21% (7 samples sent)
(4641|4654) pub2  31% (10 samples sent)
(4641|4654) pub2  40% (13 samples sent)
(4641|4654) pub2  50% (16 samples sent)
(4641|4654) pub2  62% (20 samples sent)
(4641|4654) pub2  71% (23 samples sent)
(4641|4654) pub2  81% (26 samples sent)
(4641|4654) pub2  90% (29 samples sent)
(4641|4654) pub2  100% (32 samples sent)
(4641|4654) pub2  waiting for acks
(4641|4654) pub2  waiting for acks returned
(4641|4654) pub2<-delete_contained_entities
(4641|4675) pub25  writer id: 01030000.2f993b68.00000006.00000102(94acc45b)
(4641|4675) pub25->started
(4641|4675) pub25->wait_match() before write for 01030000.2f993b68.00000006.00000102(94acc45b)
(4641|4675) pub25<-match found! before write for 01030000.2f993b68.00000006.00000102(94acc45b)
(4641|4675) pub25  12% (4 samples sent)
(4641|4675) pub25  21% (7 samples sent)
(4641|4675) pub25  31% (10 samples sent)
(4641|4675) pub25  40% (13 samples sent)
(4641|4675) pub25  50% (16 samples sent)
(4641|4675) pub25  62% (20 samples sent)
(4641|4649)  sub 10% (103 samples received)
(4641|4675) pub25  71% (23 samples sent)
(4641|4675) pub25  81% (26 samples sent)
(4641|4675) pub25  90% (29 samples sent)
(4641|4675) pub25  100% (32 samples sent)
(4641|4675) pub25  waiting for acks
(4641|4681) pub17  writer id: 01030000.2f993b68.00000008.00000102(2b9c7a3a)
(4641|4681) pub17->started
(4641|4681) pub17->wait_match() before write for 01030000.2f993b68.00000008.00000102(2b9c7a3a)
(4641|4659) pub9  writer id: 01030000.2f993b68.0000000a.00000102(515c295a)
(4641|4659) pub9->started
(4641|4659) pub9->wait_match() before write for 01030000.2f993b68.0000000a.00000102(515c295a)
(4641|4681) pub17<-match found! before write for 01030000.2f993b68.00000008.00000102(2b9c7a3a)
(4641|4681) pub17  12% (4 samples sent)
(4641|4681) pub17  21% (7 samples sent)
(4641|4681) pub17  31% (10 samples sent)
(4641|4681) pub17  40% (13 samples sent)
(4641|4681) pub17  50% (16 samples sent)
(4641|4681) pub17  62% (20 samples sent)
(4641|4681) pub17  71% (23 samples sent)
(4641|4659) pub9<-match found! before write for 01030000.2f993b68.0000000a.00000102(515c295a)
(4641|4659) pub9  12% (4 samples sent)
(4641|4659) pub9  21% (7 samples sent)
(4641|4659) pub9  31% (10 samples sent)
(4641|4659) pub9  40% (13 samples sent)
(4641|4659) pub9  50% (16 samples sent)
(4641|4659) pub9  62% (20 samples sent)
(4641|4659) pub9  71% (23 samples sent)
(4641|4659) pub9  81% (26 samples sent)
(4641|4659) pub9  90% (29 samples sent)
(4641|4681) pub17  81% (26 samples sent)
(4641|4681) pub17  90% (29 samples sent)
(4641|4681) pub17  100% (32 samples sent)
(4641|4681) pub17  waiting for acks
(4641|4659) pub9  100% (32 samples sent)
(4641|4659) pub9  waiting for acks
(4641|4675) pub25  waiting for acks returned
(4641|4675) pub25<-delete_contained_entities
(4641|4656) pub3  writer id: 01030000.2f993b68.00000009.00000102(16fc538a)
(4641|4656) pub3->started
(4641|4656) pub3->wait_match() before write for 01030000.2f993b68.00000009.00000102(16fc538a)
(4641|4657) pub7  writer id: 01030000.2f993b68.00000005.00000102(d30cbe8b)
(4641|4657) pub7->started
(4641|4657) pub7->wait_match() before write for 01030000.2f993b68.00000005.00000102(d30cbe8b)
(4641|4669) pub16  writer id: 01030000.2f993b68.00000018.00000102(4b7cedb8)
(4641|4669) pub16->started
(4641|4669) pub16->wait_match() before write for 01030000.2f993b68.00000018.00000102(4b7cedb8)
(4641|4656) pub3<-match found! before write for 01030000.2f993b68.00000009.00000102(16fc538a)
(4641|4656) pub3  12% (4 samples sent)
(4641|4656) pub3  21% (7 samples sent)
(4641|4656) pub3  31% (10 samples sent)
(4641|4656) pub3  40% (13 samples sent)
(4641|4656) pub3  50% (16 samples sent)
(4641|4656) pub3  62% (20 samples sent)
(4641|4656) pub3  71% (23 samples sent)
(4641|4656) pub3  81% (26 samples sent)
(4641|4656) pub3  90% (29 samples sent)
(4641|4656) pub3  100% (32 samples sent)
(4641|4656) pub3  waiting for acks
(4641|4657) pub7<-match found! before write for 01030000.2f993b68.00000005.00000102(d30cbe8b)
(4641|4657) pub7  12% (4 samples sent)
(4641|4657) pub7  21% (7 samples sent)
(4641|4657) pub7  31% (10 samples sent)
(4641|4653) pub6  writer id: 01030000.2f993b68.00000007.00000102(a9ccedeb)
(4641|4653) pub6->started
(4641|4653) pub6->wait_match() before write for 01030000.2f993b68.00000007.00000102(a9ccedeb)
(4641|4657) pub7  40% (13 samples sent)
(4641|4657) pub7  50% (16 samples sent)
(4641|4657) pub7  62% (20 samples sent)
(4641|4669) pub16<-match found! before write for 01030000.2f993b68.00000018.00000102(4b7cedb8)
(4641|4657) pub7  71% (23 samples sent)
(4641|4669) pub16  12% (4 samples sent)
(4641|4669) pub16  21% (7 samples sent)
(4641|4669) pub16  31% (10 samples sent)
(4641|4669) pub16  40% (13 samples sent)
(4641|4669) pub16  50% (16 samples sent)
(4641|4669) pub16  62% (20 samples sent)
(4641|4669) pub16  71% (23 samples sent)
(4641|4669) pub16  81% (26 samples sent)
(4641|4669) pub16  90% (29 samples sent)
(4641|4669) pub16  100% (32 samples sent)
(4641|4669) pub16  waiting for acks
(4641|4657) pub7  81% (26 samples sent)
(4641|4681) pub17  waiting for acks returned
(4641|4681) pub17<-delete_contained_entities
(4641|4657) pub7  90% (29 samples sent)
(4641|4659) pub9  waiting for acks returned
(4641|4659) pub9<-delete_contained_entities
(4641|4657) pub7  100% (32 samples sent)
(4641|4657) pub7  waiting for acks
(4641|4653) pub6<-match found! before write for 01030000.2f993b68.00000007.00000102(a9ccedeb)
(4641|4653) pub6  12% (4 samples sent)
(4641|4653) pub6  21% (7 samples sent)
(4641|4653) pub6  31% (10 samples sent)
(4641|4653) pub6  40% (13 samples sent)
(4641|4653) pub6  50% (16 samples sent)
(4641|4653) pub6  62% (20 samples sent)
(4641|4653) pub6  71% (23 samples sent)
(4641|4653) pub6  81% (26 samples sent)
(4641|4653) pub6  90% (29 samples sent)
(4641|4653) pub6  100% (32 samples sent)
(4641|4653) pub6  waiting for acks
(4641|4649)  sub 20% (205 samples received)
(4641|4651) pub1  writer id: 01030000.2f993b68.00000010.00000102(7b0ca679)
(4641|4651) pub1->started
(4641|4651) pub1->wait_match() before write for 01030000.2f993b68.00000010.00000102(7b0ca679)
(4641|4664) pub30  writer id: 01030000.2f993b68.00000011.00000102(466c8fc9)
(4641|4664) pub30->started
(4641|4664) pub30->wait_match() before write for 01030000.2f993b68.00000011.00000102(466c8fc9)
(4641|4677) pub15  writer id: 01030000.2f993b68.00000017.00000102(c92c7a69)
(4641|4677) pub15->started
(4641|4677) pub15->wait_match() before write for 01030000.2f993b68.00000017.00000102(c92c7a69)
(4641|4661) pub13  writer id: 01030000.2f993b68.00000016.00000102(f44c53d9)
(4641|4661) pub13->started
(4641|4661) pub13->wait_match() before write for 01030000.2f993b68.00000016.00000102(f44c53d9)
(4641|4668) pub29  writer id: 01030000.2f993b68.0000001f.00000102(f95c31a8)
(4641|4668) pub29->started
(4641|4668) pub29->wait_match() before write for 01030000.2f993b68.0000001f.00000102(f95c31a8)
(4641|4658) pub8  writer id: 01030000.2f993b68.00000013.00000102(3cacdca9)
(4641|4658) pub8->started
(4641|4658) pub8->wait_match() before write for 01030000.2f993b68.00000013.00000102(3cacdca9)
(4641|4680) pub22  writer id: 01030000.2f993b68.00000022.00000102(a0ed4d9f)
(4641|4680) pub22->started
(4641|4680) pub22->wait_match() before write for 01030000.2f993b68.00000022.00000102(a0ed4d9f)
(4641|4678) pub23  writer id: 01030000.2f993b68.00000021.00000102(e74d374f)
(4641|4678) pub23->started
(4641|4678) pub23->wait_match() before write for 01030000.2f993b68.00000021.00000102(e74d374f)
(4641|4679) pub21  writer id: 01030000.2f993b68.0000000e.00000102(a4dc8f9a)
(4641|4679) pub21->started
(4641|4679) pub21->wait_match() before write for 01030000.2f993b68.0000000e.00000102(a4dc8f9a)
(4641|4674) pub19  writer id: 01030000.2f993b68.0000001b.00000102(0cdc9768)
(4641|4674) pub19->started
(4641|4674) pub19->wait_match() before write for 01030000.2f993b68.0000001b.00000102(0cdc9768)
(4641|4660) pub31  writer id: 01030000.2f993b68.00000020.00000102(da2d1eff)
(4641|4660) pub31->started
(4641|4660) pub31->wait_match() before write for 01030000.2f993b68.00000020.00000102(da2d1eff)
(4641|4672) pub14  writer id: 01030000.2f993b68.0000000c.00000102(de1cdcfa)
(4641|4672) pub14->started
(4641|4672) pub14->wait_match() before write for 01030000.2f993b68.0000000c.00000102(de1cdcfa)
(4641|4667) pub26  writer id: 01030000.2f993b68.0000001d.00000102(839c62c8)
(4641|4667) pub26->started
(4641|4667) pub26->wait_match() before write for 01030000.2f993b68.0000001d.00000102(839c62c8)
(4641|4652) pub4  writer id: 01030000.2f993b68.0000000b.00000102(6c3c00ea)
(4641|4652) pub4->started
(4641|4652) pub4->wait_match() before write for 01030000.2f993b68.0000000b.00000102(6c3c00ea)
(4641|4649)  sub 30% (308 samples received)
(4641|4666) pub27  writer id: 01030000.2f993b68.0000001e.00000102(c43c1818)
(4641|4666) pub27->started
(4641|4666) pub27->wait_match() before write for 01030000.2f993b68.0000001e.00000102(c43c1818)
(4641|4663) pub20  writer id: 01030000.2f993b68.0000001c.00000102(befc4b78)
(4641|4663) pub20->started
(4641|4663) pub20->wait_match() before write for 01030000.2f993b68.0000001c.00000102(befc4b78)
(4641|4655) pub5  writer id: 01030000.2f993b68.00000012.00000102(01ccf519)
(4641|4655) pub5->started
(4641|4655) pub5->wait_match() before write for 01030000.2f993b68.00000012.00000102(01ccf519)
(4641|4651) pub1<-match found! before write for 01030000.2f993b68.00000010.00000102(7b0ca679)
(4641|4651) pub1  12% (4 samples sent)
(4641|4651) pub1  21% (7 samples sent)
(4641|4651) pub1  31% (10 samples sent)
(4641|4651) pub1  40% (13 samples sent)
(4641|4651) pub1  50% (16 samples sent)
(4641|4651) pub1  62% (20 samples sent)
(4641|4651) pub1  71% (23 samples sent)
(4641|4651) pub1  81% (26 samples sent)
(4641|4651) pub1  90% (29 samples sent)
(4641|4651) pub1  100% (32 samples sent)
(4641|4651) pub1  waiting for acks
(4641|4676) pub11  writer id: 01030000.2f993b68.00000014.00000102(8e8c00b9)
(4641|4676) pub11->started
(4641|4676) pub11->wait_match() before write for 01030000.2f993b68.00000014.00000102(8e8c00b9)
(4641|4664) pub30<-match found! before write for 01030000.2f993b68.00000011.00000102(466c8fc9)
(4641|4677) pub15<-match found! before write for 01030000.2f993b68.00000017.00000102(c92c7a69)
(4641|4656) pub3  waiting for acks returned
(4641|4656) pub3<-delete_contained_entities
(4641|4664) pub30  12% (4 samples sent)
(4641|4664) pub30  21% (7 samples sent)
(4641|4677) pub15  12% (4 samples sent)
(4641|4664) pub30  31% (10 samples sent)
(4641|4677) pub15  21% (7 samples sent)
(4641|4664) pub30  40% (13 samples sent)
(4641|4677) pub15  31% (10 samples sent)
(4641|4664) pub30  50% (16 samples sent)
(4641|4677) pub15  40% (13 samples sent)
(4641|4677) pub15  50% (16 samples sent)
(4641|4664) pub30  62% (20 samples sent)
(4641|4664) pub30  71% (23 samples sent)
(4641|4677) pub15  62% (20 samples sent)
(4641|4677) pub15  71% (23 samples sent)
(4641|4664) pub30  81% (26 samples sent)
(4641|4677) pub15  81% (26 samples sent)
(4641|4670) pub12  writer id: 01030000.2f993b68.00000015.00000102(b3ec2909)
(4641|4670) pub12->started
(4641|4670) pub12->wait_match() before write for 01030000.2f993b68.00000015.00000102(b3ec2909)
(4641|4664) pub30  90% (29 samples sent)
(4641|4664) pub30  100% (32 samples sent)
(4641|4664) pub30  waiting for acks
(4641|4677) pub15  90% (29 samples sent)
(4641|4677) pub15  100% (32 samples sent)
(4641|4677) pub15  waiting for acks
(4641|4661) pub13<-match found! before write for 01030000.2f993b68.00000016.00000102(f44c53d9)
(4641|4661) pub13  12% (4 samples sent)
(4641|4661) pub13  21% (7 samples sent)
(4641|4661) pub13  31% (10 samples sent)
(4641|4661) pub13  40% (13 samples sent)
(4641|4661) pub13  50% (16 samples sent)
(4641|4661) pub13  62% (20 samples sent)
(4641|4661) pub13  71% (23 samples sent)
(4641|4661) pub13  81% (26 samples sent)
(4641|4661) pub13  90% (29 samples sent)
(4641|4661) pub13  100% (32 samples sent)
(4641|4661) pub13  waiting for acks
(4641|4668) pub29<-match found! before write for 01030000.2f993b68.0000001f.00000102(f95c31a8)
(4641|4668) pub29  12% (4 samples sent)
(4641|4668) pub29  21% (7 samples sent)
(4641|4668) pub29  31% (10 samples sent)
(4641|4668) pub29  40% (13 samples sent)
(4641|4668) pub29  50% (16 samples sent)
(4641|4668) pub29  62% (20 samples sent)
(4641|4668) pub29  71% (23 samples sent)
(4641|4668) pub29  81% (26 samples sent)
(4641|4668) pub29  90% (29 samples sent)
(4641|4668) pub29  100% (32 samples sent)
(4641|4668) pub29  waiting for acks
(4641|4658) pub8<-match found! before write for 01030000.2f993b68.00000013.00000102(3cacdca9)
(4641|4680) pub22<-match found! before write for 01030000.2f993b68.00000022.00000102(a0ed4d9f)
(4641|4658) pub8  12% (4 samples sent)
(4641|4658) pub8  21% (7 samples sent)
(4641|4680) pub22  12% (4 samples sent)
(4641|4658) pub8  31% (10 samples sent)
(4641|4680) pub22  21% (7 samples sent)
(4641|4658) pub8  40% (13 samples sent)
(4641|4658) pub8  50% (16 samples sent)
(4641|4680) pub22  31% (10 samples sent)
(4641|4658) pub8  62% (20 samples sent)
(4641|4680) pub22  40% (13 samples sent)
(4641|4658) pub8  71% (23 samples sent)
(4641|4658) pub8  81% (26 samples sent)
(4641|4658) pub8  90% (29 samples sent)
(4641|4658) pub8  100% (32 samples sent)
(4641|4658) pub8  waiting for acks
(4641|4680) pub22  50% (16 samples sent)
(4641|4678) pub23<-match found! before write for 01030000.2f993b68.00000021.00000102(e74d374f)
(4641|4673) pub28  writer id: 01030000.2f993b68.0000000f.00000102(99bca62a)
(4641|4673) pub28->started
(4641|4680) pub22  62% (20 samples sent)
(4641|4673) pub28->wait_match() before write for 01030000.2f993b68.0000000f.00000102(99bca62a)
(4641|4680) pub22  71% (23 samples sent)
(4641|4680) pub22  81% (26 samples sent)
(4641|4678) pub23  12% (4 samples sent)
(4641|4680) pub22  90% (29 samples sent)
(4641|4678) pub23  21% (7 samples sent)
(4641|4680) pub22  100% (32 samples sent)
(4641|4680) pub22  waiting for acks
(4641|4678) pub23  31% (10 samples sent)
(4641|4678) pub23  40% (13 samples sent)
(4641|4678) pub23  50% (16 samples sent)
(4641|4678) pub23  62% (20 samples sent)
(4641|4678) pub23  71% (23 samples sent)
(4641|4678) pub23  81% (26 samples sent)
(4641|4678) pub23  90% (29 samples sent)
(4641|4678) pub23  100% (32 samples sent)
(4641|4678) pub23  waiting for acks
(4641|4679) pub21<-match found! before write for 01030000.2f993b68.0000000e.00000102(a4dc8f9a)
(4641|4679) pub21  12% (4 samples sent)
(4641|4679) pub21  21% (7 samples sent)
(4641|4679) pub21  31% (10 samples sent)
(4641|4679) pub21  40% (13 samples sent)
(4641|4679) pub21  50% (16 samples sent)
(4641|4679) pub21  62% (20 samples sent)
(4641|4679) pub21  71% (23 samples sent)
(4641|4679) pub21  81% (26 samples sent)
(4641|4679) pub21  90% (29 samples sent)
(4641|4679) pub21  100% (32 samples sent)
(4641|4679) pub21  waiting for acks
(4641|4674) pub19<-match found! before write for 01030000.2f993b68.0000001b.00000102(0cdc9768)
(4641|4674) pub19  12% (4 samples sent)
(4641|4669) pub16  waiting for acks returned
(4641|4669) pub16<-delete_contained_entities
(4641|4660) pub31<-match found! before write for 01030000.2f993b68.00000020.00000102(da2d1eff)
(4641|4657) pub7  waiting for acks returned
(4641|4657) pub7<-delete_contained_entities
(4641|4674) pub19  21% (7 samples sent)
(4641|4660) pub31  12% (4 samples sent)
(4641|4674) pub19  31% (10 samples sent)
(4641|4660) pub31  21% (7 samples sent)
(4641|4674) pub19  40% (13 samples sent)
(4641|4660) pub31  31% (10 samples sent)
(4641|4674) pub19  50% (16 samples sent)
(4641|4660) pub31  40% (13 samples sent)
(4641|4674) pub19  62% (20 samples sent)
(4641|4660) pub31  50% (16 samples sent)
(4641|4660) pub31  62% (20 samples sent)
(4641|4660) pub31  71% (23 samples sent)
(4641|4660) pub31  81% (26 samples sent)
(4641|4660) pub31  90% (29 samples sent)
(4641|4660) pub31  100% (32 samples sent)
(4641|4660) pub31  waiting for acks
(4641|4672) pub14<-match found! before write for 01030000.2f993b68.0000000c.00000102(de1cdcfa)
(4641|4672) pub14  12% (4 samples sent)
(4641|4674) pub19  71% (23 samples sent)
(4641|4672) pub14  21% (7 samples sent)
(4641|4674) pub19  81% (26 samples sent)
(4641|4672) pub14  31% (10 samples sent)
(4641|4674) pub19  90% (29 samples sent)
(4641|4672) pub14  40% (13 samples sent)
(4641|4674) pub19  100% (32 samples sent)
(4641|4674) pub19  waiting for acks
(4641|4672) pub14  50% (16 samples sent)
(4641|4672) pub14  62% (20 samples sent)
(4641|4672) pub14  71% (23 samples sent)
(4641|4672) pub14  81% (26 samples sent)
(4641|4672) pub14  90% (29 samples sent)
(4641|4672) pub14  100% (32 samples sent)
(4641|4672) pub14  waiting for acks
(4641|4667) pub26<-match found! before write for 01030000.2f993b68.0000001d.00000102(839c62c8)
(4641|4667) pub26  12% (4 samples sent)
(4641|4667) pub26  21% (7 samples sent)
(4641|4667) pub26  31% (10 samples sent)
(4641|4667) pub26  40% (13 samples sent)
(4641|4667) pub26  50% (16 samples sent)
(4641|4667) pub26  62% (20 samples sent)
(4641|4667) pub26  71% (23 samples sent)
(4641|4667) pub26  81% (26 samples sent)
(4641|4667) pub26  90% (29 samples sent)
(4641|4667) pub26  100% (32 samples sent)
(4641|4667) pub26  waiting for acks
(4641|4652) pub4<-match found! before write for 01030000.2f993b68.0000000b.00000102(6c3c00ea)
(4641|4652) pub4  12% (4 samples sent)
(4641|4652) pub4  21% (7 samples sent)
(4641|4652) pub4  31% (10 samples sent)
(4641|4652) pub4  40% (13 samples sent)
(4641|4652) pub4  50% (16 samples sent)
(4641|4652) pub4  62% (20 samples sent)
(4641|4652) pub4  71% (23 samples sent)
(4641|4652) pub4  81% (26 samples sent)
(4641|4652) pub4  90% (29 samples sent)
(4641|4652) pub4  100% (32 samples sent)
(4641|4652) pub4  waiting for acks
(4641|4666) pub27<-match found! before write for 01030000.2f993b68.0000001e.00000102(c43c1818)
(4641|4666) pub27  12% (4 samples sent)
(4641|4666) pub27  21% (7 samples sent)
(4641|4666) pub27  31% (10 samples sent)
(4641|4666) pub27  40% (13 samples sent)
(4641|4666) pub27  50% (16 samples sent)
(4641|4666) pub27  62% (20 samples sent)
(4641|4666) pub27  71% (23 samples sent)
(4641|4666) pub27  81% (26 samples sent)
(4641|4666) pub27  90% (29 samples sent)
(4641|4666) pub27  100% (32 samples sent)
(4641|4666) pub27  waiting for acks
(4641|4663) pub20<-match found! before write for 01030000.2f993b68.0000001c.00000102(befc4b78)
(4641|4663) pub20  12% (4 samples sent)
(4641|4663) pub20  21% (7 samples sent)
(4641|4663) pub20  31% (10 samples sent)
(4641|4663) pub20  40% (13 samples sent)
(4641|4663) pub20  50% (16 samples sent)
(4641|4663) pub20  62% (20 samples sent)
(4641|4663) pub20  71% (23 samples sent)
(4641|4663) pub20  81% (26 samples sent)
(4641|4663) pub20  90% (29 samples sent)
(4641|4663) pub20  100% (32 samples sent)
(4641|4663) pub20  waiting for acks
(4641|4671) pub24  writer id: 01030000.2f993b68.0000000d.00000102(e37cf54a)
(4641|4671) pub24->started
(4641|4671) pub24->wait_match() before write for 01030000.2f993b68.0000000d.00000102(e37cf54a)
(4641|4650) pub0<-delete_participant
(4641|4662) pub10  writer id: 01030000.2f993b68.00000019.00000102(761cc408)
(4641|4662) pub10->started
(4641|4662) pub10->wait_match() before write for 01030000.2f993b68.00000019.00000102(761cc408)
(4641|4649)  sub 40% (410 samples received)
(4641|4665) pub18<-delete_participant
(4641|4654) pub2<-delete_participant
(4641|4675) pub25<-delete_participant
(4641|4649)  sub 50% (512 samples received)
(4641|4681) pub17<-delete_participant
(4641|4659) pub9<-delete_participant
(4641|4656) pub3<-delete_participant
(4641|4669) pub16<-delete_participant
(4641|4649)  sub 60% (615 samples received)
(4641|4657) pub7<-delete_participant
(4641|4649)  sub 70% (717 samples received)
(4641|4655) pub5<-match found! before write for 01030000.2f993b68.00000012.00000102(01ccf519)
(4641|4655) pub5  12% (4 samples sent)
(4641|4655) pub5  21% (7 samples sent)
(4641|4655) pub5  31% (10 samples sent)
(4641|4655) pub5  40% (13 samples sent)
(4641|4655) pub5  50% (16 samples sent)
(4641|4655) pub5  62% (20 samples sent)
(4641|4655) pub5  71% (23 samples sent)
(4641|4655) pub5  81% (26 samples sent)
(4641|4655) pub5  90% (29 samples sent)
(4641|4655) pub5  100% (32 samples sent)
(4641|4655) pub5  waiting for acks
(4641|4676) pub11<-match found! before write for 01030000.2f993b68.00000014.00000102(8e8c00b9)
(4641|4670) pub12<-match found! before write for 01030000.2f993b68.00000015.00000102(b3ec2909)
(4641|4676) pub11  12% (4 samples sent)
(4641|4670) pub12  12% (4 samples sent)
(4641|4676) pub11  21% (7 samples sent)
(4641|4670) pub12  21% (7 samples sent)
(4641|4676) pub11  31% (10 samples sent)
(4641|4670) pub12  31% (10 samples sent)
(4641|4676) pub11  40% (13 samples sent)
(4641|4670) pub12  40% (13 samples sent)
(4641|4676) pub11  50% (16 samples sent)
(4641|4670) pub12  50% (16 samples sent)
(4641|4676) pub11  62% (20 samples sent)
(4641|4670) pub12  62% (20 samples sent)
(4641|4673) pub28<-match found! before write for 01030000.2f993b68.0000000f.00000102(99bca62a)
(4641|4676) pub11  71% (23 samples sent)
(4641|4676) pub11  81% (26 samples sent)
(4641|4673) pub28  12% (4 samples sent)
(4641|4673) pub28  21% (7 samples sent)
(4641|4673) pub28  31% (10 samples sent)
(4641|4676) pub11  90% (29 samples sent)
(4641|4670) pub12  71% (23 samples sent)
(4641|4676) pub11  100% (32 samples sent)
(4641|4676) pub11  waiting for acks
(4641|4670) pub12  81% (26 samples sent)
(4641|4670) pub12  90% (29 samples sent)
(4641|4670) pub12  100% (32 samples sent)
(4641|4670) pub12  waiting for acks
(4641|4673) pub28  40% (13 samples sent)
(4641|4673) pub28  50% (16 samples sent)
(4641|4673) pub28  62% (20 samples sent)
(4641|4673) pub28  71% (23 samples sent)
(4641|4673) pub28  81% (26 samples sent)
(4641|4673) pub28  90% (29 samples sent)
(4641|4673) pub28  100% (32 samples sent)
(4641|4673) pub28  waiting for acks
(4641|4653) pub6  waiting for acks returned
(4641|4653) pub6<-delete_contained_entities
(4641|4651) pub1  waiting for acks returned
(4641|4651) pub1<-delete_contained_entities
(4641|4671) pub24<-match found! before write for 01030000.2f993b68.0000000d.00000102(e37cf54a)
(4641|4671) pub24  12% (4 samples sent)
(4641|4671) pub24  21% (7 samples sent)
(4641|4671) pub24  31% (10 samples sent)
(4641|4671) pub24  40% (13 samples sent)
(4641|4671) pub24  50% (16 samples sent)
(4641|4671) pub24  62% (20 samples sent)
(4641|4671) pub24  71% (23 samples sent)
(4641|4671) pub24  81% (26 samples sent)
(4641|4671) pub24  90% (29 samples sent)
(4641|4662) pub10<-match found! before write for 01030000.2f993b68.00000019.00000102(761cc408)
(4641|4664) pub30  waiting for acks returned
(4641|4664) pub30<-delete_contained_entities
(4641|4677) pub15  waiting for acks returned
(4641|4677) pub15<-delete_contained_entities
(4641|4661) pub13  waiting for acks returned
(4641|4661) pub13<-delete_contained_entities
(4641|4671) pub24  100% (32 samples sent)
(4641|4671) pub24  waiting for acks
(4641|4668) pub29  waiting for acks returned
(4641|4668) pub29<-delete_contained_entities
(4641|4658) pub8  waiting for acks returned
(4641|4658) pub8<-delete_contained_entities
(4641|4680) pub22  waiting for acks returned
(4641|4680) pub22<-delete_contained_entities
(4641|4678) pub23  waiting for acks returned
(4641|4678) pub23<-delete_contained_entities
(4641|4679) pub21  waiting for acks returned
(4641|4679) pub21<-delete_contained_entities
(4641|4660) pub31  waiting for acks returned
(4641|4660) pub31<-delete_contained_entities
(4641|4662) pub10  12% (4 samples sent)
(4641|4674) pub19  waiting for acks returned
(4641|4674) pub19<-delete_contained_entities
(4641|4662) pub10  21% (7 samples sent)
(4641|4662) pub10  31% (10 samples sent)
(4641|4662) pub10  40% (13 samples sent)
(4641|4662) pub10  50% (16 samples sent)
(4641|4662) pub10  62% (20 samples sent)
(4641|4662) pub10  71% (23 samples sent)
(4641|4662) pub10  81% (26 samples sent)
(4641|4662) pub10  90% (29 samples sent)
(4641|4662) pub10  100% (32 samples sent)
(4641|4662) pub10  waiting for acks
(4641|4672) pub14  waiting for acks returned
(4641|4672) pub14<-delete_contained_entities
(4641|4667) pub26  waiting for acks returned
(4641|4667) pub26<-delete_contained_entities
(4641|4653) pub6<-delete_participant
(4641|4651) pub1<-delete_participant
(4641|4677) pub15<-delete_participant
(4641|4647) WARNING: DataReaderImpl::accept_sample_processing - subscription 01030000.2f993b68.00000011.000001c7(ad62b9f6) failed to find publication data for 01030000.2f993b68.00000001.000001c2(bde8dafb).
(4641|4664) pub30<-delete_participant
(4641|4649)  sub 80% (820 samples received)
(4641|4661) pub13<-delete_participant
(4641|4668) pub29<-delete_participant
(4641|4658) pub8<-delete_participant
(4641|4680) pub22<-delete_participant
(4641|4678) pub23<-delete_participant
(4641|4679) pub21<-delete_participant
(4641|4649)  sub 90% (922 samples received)
(4641|4660) pub31<-delete_participant
(4641|4674) pub19<-delete_participant
(4641|4672) pub14<-delete_participant
(4641|4649) sub condition_.notify_all
(4641|4641) sub condition_.wait returned
(4641|4641) sub check_received
(4641|4641) sub check_received returns 0
(4641|4641) <- PublisherService::end
(4641|4649) sub condition_.notify_all
(4641|4649) sub condition_.notify_all
(4641|4649) sub condition_.notify_all
(4641|4649) sub condition_.notify_all
(4641|4649) sub condition_.notify_all
(4641|4649) sub condition_.notify_all
(4641|4649) sub condition_.notify_all
(4641|4649) sub condition_.notify_all
(4641|4649) sub condition_.notify_all
(4641|4649) sub condition_.notify_all
(4641|4649) sub condition_.notify_all
(4641|4649) sub condition_.notify_all
(4641|4649) sub condition_.notify_all
(4641|4649) sub condition_.notify_all
(4641|4649) sub condition_.notify_all
(4641|4649) sub condition_.notify_all
(4641|4667) pub26<-delete_participant
(4641|4649) sub condition_.notify_all
(4641|4649) sub condition_.notify_all
(4641|4649) sub condition_.notify_all
(4641|4649) sub condition_.notify_all
(4641|4649) sub condition_.notify_all
(4641|4649) sub condition_.notify_all
(4641|4649) sub condition_.notify_all
(4641|4649) sub condition_.notify_all
(4641|4649) sub condition_.notify_all
(4641|4649) sub condition_.notify_all
(4641|4649) sub condition_.notify_all
(4641|4649) sub condition_.notify_all
(4641|4649) sub condition_.notify_all
(4641|4649) sub condition_.notify_all
(4641|4649) sub condition_.notify_all
(4641|4649) sub condition_.notify_all
(4641|4649)  sub 100% (1024 samples received)
(4641|4649) sub condition_.notify_all
(4641|4652) pub4  waiting for acks returned
(4641|4652) pub4<-delete_contained_entities
(4641|4666) pub27  waiting for acks returned
(4641|4666) pub27<-delete_contained_entities
(4641|4663) pub20  waiting for acks returned
(4641|4663) pub20<-delete_contained_entities
(4641|4655) pub5  waiting for acks returned
(4641|4655) pub5<-delete_contained_entities
(4641|4676) pub11  waiting for acks returned
(4641|4676) pub11<-delete_contained_entities
(4641|4670) pub12  waiting for acks returned
(4641|4670) pub12<-delete_contained_entities
(4641|4673) pub28  waiting for acks returned
(4641|4673) pub28<-delete_contained_entities
(4641|4671) pub24  waiting for acks returned
(4641|4671) pub24<-delete_contained_entities
(4641|4662) pub10  waiting for acks returned
(4641|4662) pub10<-delete_contained_entities
(4641|4652) pub4<-delete_participant
(4641|4666) pub27<-delete_participant
(4641|4663) pub20<-delete_participant
(4641|4655) pub5<-delete_participant
(4641|4676) pub11<-delete_participant
(4641|4670) pub12<-delete_participant
(4641|4673) pub28<-delete_participant
(4641|4671) pub24<-delete_participant
(4641|4662) pub10<-delete_participant
(4641|4641) <- PublisherService::~PublisherService
(4641|4641) <- Subscriber delete_contained_entities
(4641|4641) <- Subscriber delete_participant
(4641|4641) <- Subscriber::~Subscriber
(4641|4641) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl high Time:3s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl aggressive #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 4683
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 64 -s 16 -n 1024 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 4690 started at 2023-04-28 16:21:31
(4690|4690) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(4690|4690) -> Thrasher started
(4690|4690) -> Subscriber::Subscriber
(4683|4683) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(4690|4690) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(4690|4690)    Subscriber reader id: 01030000.02e39d51.00000002.00000507(c9ed8f6e)
(4690|4690) -> PublisherService::PublisherService
(4690|4690) -> PublisherService::start (64 threads)
(4690|4690) sub wait_received 0:64
(4690|4699) pub0  writer id: 01030000.02e39d51.00000003.00000102(e08b9755)
(4690|4699) pub0->started
(4690|4699) pub0->wait_match() before write for 01030000.02e39d51.00000003.00000102(e08b9755)
(4690|4699) pub0<-match found! before write for 01030000.02e39d51.00000003.00000102(e08b9755)
(4690|4699) pub0  12% (2 samples sent)
(4690|4699) pub0  25% (4 samples sent)
(4690|4699) pub0  31% (5 samples sent)
(4690|4699) pub0  43% (7 samples sent)
(4690|4699) pub0  50% (8 samples sent)
(4690|4699) pub0  62% (10 samples sent)
(4690|4699) pub0  75% (12 samples sent)
(4690|4699) pub0  81% (13 samples sent)
(4690|4699) pub0  93% (15 samples sent)
(4690|4699) pub0  100% (16 samples sent)
(4690|4699) pub0  waiting for acks
(4690|4699) pub0  waiting for acks returned
(4690|4699) pub0<-delete_contained_entities
(4690|4714) pub8  writer id: 01030000.02e39d51.00000009.00000102(aa3b8ff4)
(4690|4714) pub8->started
(4690|4714) pub8->wait_match() before write for 01030000.02e39d51.00000009.00000102(aa3b8ff4)
(4690|4714) pub8<-match found! before write for 01030000.02e39d51.00000009.00000102(aa3b8ff4)
(4690|4714) pub8  12% (2 samples sent)
(4690|4714) pub8  25% (4 samples sent)
(4690|4714) pub8  31% (5 samples sent)
(4690|4714) pub8  43% (7 samples sent)
(4690|4714) pub8  50% (8 samples sent)
(4690|4714) pub8  62% (10 samples sent)
(4690|4714) pub8  75% (12 samples sent)
(4690|4714) pub8  81% (13 samples sent)
(4690|4714) pub8  93% (15 samples sent)
(4690|4714) pub8  100% (16 samples sent)
(4690|4714) pub8  waiting for acks
(4690|4714) pub8  waiting for acks returned
(4690|4714) pub8<-delete_contained_entities
(4690|4709) pub4  writer id: 01030000.02e39d51.00000005.00000102(6fcb62f5)
(4690|4709) pub4->started
(4690|4709) pub4->wait_match() before write for 01030000.02e39d51.00000005.00000102(6fcb62f5)
(4690|4709) pub4<-match found! before write for 01030000.02e39d51.00000005.00000102(6fcb62f5)
(4690|4709) pub4  12% (2 samples sent)
(4690|4709) pub4  25% (4 samples sent)
(4690|4709) pub4  31% (5 samples sent)
(4690|4709) pub4  43% (7 samples sent)
(4690|4709) pub4  50% (8 samples sent)
(4690|4709) pub4  62% (10 samples sent)
(4690|4709) pub4  75% (12 samples sent)
(4690|4709) pub4  81% (13 samples sent)
(4690|4709) pub4  93% (15 samples sent)
(4690|4709) pub4  100% (16 samples sent)
(4690|4709) pub4  waiting for acks
(4690|4709) pub4  waiting for acks returned
(4690|4709) pub4<-delete_contained_entities
(4690|4700) pub1  writer id: 01030000.02e39d51.00000004.00000102(52ab4b45)
(4690|4700) pub1->started
(4690|4700) pub1->wait_match() before write for 01030000.02e39d51.00000004.00000102(52ab4b45)
(4690|4700) pub1<-match found! before write for 01030000.02e39d51.00000004.00000102(52ab4b45)
(4690|4700) pub1  12% (2 samples sent)
(4690|4700) pub1  25% (4 samples sent)
(4690|4700) pub1  31% (5 samples sent)
(4690|4700) pub1  43% (7 samples sent)
(4690|4700) pub1  50% (8 samples sent)
(4690|4700) pub1  62% (10 samples sent)
(4690|4700) pub1  75% (12 samples sent)
(4690|4700) pub1  81% (13 samples sent)
(4690|4700) pub1  93% (15 samples sent)
(4690|4700) pub1  100% (16 samples sent)
(4690|4700) pub1  waiting for acks
(4690|4700) pub1  waiting for acks returned
(4690|4700) pub1<-delete_contained_entities
(4690|4705) pub31  writer id: 01030000.02e39d51.0000000f.00000102(257b7a54)
(4690|4705) pub31->started
(4690|4705) pub31->wait_match() before write for 01030000.02e39d51.0000000f.00000102(257b7a54)
(4690|4705) pub31<-match found! before write for 01030000.02e39d51.0000000f.00000102(257b7a54)
(4690|4705) pub31  12% (2 samples sent)
(4690|4705) pub31  25% (4 samples sent)
(4690|4705) pub31  31% (5 samples sent)
(4690|4705) pub31  43% (7 samples sent)
(4690|4705) pub31  50% (8 samples sent)
(4690|4705) pub31  62% (10 samples sent)
(4690|4705) pub31  75% (12 samples sent)
(4690|4705) pub31  81% (13 samples sent)
(4690|4705) pub31  93% (15 samples sent)
(4690|4705) pub31  100% (16 samples sent)
(4690|4705) pub31  waiting for acks
(4690|4705) pub31  waiting for acks returned
(4690|4705) pub31<-delete_contained_entities
(4690|4723) pub9  writer id: 01030000.02e39d51.0000000b.00000102(d0fbdc94)
(4690|4723) pub9->started
(4690|4723) pub9->wait_match() before write for 01030000.02e39d51.0000000b.00000102(d0fbdc94)
(4690|4723) pub9<-match found! before write for 01030000.02e39d51.0000000b.00000102(d0fbdc94)
(4690|4723) pub9  12% (2 samples sent)
(4690|4723) pub9  25% (4 samples sent)
(4690|4723) pub9  31% (5 samples sent)
(4690|4723) pub9  43% (7 samples sent)
(4690|4723) pub9  50% (8 samples sent)
(4690|4723) pub9  62% (10 samples sent)
(4690|4723) pub9  75% (12 samples sent)
(4690|4723) pub9  81% (13 samples sent)
(4690|4723) pub9  93% (15 samples sent)
(4690|4723) pub9  100% (16 samples sent)
(4690|4723) pub9  waiting for acks
(4690|4723) pub9  waiting for acks returned
(4690|4723) pub9<-delete_contained_entities
(4690|4755) pub52  writer id: 01030000.02e39d51.00000011.00000102(faab53b7)
(4690|4755) pub52->started
(4690|4755) pub52->wait_match() before write for 01030000.02e39d51.00000011.00000102(faab53b7)
(4690|4755) pub52<-match found! before write for 01030000.02e39d51.00000011.00000102(faab53b7)
(4690|4755) pub52  12% (2 samples sent)
(4690|4755) pub52  25% (4 samples sent)
(4690|4755) pub52  31% (5 samples sent)
(4690|4755) pub52  43% (7 samples sent)
(4690|4755) pub52  50% (8 samples sent)
(4690|4755) pub52  62% (10 samples sent)
(4690|4755) pub52  75% (12 samples sent)
(4690|4755) pub52  81% (13 samples sent)
(4690|4755) pub52  93% (15 samples sent)
(4690|4755) pub52  100% (16 samples sent)
(4690|4755) pub52  waiting for acks
(4690|4698)  sub 10% (103 samples received)
(4690|4755) pub52  waiting for acks returned
(4690|4755) pub52<-delete_contained_entities
(4690|4731) pub10  writer id: 01030000.02e39d51.00000010.00000102(c7cb7a07)
(4690|4731) pub10->started
(4690|4731) pub10->wait_match() before write for 01030000.02e39d51.00000010.00000102(c7cb7a07)
(4690|4731) pub10<-match found! before write for 01030000.02e39d51.00000010.00000102(c7cb7a07)
(4690|4731) pub10  12% (2 samples sent)
(4690|4731) pub10  25% (4 samples sent)
(4690|4731) pub10  31% (5 samples sent)
(4690|4731) pub10  43% (7 samples sent)
(4690|4731) pub10  50% (8 samples sent)
(4690|4731) pub10  62% (10 samples sent)
(4690|4731) pub10  75% (12 samples sent)
(4690|4731) pub10  81% (13 samples sent)
(4690|4731) pub10  93% (15 samples sent)
(4690|4731) pub10  100% (16 samples sent)
(4690|4731) pub10  waiting for acks
(4690|4731) pub10  waiting for acks returned
(4690|4731) pub10<-delete_contained_entities
(4690|4719) pub7  writer id: 01030000.02e39d51.00000008.00000102(975ba644)
(4690|4719) pub7->started
(4690|4719) pub7->wait_match() before write for 01030000.02e39d51.00000008.00000102(975ba644)
(4690|4719) pub7<-match found! before write for 01030000.02e39d51.00000008.00000102(975ba644)
(4690|4719) pub7  12% (2 samples sent)
(4690|4719) pub7  25% (4 samples sent)
(4690|4719) pub7  31% (5 samples sent)
(4690|4719) pub7  43% (7 samples sent)
(4690|4719) pub7  50% (8 samples sent)
(4690|4719) pub7  62% (10 samples sent)
(4690|4719) pub7  75% (12 samples sent)
(4690|4719) pub7  81% (13 samples sent)
(4690|4719) pub7  93% (15 samples sent)
(4690|4719) pub7  100% (16 samples sent)
(4690|4719) pub7  waiting for acks
(4690|4717) pub6  writer id: 01030000.02e39d51.00000007.00000102(150b3195)
(4690|4717) pub6->started
(4690|4717) pub6->wait_match() before write for 01030000.02e39d51.00000007.00000102(150b3195)
(4690|4717) pub6<-match found! before write for 01030000.02e39d51.00000007.00000102(150b3195)
(4690|4717) pub6  12% (2 samples sent)
(4690|4717) pub6  25% (4 samples sent)
(4690|4717) pub6  31% (5 samples sent)
(4690|4717) pub6  43% (7 samples sent)
(4690|4717) pub6  50% (8 samples sent)
(4690|4717) pub6  62% (10 samples sent)
(4690|4717) pub6  75% (12 samples sent)
(4690|4717) pub6  81% (13 samples sent)
(4690|4717) pub6  93% (15 samples sent)
(4690|4717) pub6  100% (16 samples sent)
(4690|4717) pub6  waiting for acks
(4690|4719) pub7  waiting for acks returned
(4690|4719) pub7<-delete_contained_entities
(4690|4717) pub6  waiting for acks returned
(4690|4717) pub6<-delete_contained_entities
(4690|4715) pub3  writer id: 01030000.02e39d51.0000000a.00000102(ed9bf524)
(4690|4715) pub3->started
(4690|4715) pub3->wait_match() before write for 01030000.02e39d51.0000000a.00000102(ed9bf524)
(4690|4715) pub3<-match found! before write for 01030000.02e39d51.0000000a.00000102(ed9bf524)
(4690|4715) pub3  12% (2 samples sent)
(4690|4715) pub3  25% (4 samples sent)
(4690|4715) pub3  31% (5 samples sent)
(4690|4715) pub3  43% (7 samples sent)
(4690|4715) pub3  50% (8 samples sent)
(4690|4715) pub3  62% (10 samples sent)
(4690|4715) pub3  75% (12 samples sent)
(4690|4715) pub3  81% (13 samples sent)
(4690|4715) pub3  93% (15 samples sent)
(4690|4715) pub3  100% (16 samples sent)
(4690|4715) pub3  waiting for acks
(4690|4715) pub3  waiting for acks returned
(4690|4715) pub3<-delete_contained_entities
(4690|4752) pub50  writer id: 01030000.02e39d51.0000000d.00000102(5fbb2934)
(4690|4752) pub50->started
(4690|4752) pub50->wait_match() before write for 01030000.02e39d51.0000000d.00000102(5fbb2934)
(4690|4752) pub50<-match found! before write for 01030000.02e39d51.0000000d.00000102(5fbb2934)
(4690|4752) pub50  12% (2 samples sent)
(4690|4752) pub50  25% (4 samples sent)
(4690|4752) pub50  31% (5 samples sent)
(4690|4752) pub50  43% (7 samples sent)
(4690|4752) pub50  50% (8 samples sent)
(4690|4752) pub50  62% (10 samples sent)
(4690|4752) pub50  75% (12 samples sent)
(4690|4752) pub50  81% (13 samples sent)
(4690|4752) pub50  93% (15 samples sent)
(4690|4752) pub50  100% (16 samples sent)
(4690|4752) pub50  waiting for acks
(4690|4752) pub50  waiting for acks returned
(4690|4752) pub50<-delete_contained_entities
(4690|4725) pub2  writer id: 01030000.02e39d51.0000000c.00000102(62db0084)
(4690|4725) pub2->started
(4690|4725) pub2->wait_match() before write for 01030000.02e39d51.0000000c.00000102(62db0084)
(4690|4725) pub2<-match found! before write for 01030000.02e39d51.0000000c.00000102(62db0084)
(4690|4725) pub2  12% (2 samples sent)
(4690|4725) pub2  25% (4 samples sent)
(4690|4725) pub2  31% (5 samples sent)
(4690|4725) pub2  43% (7 samples sent)
(4690|4725) pub2  50% (8 samples sent)
(4690|4725) pub2  62% (10 samples sent)
(4690|4725) pub2  75% (12 samples sent)
(4690|4725) pub2  81% (13 samples sent)
(4690|4725) pub2  93% (15 samples sent)
(4690|4725) pub2  100% (16 samples sent)
(4690|4725) pub2  waiting for acks
(4690|4698)  sub 20% (205 samples received)
(4690|4725) pub2  waiting for acks returned
(4690|4725) pub2<-delete_contained_entities
(4690|4759) pub40  writer id: 01030000.02e39d51.00000014.00000102(324bdcc7)
(4690|4759) pub40->started
(4690|4759) pub40->wait_match() before write for 01030000.02e39d51.00000014.00000102(324bdcc7)
(4690|4759) pub40<-match found! before write for 01030000.02e39d51.00000014.00000102(324bdcc7)
(4690|4759) pub40  12% (2 samples sent)
(4690|4759) pub40  25% (4 samples sent)
(4690|4759) pub40  31% (5 samples sent)
(4690|4759) pub40  43% (7 samples sent)
(4690|4759) pub40  50% (8 samples sent)
(4690|4759) pub40  62% (10 samples sent)
(4690|4759) pub40  75% (12 samples sent)
(4690|4759) pub40  81% (13 samples sent)
(4690|4759) pub40  93% (15 samples sent)
(4690|4759) pub40  100% (16 samples sent)
(4690|4759) pub40  waiting for acks
(4690|4759) pub40  waiting for acks returned
(4690|4759) pub40<-delete_contained_entities
(4690|4722) pub11  writer id: 01030000.02e39d51.00000015.00000102(0f2bf577)
(4690|4722) pub11->started
(4690|4722) pub11->wait_match() before write for 01030000.02e39d51.00000015.00000102(0f2bf577)
(4690|4722) pub11<-match found! before write for 01030000.02e39d51.00000015.00000102(0f2bf577)
(4690|4722) pub11  12% (2 samples sent)
(4690|4722) pub11  25% (4 samples sent)
(4690|4722) pub11  31% (5 samples sent)
(4690|4722) pub11  43% (7 samples sent)
(4690|4722) pub11  50% (8 samples sent)
(4690|4722) pub11  62% (10 samples sent)
(4690|4722) pub11  75% (12 samples sent)
(4690|4722) pub11  81% (13 samples sent)
(4690|4722) pub11  93% (15 samples sent)
(4690|4722) pub11  100% (16 samples sent)
(4690|4722) pub11  waiting for acks
(4690|4710) pub19  writer id: 01030000.02e39d51.00000016.00000102(488b8fa7)
(4690|4710) pub19->started
(4690|4710) pub19->wait_match() before write for 01030000.02e39d51.00000016.00000102(488b8fa7)
(4690|4710) pub19<-match found! before write for 01030000.02e39d51.00000016.00000102(488b8fa7)
(4690|4722) pub11  waiting for acks returned
(4690|4722) pub11<-delete_contained_entities
(4690|4710) pub19  12% (2 samples sent)
(4690|4710) pub19  25% (4 samples sent)
(4690|4710) pub19  31% (5 samples sent)
(4690|4710) pub19  43% (7 samples sent)
(4690|4710) pub19  50% (8 samples sent)
(4690|4710) pub19  62% (10 samples sent)
(4690|4710) pub19  75% (12 samples sent)
(4690|4710) pub19  81% (13 samples sent)
(4690|4710) pub19  93% (15 samples sent)
(4690|4710) pub19  100% (16 samples sent)
(4690|4710) pub19  waiting for acks
(4690|4730) pub12  writer id: 01030000.02e39d51.00000017.00000102(75eba617)
(4690|4730) pub12->started
(4690|4730) pub12->wait_match() before write for 01030000.02e39d51.00000017.00000102(75eba617)
(4690|4734) pub42  writer id: 01030000.02e39d51.00000018.00000102(f7bb31c6)
(4690|4734) pub42->started
(4690|4734) pub42->wait_match() before write for 01030000.02e39d51.00000018.00000102(f7bb31c6)
(4690|4726) pub13  writer id: 01030000.02e39d51.0000001b.00000102(b01b4b16)
(4690|4726) pub13->started
(4690|4726) pub13->wait_match() before write for 01030000.02e39d51.0000001b.00000102(b01b4b16)
(4690|4730) pub12<-match found! before write for 01030000.02e39d51.00000017.00000102(75eba617)
(4690|4734) pub42<-match found! before write for 01030000.02e39d51.00000018.00000102(f7bb31c6)
(4690|4730) pub12  12% (2 samples sent)
(4690|4730) pub12  25% (4 samples sent)
(4690|4734) pub42  12% (2 samples sent)
(4690|4730) pub12  31% (5 samples sent)
(4690|4734) pub42  25% (4 samples sent)
(4690|4730) pub12  43% (7 samples sent)
(4690|4734) pub42  31% (5 samples sent)
(4690|4730) pub12  50% (8 samples sent)
(4690|4734) pub42  43% (7 samples sent)
(4690|4730) pub12  62% (10 samples sent)
(4690|4734) pub42  50% (8 samples sent)
(4690|4730) pub12  75% (12 samples sent)
(4690|4730) pub12  81% (13 samples sent)
(4690|4734) pub42  62% (10 samples sent)
(4690|4734) pub42  75% (12 samples sent)
(4690|4734) pub42  81% (13 samples sent)
(4690|4734) pub42  93% (15 samples sent)
(4690|4734) pub42  100% (16 samples sent)
(4690|4734) pub42  waiting for acks
(4690|4726) pub13<-match found! before write for 01030000.02e39d51.0000001b.00000102(b01b4b16)
(4690|4726) pub13  12% (2 samples sent)
(4690|4726) pub13  25% (4 samples sent)
(4690|4726) pub13  31% (5 samples sent)
(4690|4726) pub13  43% (7 samples sent)
(4690|4730) pub12  93% (15 samples sent)
(4690|4726) pub13  50% (8 samples sent)
(4690|4730) pub12  100% (16 samples sent)
(4690|4730) pub12  waiting for acks
(4690|4726) pub13  62% (10 samples sent)
(4690|4726) pub13  75% (12 samples sent)
(4690|4726) pub13  81% (13 samples sent)
(4690|4726) pub13  93% (15 samples sent)
(4690|4726) pub13  100% (16 samples sent)
(4690|4726) pub13  waiting for acks
(4690|4728) pub20  writer id: 01030000.02e39d51.00000019.00000102(cadb1876)
(4690|4728) pub20->started
(4690|4728) pub20->wait_match() before write for 01030000.02e39d51.00000019.00000102(cadb1876)
(4690|4701) pub15  writer id: 01030000.02e39d51.0000001a.00000102(8d7b62a6)
(4690|4701) pub15->started
(4690|4701) pub15->wait_match() before write for 01030000.02e39d51.0000001a.00000102(8d7b62a6)
(4690|4702) pub22  writer id: 01030000.02e39d51.0000001d.00000102(3f5bbeb6)
(4690|4702) pub22->started
(4690|4702) pub22->wait_match() before write for 01030000.02e39d51.0000001d.00000102(3f5bbeb6)
(4690|4742) pub44  writer id: 01030000.02e39d51.0000001e.00000102(78fbc466)
(4690|4742) pub44->started
(4690|4742) pub44->wait_match() before write for 01030000.02e39d51.0000001e.00000102(78fbc466)
(4690|4758) pub41  writer id: 01030000.02e39d51.0000001c.00000102(023b9706)
(4690|4758) pub41->started
(4690|4758) pub41->wait_match() before write for 01030000.02e39d51.0000001c.00000102(023b9706)
(4690|4710) pub19  waiting for acks returned
(4690|4710) pub19<-delete_contained_entities
(4690|4728) pub20<-match found! before write for 01030000.02e39d51.00000019.00000102(cadb1876)
(4690|4728) pub20  12% (2 samples sent)
(4690|4728) pub20  25% (4 samples sent)
(4690|4728) pub20  31% (5 samples sent)
(4690|4728) pub20  43% (7 samples sent)
(4690|4728) pub20  50% (8 samples sent)
(4690|4728) pub20  62% (10 samples sent)
(4690|4728) pub20  75% (12 samples sent)
(4690|4728) pub20  81% (13 samples sent)
(4690|4728) pub20  93% (15 samples sent)
(4690|4728) pub20  100% (16 samples sent)
(4690|4728) pub20  waiting for acks
(4690|4707) pub16  writer id: 01030000.02e39d51.0000001f.00000102(459bedd6)
(4690|4707) pub16->started
(4690|4707) pub16->wait_match() before write for 01030000.02e39d51.0000001f.00000102(459bedd6)
(4690|4745) pub49  writer id: 01030000.02e39d51.00000020.00000102(66eac281)
(4690|4745) pub49->started
(4690|4745) pub49->wait_match() before write for 01030000.02e39d51.00000020.00000102(66eac281)
(4690|4701) pub15<-match found! before write for 01030000.02e39d51.0000001a.00000102(8d7b62a6)
(4690|4734) pub42  waiting for acks returned
(4690|4734) pub42<-delete_contained_entities
(4690|4701) pub15  12% (2 samples sent)
(4690|4701) pub15  25% (4 samples sent)
(4690|4701) pub15  31% (5 samples sent)
(4690|4701) pub15  43% (7 samples sent)
(4690|4701) pub15  50% (8 samples sent)
(4690|4701) pub15  62% (10 samples sent)
(4690|4701) pub15  75% (12 samples sent)
(4690|4701) pub15  81% (13 samples sent)
(4690|4701) pub15  93% (15 samples sent)
(4690|4701) pub15  100% (16 samples sent)
(4690|4701) pub15  waiting for acks
(4690|4749) pub34  writer id: 01030000.02e39d51.00000023.00000102(214ab851)
(4690|4749) pub34->started
(4690|4749) pub34->wait_match() before write for 01030000.02e39d51.00000023.00000102(214ab851)
(4690|4702) pub22<-match found! before write for 01030000.02e39d51.0000001d.00000102(3f5bbeb6)
(4690|4702) pub22  12% (2 samples sent)
(4690|4702) pub22  25% (4 samples sent)
(4690|4702) pub22  31% (5 samples sent)
(4690|4702) pub22  43% (7 samples sent)
(4690|4702) pub22  50% (8 samples sent)
(4690|4702) pub22  62% (10 samples sent)
(4690|4702) pub22  75% (12 samples sent)
(4690|4702) pub22  81% (13 samples sent)
(4690|4702) pub22  93% (15 samples sent)
(4690|4702) pub22  100% (16 samples sent)
(4690|4702) pub22  waiting for acks
(4690|4742) pub44<-match found! before write for 01030000.02e39d51.0000001e.00000102(78fbc466)
(4690|4742) pub44  12% (2 samples sent)
(4690|4742) pub44  25% (4 samples sent)
(4690|4742) pub44  31% (5 samples sent)
(4690|4742) pub44  43% (7 samples sent)
(4690|4742) pub44  50% (8 samples sent)
(4690|4742) pub44  62% (10 samples sent)
(4690|4742) pub44  75% (12 samples sent)
(4690|4742) pub44  81% (13 samples sent)
(4690|4742) pub44  93% (15 samples sent)
(4690|4742) pub44  100% (16 samples sent)
(4690|4742) pub44  waiting for acks
(4690|4758) pub41<-match found! before write for 01030000.02e39d51.0000001c.00000102(023b9706)
(4690|4730) pub12  waiting for acks returned
(4690|4730) pub12<-delete_contained_entities
(4690|4726) pub13  waiting for acks returned
(4690|4726) pub13<-delete_contained_entities
(4690|4758) pub41  12% (2 samples sent)
(4690|4758) pub41  25% (4 samples sent)
(4690|4707) pub16<-match found! before write for 01030000.02e39d51.0000001f.00000102(459bedd6)
(4690|4745) pub49<-match found! before write for 01030000.02e39d51.00000020.00000102(66eac281)
(4690|4707) pub16  12% (2 samples sent)
(4690|4707) pub16  25% (4 samples sent)
(4690|4745) pub49  12% (2 samples sent)
(4690|4707) pub16  31% (5 samples sent)
(4690|4745) pub49  25% (4 samples sent)
(4690|4707) pub16  43% (7 samples sent)
(4690|4745) pub49  31% (5 samples sent)
(4690|4707) pub16  50% (8 samples sent)
(4690|4745) pub49  43% (7 samples sent)
(4690|4707) pub16  62% (10 samples sent)
(4690|4745) pub49  50% (8 samples sent)
(4690|4707) pub16  75% (12 samples sent)
(4690|4745) pub49  62% (10 samples sent)
(4690|4707) pub16  81% (13 samples sent)
(4690|4745) pub49  75% (12 samples sent)
(4690|4707) pub16  93% (15 samples sent)
(4690|4745) pub49  81% (13 samples sent)
(4690|4707) pub16  100% (16 samples sent)
(4690|4707) pub16  waiting for acks
(4690|4758) pub41  31% (5 samples sent)
(4690|4758) pub41  43% (7 samples sent)
(4690|4758) pub41  50% (8 samples sent)
(4690|4758) pub41  62% (10 samples sent)
(4690|4758) pub41  75% (12 samples sent)
(4690|4758) pub41  81% (13 samples sent)
(4690|4745) pub49  93% (15 samples sent)
(4690|4758) pub41  93% (15 samples sent)
(4690|4758) pub41  100% (16 samples sent)
(4690|4758) pub41  waiting for acks
(4690|4745) pub49  100% (16 samples sent)
(4690|4745) pub49  waiting for acks
(4690|4737) pub36  writer id: 01030000.02e39d51.00000025.00000102(ae0a4df1)
(4690|4737) pub36->started
(4690|4737) pub36->wait_match() before write for 01030000.02e39d51.00000025.00000102(ae0a4df1)
(4690|4749) pub34<-match found! before write for 01030000.02e39d51.00000023.00000102(214ab851)
(4690|4749) pub34  12% (2 samples sent)
(4690|4749) pub34  25% (4 samples sent)
(4690|4749) pub34  31% (5 samples sent)
(4690|4749) pub34  43% (7 samples sent)
(4690|4749) pub34  50% (8 samples sent)
(4690|4698)  sub 30% (308 samples received)
(4690|4749) pub34  62% (10 samples sent)
(4690|4749) pub34  75% (12 samples sent)
(4690|4749) pub34  81% (13 samples sent)
(4690|4749) pub34  93% (15 samples sent)
(4690|4749) pub34  100% (16 samples sent)
(4690|4749) pub34  waiting for acks
(4690|4743) pub53  writer id: 01030000.02e39d51.00000024.00000102(936a6441)
(4690|4743) pub53->started
(4690|4743) pub53->wait_match() before write for 01030000.02e39d51.00000024.00000102(936a6441)
(4690|4747) pub35  writer id: 01030000.02e39d51.00000027.00000102(d4ca1e91)
(4690|4747) pub35->started
(4690|4747) pub35->wait_match() before write for 01030000.02e39d51.00000027.00000102(d4ca1e91)
(4690|4744) pub43  writer id: 01030000.02e39d51.0000002f.00000102(e4ba5550)
(4690|4744) pub43->started
(4690|4744) pub43->wait_match() before write for 01030000.02e39d51.0000002f.00000102(e4ba5550)
(4690|4736) pub54  writer id: 01030000.02e39d51.00000026.00000102(e9aa3721)
(4690|4736) pub54->started
(4690|4736) pub54->wait_match() before write for 01030000.02e39d51.00000026.00000102(e9aa3721)
(4690|4753) pub51  writer id: 01030000.02e39d51.00000022.00000102(1c2a91e1)
(4690|4753) pub51->started
(4690|4753) pub51->wait_match() before write for 01030000.02e39d51.00000022.00000102(1c2a91e1)
(4690|4746) pub47  writer id: 01030000.02e39d51.00000032.00000102(7cca0663)
(4690|4746) pub47->started
(4690|4746) pub47->wait_match() before write for 01030000.02e39d51.00000032.00000102(7cca0663)
(4690|4751) pub45  writer id: 01030000.02e39d51.00000030.00000102(060a5503)
(4690|4751) pub45->started
(4690|4751) pub45->wait_match() before write for 01030000.02e39d51.00000030.00000102(060a5503)
(4690|4748) pub55  writer id: 01030000.02e39d51.00000034.00000102(f38af3c3)
(4690|4748) pub55->started
(4690|4748) pub55->wait_match() before write for 01030000.02e39d51.00000034.00000102(f38af3c3)
(4690|4698)  sub 40% (410 samples received)
(4690|4737) pub36<-match found! before write for 01030000.02e39d51.00000025.00000102(ae0a4df1)
(4690|4743) pub53<-match found! before write for 01030000.02e39d51.00000024.00000102(936a6441)
(4690|4737) pub36  12% (2 samples sent)
(4690|4737) pub36  25% (4 samples sent)
(4690|4743) pub53  12% (2 samples sent)
(4690|4737) pub36  31% (5 samples sent)
(4690|4743) pub53  25% (4 samples sent)
(4690|4737) pub36  43% (7 samples sent)
(4690|4743) pub53  31% (5 samples sent)
(4690|4737) pub36  50% (8 samples sent)
(4690|4737) pub36  62% (10 samples sent)
(4690|4737) pub36  75% (12 samples sent)
(4690|4737) pub36  81% (13 samples sent)
(4690|4737) pub36  93% (15 samples sent)
(4690|4737) pub36  100% (16 samples sent)
(4690|4737) pub36  waiting for acks
(4690|4756) pub46  writer id: 01030000.02e39d51.00000031.00000102(3b6a7cb3)
(4690|4756) pub46->started
(4690|4756) pub46->wait_match() before write for 01030000.02e39d51.00000031.00000102(3b6a7cb3)
(4690|4743) pub53  43% (7 samples sent)
(4690|4743) pub53  50% (8 samples sent)
(4690|4743) pub53  62% (10 samples sent)
(4690|4743) pub53  75% (12 samples sent)
(4690|4743) pub53  81% (13 samples sent)
(4690|4743) pub53  93% (15 samples sent)
(4690|4743) pub53  100% (16 samples sent)
(4690|4743) pub53  waiting for acks
(4690|4728) pub20  waiting for acks returned
(4690|4728) pub20<-delete_contained_entities
(4690|4747) pub35<-match found! before write for 01030000.02e39d51.00000027.00000102(d4ca1e91)
(4690|4747) pub35  12% (2 samples sent)
(4690|4747) pub35  25% (4 samples sent)
(4690|4747) pub35  31% (5 samples sent)
(4690|4747) pub35  43% (7 samples sent)
(4690|4747) pub35  50% (8 samples sent)
(4690|4747) pub35  62% (10 samples sent)
(4690|4747) pub35  75% (12 samples sent)
(4690|4747) pub35  81% (13 samples sent)
(4690|4747) pub35  93% (15 samples sent)
(4690|4747) pub35  100% (16 samples sent)
(4690|4747) pub35  waiting for acks
(4690|4741) pub39  writer id: 01030000.02e39d51.0000002b.00000102(113af390)
(4690|4741) pub39->started
(4690|4741) pub39->wait_match() before write for 01030000.02e39d51.0000002b.00000102(113af390)
(4690|4744) pub43<-match found! before write for 01030000.02e39d51.0000002f.00000102(e4ba5550)
(4690|4744) pub43  12% (2 samples sent)
(4690|4744) pub43  25% (4 samples sent)
(4690|4744) pub43  31% (5 samples sent)
(4690|4744) pub43  43% (7 samples sent)
(4690|4744) pub43  50% (8 samples sent)
(4690|4744) pub43  62% (10 samples sent)
(4690|4744) pub43  75% (12 samples sent)
(4690|4744) pub43  81% (13 samples sent)
(4690|4744) pub43  93% (15 samples sent)
(4690|4744) pub43  100% (16 samples sent)
(4690|4744) pub43  waiting for acks
(4690|4701) pub15  waiting for acks returned
(4690|4701) pub15<-delete_contained_entities
(4690|4736) pub54<-match found! before write for 01030000.02e39d51.00000026.00000102(e9aa3721)
(4690|4736) pub54  12% (2 samples sent)
(4690|4736) pub54  25% (4 samples sent)
(4690|4736) pub54  31% (5 samples sent)
(4690|4736) pub54  43% (7 samples sent)
(4690|4736) pub54  50% (8 samples sent)
(4690|4736) pub54  62% (10 samples sent)
(4690|4736) pub54  75% (12 samples sent)
(4690|4736) pub54  81% (13 samples sent)
(4690|4736) pub54  93% (15 samples sent)
(4690|4736) pub54  100% (16 samples sent)
(4690|4736) pub54  waiting for acks
(4690|4753) pub51<-match found! before write for 01030000.02e39d51.00000022.00000102(1c2a91e1)
(4690|4753) pub51  12% (2 samples sent)
(4690|4753) pub51  25% (4 samples sent)
(4690|4753) pub51  31% (5 samples sent)
(4690|4753) pub51  43% (7 samples sent)
(4690|4753) pub51  50% (8 samples sent)
(4690|4753) pub51  62% (10 samples sent)
(4690|4753) pub51  75% (12 samples sent)
(4690|4753) pub51  81% (13 samples sent)
(4690|4753) pub51  93% (15 samples sent)
(4690|4753) pub51  100% (16 samples sent)
(4690|4753) pub51  waiting for acks
(4690|4702) pub22  waiting for acks returned
(4690|4702) pub22<-delete_contained_entities
(4690|4742) pub44  waiting for acks returned
(4690|4742) pub44<-delete_contained_entities
(4690|4707) pub16  waiting for acks returned
(4690|4707) pub16<-delete_contained_entities
(4690|4754) pub63  writer id: 01030000.02e39d51.0000003b.00000102(71da6412)
(4690|4754) pub63->started
(4690|4754) pub63->wait_match() before write for 01030000.02e39d51.0000003b.00000102(71da6412)
(4690|4746) pub47<-match found! before write for 01030000.02e39d51.00000032.00000102(7cca0663)
(4690|4746) pub47  12% (2 samples sent)
(4690|4746) pub47  25% (4 samples sent)
(4690|4746) pub47  31% (5 samples sent)
(4690|4746) pub47  43% (7 samples sent)
(4690|4746) pub47  50% (8 samples sent)
(4690|4746) pub47  62% (10 samples sent)
(4690|4746) pub47  75% (12 samples sent)
(4690|4746) pub47  81% (13 samples sent)
(4690|4746) pub47  93% (15 samples sent)
(4690|4746) pub47  100% (16 samples sent)
(4690|4746) pub47  waiting for acks
(4690|4751) pub45<-match found! before write for 01030000.02e39d51.00000030.00000102(060a5503)
(4690|4748) pub55<-match found! before write for 01030000.02e39d51.00000034.00000102(f38af3c3)
(4690|4748) pub55  12% (2 samples sent)
(4690|4748) pub55  25% (4 samples sent)
(4690|4748) pub55  31% (5 samples sent)
(4690|4748) pub55  43% (7 samples sent)
(4690|4748) pub55  50% (8 samples sent)
(4690|4748) pub55  62% (10 samples sent)
(4690|4748) pub55  75% (12 samples sent)
(4690|4748) pub55  81% (13 samples sent)
(4690|4748) pub55  93% (15 samples sent)
(4690|4748) pub55  100% (16 samples sent)
(4690|4748) pub55  waiting for acks
(4690|4758) pub41  waiting for acks returned
(4690|4758) pub41<-delete_contained_entities
(4690|4745) pub49  waiting for acks returned
(4690|4745) pub49<-delete_contained_entities
(4690|4751) pub45  12% (2 samples sent)
(4690|4751) pub45  25% (4 samples sent)
(4690|4751) pub45  31% (5 samples sent)
(4690|4751) pub45  43% (7 samples sent)
(4690|4751) pub45  50% (8 samples sent)
(4690|4751) pub45  62% (10 samples sent)
(4690|4751) pub45  75% (12 samples sent)
(4690|4751) pub45  81% (13 samples sent)
(4690|4751) pub45  93% (15 samples sent)
(4690|4751) pub45  100% (16 samples sent)
(4690|4751) pub45  waiting for acks
(4690|4727) pub25  writer id: 01030000.02e39d51.0000002e.00000102(d9da7ce0)
(4690|4727) pub25->started
(4690|4727) pub25->wait_match() before write for 01030000.02e39d51.0000002e.00000102(d9da7ce0)
(4690|4757) pub57  writer id: 01030000.02e39d51.00000036.00000102(894aa0a3)
(4690|4757) pub57->started
(4690|4757) pub57->wait_match() before write for 01030000.02e39d51.00000036.00000102(894aa0a3)
(4690|4762) pub62  writer id: 01030000.02e39d51.0000003a.00000102(4cba4da2)
(4690|4762) pub62->started
(4690|4762) pub62->wait_match() before write for 01030000.02e39d51.0000003a.00000102(4cba4da2)
(4690|4761) pub58  writer id: 01030000.02e39d51.00000028.00000102(569a8940)
(4690|4761) pub58->started
(4690|4761) pub58->wait_match() before write for 01030000.02e39d51.00000028.00000102(569a8940)
(4690|4732) pub33  writer id: 01030000.02e39d51.00000021.00000102(5b8aeb31)
(4690|4732) pub33->started
(4690|4732) pub33->wait_match() before write for 01030000.02e39d51.00000021.00000102(5b8aeb31)
(4690|4698)  sub 50% (512 samples received)
(4690|4703) pub14  writer id: 01030000.02e39d51.0000000e.00000102(181b53e4)
(4690|4703) pub14->started
(4690|4703) pub14->wait_match() before write for 01030000.02e39d51.0000000e.00000102(181b53e4)
(4690|4756) pub46<-match found! before write for 01030000.02e39d51.00000031.00000102(3b6a7cb3)
(4690|4756) pub46  12% (2 samples sent)
(4690|4756) pub46  25% (4 samples sent)
(4690|4756) pub46  31% (5 samples sent)
(4690|4756) pub46  43% (7 samples sent)
(4690|4756) pub46  50% (8 samples sent)
(4690|4756) pub46  62% (10 samples sent)
(4690|4756) pub46  75% (12 samples sent)
(4690|4756) pub46  81% (13 samples sent)
(4690|4756) pub46  93% (15 samples sent)
(4690|4756) pub46  100% (16 samples sent)
(4690|4756) pub46  waiting for acks
(4690|4741) pub39<-match found! before write for 01030000.02e39d51.0000002b.00000102(113af390)
(4690|4741) pub39  12% (2 samples sent)
(4690|4754) pub63<-match found! before write for 01030000.02e39d51.0000003b.00000102(71da6412)
(4690|4741) pub39  25% (4 samples sent)
(4690|4741) pub39  31% (5 samples sent)
(4690|4754) pub63  12% (2 samples sent)
(4690|4741) pub39  43% (7 samples sent)
(4690|4741) pub39  50% (8 samples sent)
(4690|4754) pub63  25% (4 samples sent)
(4690|4754) pub63  31% (5 samples sent)
(4690|4741) pub39  62% (10 samples sent)
(4690|4754) pub63  43% (7 samples sent)
(4690|4741) pub39  75% (12 samples sent)
(4690|4754) pub63  50% (8 samples sent)
(4690|4741) pub39  81% (13 samples sent)
(4690|4754) pub63  62% (10 samples sent)
(4690|4741) pub39  93% (15 samples sent)
(4690|4741) pub39  100% (16 samples sent)
(4690|4741) pub39  waiting for acks
(4690|4754) pub63  75% (12 samples sent)
(4690|4754) pub63  81% (13 samples sent)
(4690|4754) pub63  93% (15 samples sent)
(4690|4754) pub63  100% (16 samples sent)
(4690|4754) pub63  waiting for acks
(4690|4749) pub34  waiting for acks returned
(4690|4749) pub34<-delete_contained_entities
(4690|4727) pub25<-match found! before write for 01030000.02e39d51.0000002e.00000102(d9da7ce0)
(4690|4757) pub57<-match found! before write for 01030000.02e39d51.00000036.00000102(894aa0a3)
(4690|4727) pub25  12% (2 samples sent)
(4690|4727) pub25  25% (4 samples sent)
(4690|4757) pub57  12% (2 samples sent)
(4690|4727) pub25  31% (5 samples sent)
(4690|4757) pub57  25% (4 samples sent)
(4690|4727) pub25  43% (7 samples sent)
(4690|4757) pub57  31% (5 samples sent)
(4690|4727) pub25  50% (8 samples sent)
(4690|4757) pub57  43% (7 samples sent)
(4690|4727) pub25  62% (10 samples sent)
(4690|4757) pub57  50% (8 samples sent)
(4690|4727) pub25  75% (12 samples sent)
(4690|4757) pub57  62% (10 samples sent)
(4690|4727) pub25  81% (13 samples sent)
(4690|4757) pub57  75% (12 samples sent)
(4690|4727) pub25  93% (15 samples sent)
(4690|4757) pub57  81% (13 samples sent)
(4690|4727) pub25  100% (16 samples sent)
(4690|4727) pub25  waiting for acks
(4690|4757) pub57  93% (15 samples sent)
(4690|4757) pub57  100% (16 samples sent)
(4690|4757) pub57  waiting for acks
(4690|4737) pub36  waiting for acks returned
(4690|4737) pub36<-delete_contained_entities
(4690|4762) pub62<-match found! before write for 01030000.02e39d51.0000003a.00000102(4cba4da2)
(4690|4762) pub62  12% (2 samples sent)
(4690|4762) pub62  25% (4 samples sent)
(4690|4762) pub62  31% (5 samples sent)
(4690|4762) pub62  43% (7 samples sent)
(4690|4762) pub62  50% (8 samples sent)
(4690|4762) pub62  62% (10 samples sent)
(4690|4762) pub62  75% (12 samples sent)
(4690|4762) pub62  81% (13 samples sent)
(4690|4762) pub62  93% (15 samples sent)
(4690|4762) pub62  100% (16 samples sent)
(4690|4762) pub62  waiting for acks
(4690|4761) pub58<-match found! before write for 01030000.02e39d51.00000028.00000102(569a8940)
(4690|4761) pub58  12% (2 samples sent)
(4690|4761) pub58  25% (4 samples sent)
(4690|4761) pub58  31% (5 samples sent)
(4690|4761) pub58  43% (7 samples sent)
(4690|4761) pub58  50% (8 samples sent)
(4690|4761) pub58  62% (10 samples sent)
(4690|4761) pub58  75% (12 samples sent)
(4690|4761) pub58  81% (13 samples sent)
(4690|4761) pub58  93% (15 samples sent)
(4690|4761) pub58  100% (16 samples sent)
(4690|4761) pub58  waiting for acks
(4690|4743) pub53  waiting for acks returned
(4690|4743) pub53<-delete_contained_entities
(4690|4747) pub35  waiting for acks returned
(4690|4747) pub35<-delete_contained_entities
(4690|4732) pub33<-match found! before write for 01030000.02e39d51.00000021.00000102(5b8aeb31)
(4690|4732) pub33  12% (2 samples sent)
(4690|4732) pub33  25% (4 samples sent)
(4690|4744) pub43  waiting for acks returned
(4690|4744) pub43<-delete_contained_entities
(4690|4732) pub33  31% (5 samples sent)
(4690|4732) pub33  43% (7 samples sent)
(4690|4736) pub54  waiting for acks returned
(4690|4736) pub54<-delete_contained_entities
(4690|4732) pub33  50% (8 samples sent)
(4690|4732) pub33  62% (10 samples sent)
(4690|4732) pub33  75% (12 samples sent)
(4690|4732) pub33  81% (13 samples sent)
(4690|4703) pub14<-match found! before write for 01030000.02e39d51.0000000e.00000102(181b53e4)
(4690|4732) pub33  93% (15 samples sent)
(4690|4732) pub33  100% (16 samples sent)
(4690|4732) pub33  waiting for acks
(4690|4703) pub14  12% (2 samples sent)
(4690|4703) pub14  25% (4 samples sent)
(4690|4703) pub14  31% (5 samples sent)
(4690|4703) pub14  43% (7 samples sent)
(4690|4703) pub14  50% (8 samples sent)
(4690|4703) pub14  62% (10 samples sent)
(4690|4703) pub14  75% (12 samples sent)
(4690|4703) pub14  81% (13 samples sent)
(4690|4703) pub14  93% (15 samples sent)
(4690|4703) pub14  100% (16 samples sent)
(4690|4703) pub14  waiting for acks
(4690|4753) pub51  waiting for acks returned
(4690|4753) pub51<-delete_contained_entities
(4690|4740) pub38  writer id: 01030000.02e39d51.00000012.00000102(bd0b2967)
(4690|4740) pub38->started
(4690|4740) pub38->wait_match() before write for 01030000.02e39d51.00000012.00000102(bd0b2967)
(4690|4746) pub47  waiting for acks returned
(4690|4746) pub47<-delete_contained_entities
(4690|4748) pub55  waiting for acks returned
(4690|4748) pub55<-delete_contained_entities
(4690|4751) pub45  waiting for acks returned
(4690|4751) pub45<-delete_contained_entities
(4690|4740) pub38<-match found! before write for 01030000.02e39d51.00000012.00000102(bd0b2967)
(4690|4740) pub38  12% (2 samples sent)
(4690|4740) pub38  25% (4 samples sent)
(4690|4740) pub38  31% (5 samples sent)
(4690|4740) pub38  43% (7 samples sent)
(4690|4740) pub38  50% (8 samples sent)
(4690|4740) pub38  62% (10 samples sent)
(4690|4740) pub38  75% (12 samples sent)
(4690|4740) pub38  81% (13 samples sent)
(4690|4740) pub38  93% (15 samples sent)
(4690|4740) pub38  100% (16 samples sent)
(4690|4740) pub38  waiting for acks
(4690|4704) pub23  writer id: 01030000.02e39d51.00000013.00000102(806b00d7)
(4690|4704) pub23->started
(4690|4704) pub23->wait_match() before write for 01030000.02e39d51.00000013.00000102(806b00d7)
(4690|4698)  sub 60% (615 samples received)
(4690|4720) pub21  writer id: 01030000.02e39d51.0000002c.00000102(a31a2f80)
(4690|4720) pub21->started
(4690|4720) pub21->wait_match() before write for 01030000.02e39d51.0000002c.00000102(a31a2f80)
(4690|4739) pub37  writer id: 01030000.02e39d51.00000029.00000102(6bfaa0f0)
(4690|4739) pub37->started
(4690|4739) pub37->wait_match() before write for 01030000.02e39d51.00000029.00000102(6bfaa0f0)
(4690|4738) pub59  writer id: 01030000.02e39d51.00000037.00000102(b42a8913)
(4690|4738) pub59->started
(4690|4738) pub59->wait_match() before write for 01030000.02e39d51.00000037.00000102(b42a8913)
(4690|4735) pub48  writer id: 01030000.02e39d51.00000033.00000102(41aa2fd3)
(4690|4735) pub48->started
(4690|4735) pub48->wait_match() before write for 01030000.02e39d51.00000033.00000102(41aa2fd3)
(4690|4713) pub32  writer id: 01030000.02e39d51.0000002a.00000102(2c5ada20)
(4690|4713) pub32->started
(4690|4713) pub32->wait_match() before write for 01030000.02e39d51.0000002a.00000102(2c5ada20)
(4690|4750) pub60  writer id: 01030000.02e39d51.00000038.00000102(367a1ec2)
(4690|4750) pub60->started
(4690|4750) pub60->wait_match() before write for 01030000.02e39d51.00000038.00000102(367a1ec2)
(4690|4760) pub61  writer id: 01030000.02e39d51.00000039.00000102(0b1a3772)
(4690|4760) pub61->started
(4690|4760) pub61->wait_match() before write for 01030000.02e39d51.00000039.00000102(0b1a3772)
(4690|4698)  sub 70% (717 samples received)
(4690|4718) pub30  writer id: 01030000.02e39d51.0000002d.00000102(9e7a0630)
(4690|4718) pub30->started
(4690|4718) pub30->wait_match() before write for 01030000.02e39d51.0000002d.00000102(9e7a0630)
(4690|4756) pub46  waiting for acks returned
(4690|4756) pub46<-delete_contained_entities
(4690|4704) pub23<-match found! before write for 01030000.02e39d51.00000013.00000102(806b00d7)
(4690|4704) pub23  12% (2 samples sent)
(4690|4704) pub23  25% (4 samples sent)
(4690|4704) pub23  31% (5 samples sent)
(4690|4704) pub23  43% (7 samples sent)
(4690|4704) pub23  50% (8 samples sent)
(4690|4704) pub23  62% (10 samples sent)
(4690|4704) pub23  75% (12 samples sent)
(4690|4704) pub23  81% (13 samples sent)
(4690|4704) pub23  93% (15 samples sent)
(4690|4704) pub23  100% (16 samples sent)
(4690|4704) pub23  waiting for acks
(4690|4741) pub39  waiting for acks returned
(4690|4741) pub39<-delete_contained_entities
(4690|4720) pub21<-match found! before write for 01030000.02e39d51.0000002c.00000102(a31a2f80)
(4690|4720) pub21  12% (2 samples sent)
(4690|4720) pub21  25% (4 samples sent)
(4690|4720) pub21  31% (5 samples sent)
(4690|4720) pub21  43% (7 samples sent)
(4690|4720) pub21  50% (8 samples sent)
(4690|4720) pub21  62% (10 samples sent)
(4690|4720) pub21  75% (12 samples sent)
(4690|4720) pub21  81% (13 samples sent)
(4690|4720) pub21  93% (15 samples sent)
(4690|4720) pub21  100% (16 samples sent)
(4690|4720) pub21  waiting for acks
(4690|4739) pub37<-match found! before write for 01030000.02e39d51.00000029.00000102(6bfaa0f0)
(4690|4739) pub37  12% (2 samples sent)
(4690|4739) pub37  25% (4 samples sent)
(4690|4739) pub37  31% (5 samples sent)
(4690|4739) pub37  43% (7 samples sent)
(4690|4739) pub37  50% (8 samples sent)
(4690|4739) pub37  62% (10 samples sent)
(4690|4739) pub37  75% (12 samples sent)
(4690|4739) pub37  81% (13 samples sent)
(4690|4739) pub37  93% (15 samples sent)
(4690|4739) pub37  100% (16 samples sent)
(4690|4739) pub37  waiting for acks
(4690|4754) pub63  waiting for acks returned
(4690|4754) pub63<-delete_contained_entities
(4690|4738) pub59<-match found! before write for 01030000.02e39d51.00000037.00000102(b42a8913)
(4690|4738) pub59  12% (2 samples sent)
(4690|4738) pub59  25% (4 samples sent)
(4690|4738) pub59  31% (5 samples sent)
(4690|4738) pub59  43% (7 samples sent)
(4690|4738) pub59  50% (8 samples sent)
(4690|4738) pub59  62% (10 samples sent)
(4690|4738) pub59  75% (12 samples sent)
(4690|4738) pub59  81% (13 samples sent)
(4690|4738) pub59  93% (15 samples sent)
(4690|4738) pub59  100% (16 samples sent)
(4690|4738) pub59  waiting for acks
(4690|4735) pub48<-match found! before write for 01030000.02e39d51.00000033.00000102(41aa2fd3)
(4690|4735) pub48  12% (2 samples sent)
(4690|4735) pub48  25% (4 samples sent)
(4690|4735) pub48  31% (5 samples sent)
(4690|4735) pub48  43% (7 samples sent)
(4690|4735) pub48  50% (8 samples sent)
(4690|4735) pub48  62% (10 samples sent)
(4690|4735) pub48  75% (12 samples sent)
(4690|4735) pub48  81% (13 samples sent)
(4690|4735) pub48  93% (15 samples sent)
(4690|4735) pub48  100% (16 samples sent)
(4690|4735) pub48  waiting for acks
(4690|4727) pub25  waiting for acks returned
(4690|4727) pub25<-delete_contained_entities
(4690|4757) pub57  waiting for acks returned
(4690|4757) pub57<-delete_contained_entities
(4690|4762) pub62  waiting for acks returned
(4690|4762) pub62<-delete_contained_entities
(4690|4713) pub32<-match found! before write for 01030000.02e39d51.0000002a.00000102(2c5ada20)
(4690|4713) pub32  12% (2 samples sent)
(4690|4713) pub32  25% (4 samples sent)
(4690|4713) pub32  31% (5 samples sent)
(4690|4713) pub32  43% (7 samples sent)
(4690|4713) pub32  50% (8 samples sent)
(4690|4713) pub32  62% (10 samples sent)
(4690|4713) pub32  75% (12 samples sent)
(4690|4713) pub32  81% (13 samples sent)
(4690|4713) pub32  93% (15 samples sent)
(4690|4713) pub32  100% (16 samples sent)
(4690|4713) pub32  waiting for acks
(4690|4761) pub58  waiting for acks returned
(4690|4761) pub58<-delete_contained_entities
(4690|4750) pub60<-match found! before write for 01030000.02e39d51.00000038.00000102(367a1ec2)
(4690|4760) pub61<-match found! before write for 01030000.02e39d51.00000039.00000102(0b1a3772)
(4690|4732) pub33  waiting for acks returned
(4690|4732) pub33<-delete_contained_entities
(4690|4760) pub61  12% (2 samples sent)
(4690|4760) pub61  25% (4 samples sent)
(4690|4750) pub60  12% (2 samples sent)
(4690|4750) pub60  25% (4 samples sent)
(4690|4750) pub60  31% (5 samples sent)
(4690|4703) pub14  waiting for acks returned
(4690|4703) pub14<-delete_contained_entities
(4690|4760) pub61  31% (5 samples sent)
(4690|4760) pub61  43% (7 samples sent)
(4690|4760) pub61  50% (8 samples sent)
(4690|4718) pub30<-match found! before write for 01030000.02e39d51.0000002d.00000102(9e7a0630)
(4690|4760) pub61  62% (10 samples sent)
(4690|4750) pub60  43% (7 samples sent)
(4690|4750) pub60  50% (8 samples sent)
(4690|4718) pub30  12% (2 samples sent)
(4690|4760) pub61  75% (12 samples sent)
(4690|4718) pub30  25% (4 samples sent)
(4690|4760) pub61  81% (13 samples sent)
(4690|4718) pub30  31% (5 samples sent)
(4690|4760) pub61  93% (15 samples sent)
(4690|4760) pub61  100% (16 samples sent)
(4690|4760) pub61  waiting for acks
(4690|4718) pub30  43% (7 samples sent)
(4690|4750) pub60  62% (10 samples sent)
(4690|4718) pub30  50% (8 samples sent)
(4690|4750) pub60  75% (12 samples sent)
(4690|4750) pub60  81% (13 samples sent)
(4690|4718) pub30  62% (10 samples sent)
(4690|4750) pub60  93% (15 samples sent)
(4690|4750) pub60  100% (16 samples sent)
(4690|4750) pub60  waiting for acks
(4690|4718) pub30  75% (12 samples sent)
(4690|4718) pub30  81% (13 samples sent)
(4690|4718) pub30  93% (15 samples sent)
(4690|4718) pub30  100% (16 samples sent)
(4690|4718) pub30  waiting for acks
(4690|4733) pub56  writer id: 01030000.02e39d51.00000035.00000102(ceeada73)
(4690|4733) pub56->started
(4690|4733) pub56->wait_match() before write for 01030000.02e39d51.00000035.00000102(ceeada73)
(4690|4698)  sub 80% (820 samples received)
(4690|4706) pub5  writer id: 01030000.02e39d51.00000006.00000102(286b1825)
(4690|4706) pub5->started
(4690|4706) pub5->wait_match() before write for 01030000.02e39d51.00000006.00000102(286b1825)
(4690|4699) pub0<-delete_participant
(4690|4711) pub26  writer id: 01030000.02e39d51.0000003c.00000102(c3fab802)
(4690|4711) pub26->started
(4690|4711) pub26->wait_match() before write for 01030000.02e39d51.0000003c.00000102(c3fab802)
(4690|4721) pub28  writer id: 01030000.02e39d51.0000003d.00000102(fe9a91b2)
(4690|4721) pub28->started
(4690|4721) pub28->wait_match() before write for 01030000.02e39d51.0000003d.00000102(fe9a91b2)
(4690|4724) pub27  writer id: 01030000.02e39d51.0000003f.00000102(845ac2d2)
(4690|4724) pub27->started
(4690|4724) pub27->wait_match() before write for 01030000.02e39d51.0000003f.00000102(845ac2d2)
(4690|4708) pub24  writer id: 01030000.02e39d51.0000003e.00000102(b93aeb62)
(4690|4708) pub24->started
(4690|4708) pub24->wait_match() before write for 01030000.02e39d51.0000003e.00000102(b93aeb62)
(4690|4712) pub18  writer id: 01030000.02e39d51.00000040.00000102(ffd8b5cc)
(4690|4712) pub18->started
(4690|4712) pub18->wait_match() before write for 01030000.02e39d51.00000040.00000102(ffd8b5cc)
(4690|4740) pub38  waiting for acks returned
(4690|4740) pub38<-delete_contained_entities
(4690|4704) pub23  waiting for acks returned
(4690|4704) pub23<-delete_contained_entities
(4690|4720) pub21  waiting for acks returned
(4690|4720) pub21<-delete_contained_entities
(4690|4733) pub56<-match found! before write for 01030000.02e39d51.00000035.00000102(ceeada73)
(4690|4733) pub56  12% (2 samples sent)
(4690|4733) pub56  25% (4 samples sent)
(4690|4733) pub56  31% (5 samples sent)
(4690|4733) pub56  43% (7 samples sent)
(4690|4733) pub56  50% (8 samples sent)
(4690|4733) pub56  62% (10 samples sent)
(4690|4733) pub56  75% (12 samples sent)
(4690|4733) pub56  81% (13 samples sent)
(4690|4733) pub56  93% (15 samples sent)
(4690|4733) pub56  100% (16 samples sent)
(4690|4733) pub56  waiting for acks
(4690|4716) pub29  writer id: 01030000.02e39d51.00000041.00000102(c2b89c7c)
(4690|4716) pub29->started
(4690|4716) pub29->wait_match() before write for 01030000.02e39d51.00000041.00000102(c2b89c7c)
(4690|4739) pub37  waiting for acks returned
(4690|4739) pub37<-delete_contained_entities
(4690|4738) pub59  waiting for acks returned
(4690|4738) pub59<-delete_contained_entities
(4690|4735) pub48  waiting for acks returned
(4690|4735) pub48<-delete_contained_entities
(4690|4706) pub5<-match found! before write for 01030000.02e39d51.00000006.00000102(286b1825)
(4690|4706) pub5  12% (2 samples sent)
(4690|4706) pub5  25% (4 samples sent)
(4690|4706) pub5  31% (5 samples sent)
(4690|4706) pub5  43% (7 samples sent)
(4690|4706) pub5  50% (8 samples sent)
(4690|4706) pub5  62% (10 samples sent)
(4690|4706) pub5  75% (12 samples sent)
(4690|4706) pub5  81% (13 samples sent)
(4690|4706) pub5  93% (15 samples sent)
(4690|4706) pub5  100% (16 samples sent)
(4690|4706) pub5  waiting for acks
(4690|4713) pub32  waiting for acks returned
(4690|4713) pub32<-delete_contained_entities
(4690|4711) pub26<-match found! before write for 01030000.02e39d51.0000003c.00000102(c3fab802)
(4690|4711) pub26  12% (2 samples sent)
(4690|4711) pub26  25% (4 samples sent)
(4690|4711) pub26  31% (5 samples sent)
(4690|4711) pub26  43% (7 samples sent)
(4690|4711) pub26  50% (8 samples sent)
(4690|4711) pub26  62% (10 samples sent)
(4690|4714) pub8<-delete_participant
(4690|4711) pub26  75% (12 samples sent)
(4690|4711) pub26  81% (13 samples sent)
(4690|4711) pub26  93% (15 samples sent)
(4690|4711) pub26  100% (16 samples sent)
(4690|4711) pub26  waiting for acks
(4690|4721) pub28<-match found! before write for 01030000.02e39d51.0000003d.00000102(fe9a91b2)
(4690|4721) pub28  12% (2 samples sent)
(4690|4721) pub28  25% (4 samples sent)
(4690|4721) pub28  31% (5 samples sent)
(4690|4721) pub28  43% (7 samples sent)
(4690|4721) pub28  50% (8 samples sent)
(4690|4721) pub28  62% (10 samples sent)
(4690|4721) pub28  75% (12 samples sent)
(4690|4721) pub28  81% (13 samples sent)
(4690|4721) pub28  93% (15 samples sent)
(4690|4721) pub28  100% (16 samples sent)
(4690|4721) pub28  waiting for acks
(4690|4724) pub27<-match found! before write for 01030000.02e39d51.0000003f.00000102(845ac2d2)
(4690|4724) pub27  12% (2 samples sent)
(4690|4724) pub27  25% (4 samples sent)
(4690|4724) pub27  31% (5 samples sent)
(4690|4724) pub27  43% (7 samples sent)
(4690|4724) pub27  50% (8 samples sent)
(4690|4724) pub27  62% (10 samples sent)
(4690|4724) pub27  75% (12 samples sent)
(4690|4724) pub27  81% (13 samples sent)
(4690|4724) pub27  93% (15 samples sent)
(4690|4724) pub27  100% (16 samples sent)
(4690|4724) pub27  waiting for acks
(4690|4760) pub61  waiting for acks returned
(4690|4760) pub61<-delete_contained_entities
(4690|4708) pub24<-match found! before write for 01030000.02e39d51.0000003e.00000102(b93aeb62)
(4690|4708) pub24  12% (2 samples sent)
(4690|4708) pub24  25% (4 samples sent)
(4690|4708) pub24  31% (5 samples sent)
(4690|4708) pub24  43% (7 samples sent)
(4690|4708) pub24  50% (8 samples sent)
(4690|4708) pub24  62% (10 samples sent)
(4690|4708) pub24  75% (12 samples sent)
(4690|4708) pub24  81% (13 samples sent)
(4690|4708) pub24  93% (15 samples sent)
(4690|4708) pub24  100% (16 samples sent)
(4690|4708) pub24  waiting for acks
(4690|4750) pub60  waiting for acks returned
(4690|4750) pub60<-delete_contained_entities
(4690|4712) pub18<-match found! before write for 01030000.02e39d51.00000040.00000102(ffd8b5cc)
(4690|4712) pub18  12% (2 samples sent)
(4690|4712) pub18  25% (4 samples sent)
(4690|4712) pub18  31% (5 samples sent)
(4690|4712) pub18  43% (7 samples sent)
(4690|4712) pub18  50% (8 samples sent)
(4690|4712) pub18  62% (10 samples sent)
(4690|4712) pub18  75% (12 samples sent)
(4690|4712) pub18  81% (13 samples sent)
(4690|4712) pub18  93% (15 samples sent)
(4690|4712) pub18  100% (16 samples sent)
(4690|4712) pub18  waiting for acks
(4690|4718) pub30  waiting for acks returned
(4690|4718) pub30<-delete_contained_entities
(4690|4716) pub29<-match found! before write for 01030000.02e39d51.00000041.00000102(c2b89c7c)
(4690|4716) pub29  12% (2 samples sent)
(4690|4716) pub29  25% (4 samples sent)
(4690|4716) pub29  31% (5 samples sent)
(4690|4716) pub29  43% (7 samples sent)
(4690|4716) pub29  50% (8 samples sent)
(4690|4716) pub29  62% (10 samples sent)
(4690|4716) pub29  75% (12 samples sent)
(4690|4716) pub29  81% (13 samples sent)
(4690|4716) pub29  93% (15 samples sent)
(4690|4716) pub29  100% (16 samples sent)
(4690|4716) pub29  waiting for acks
(4690|4733) pub56  waiting for acks returned
(4690|4733) pub56<-delete_contained_entities
(4690|4709) pub4<-delete_participant
(4690|4698)  sub 90% (922 samples received)
(4690|4700) pub1<-delete_participant
(4690|4706) pub5  waiting for acks returned
(4690|4706) pub5<-delete_contained_entities
(4690|4711) pub26  waiting for acks returned
(4690|4711) pub26<-delete_contained_entities
(4690|4721) pub28  waiting for acks returned
(4690|4721) pub28<-delete_contained_entities
(4690|4724) pub27  waiting for acks returned
(4690|4724) pub27<-delete_contained_entities
(4690|4708) pub24  waiting for acks returned
(4690|4708) pub24<-delete_contained_entities
(4690|4712) pub18  waiting for acks returned
(4690|4712) pub18<-delete_contained_entities
(4690|4716) pub29  waiting for acks returned
(4690|4716) pub29<-delete_contained_entities
(4690|4705) pub31<-delete_participant
(4690|4723) pub9<-delete_participant
(4690|4729) pub17  writer id: 01030000.02e39d51.00000042.00000102(8518e6ac)
(4690|4729) pub17->started
(4690|4729) pub17->wait_match() before write for 01030000.02e39d51.00000042.00000102(8518e6ac)
(4690|4729) pub17<-match found! before write for 01030000.02e39d51.00000042.00000102(8518e6ac)
(4690|4729) pub17  12% (2 samples sent)
(4690|4729) pub17  25% (4 samples sent)
(4690|4729) pub17  31% (5 samples sent)
(4690|4729) pub17  43% (7 samples sent)
(4690|4729) pub17  50% (8 samples sent)
(4690|4729) pub17  62% (10 samples sent)
(4690|4698) sub condition_.notify_all
(4690|4729) pub17  75% (12 samples sent)
(4690|4698) sub condition_.notify_all
(4690|4698) sub condition_.notify_all
(4690|4698) sub condition_.notify_all
(4690|4729) pub17  81% (13 samples sent)
(4690|4698) sub condition_.notify_all
(4690|4729) pub17  93% (15 samples sent)
(4690|4698) sub condition_.notify_all
(4690|4729) pub17  100% (16 samples sent)
(4690|4729) pub17  waiting for acks
(4690|4698) sub condition_.notify_all
(4690|4698) sub condition_.notify_all
(4690|4690) sub condition_.wait returned
(4690|4690) sub check_received
(4690|4690) sub check_received returns 0
(4690|4690) <- PublisherService::end
(4690|4698) sub condition_.notify_all
(4690|4698) sub condition_.notify_all
(4690|4698) sub condition_.notify_all
(4690|4698) sub condition_.notify_all
(4690|4698) sub condition_.notify_all
(4690|4698) sub condition_.notify_all
(4690|4698) sub condition_.notify_all
(4690|4698)  sub 100% (1024 samples received)
(4690|4698) sub condition_.notify_all
(4690|4729) pub17  waiting for acks returned
(4690|4729) pub17<-delete_contained_entities
(4690|4755) pub52<-delete_participant
(4690|4731) pub10<-delete_participant
(4690|4719) pub7<-delete_participant
(4690|4717) pub6<-delete_participant
(4690|4715) pub3<-delete_participant
(4690|4752) pub50<-delete_participant
(4690|4725) pub2<-delete_participant
(4690|4759) pub40<-delete_participant
(4690|4722) pub11<-delete_participant
(4690|4710) pub19<-delete_participant
(4690|4734) pub42<-delete_participant
(4690|4730) pub12<-delete_participant
(4690|4726) pub13<-delete_participant
(4690|4728) pub20<-delete_participant
(4690|4701) pub15<-delete_participant
(4690|4702) pub22<-delete_participant
(4690|4742) pub44<-delete_participant
(4690|4707) pub16<-delete_participant
(4690|4758) pub41<-delete_participant
(4690|4745) pub49<-delete_participant
(4690|4749) pub34<-delete_participant
(4690|4737) pub36<-delete_participant
(4690|4743) pub53<-delete_participant
(4690|4747) pub35<-delete_participant
(4690|4744) pub43<-delete_participant
(4690|4736) pub54<-delete_participant
(4690|4753) pub51<-delete_participant
(4690|4746) pub47<-delete_participant
(4690|4748) pub55<-delete_participant
(4690|4751) pub45<-delete_participant
(4690|4756) pub46<-delete_participant
(4690|4741) pub39<-delete_participant
(4690|4754) pub63<-delete_participant
(4690|4727) pub25<-delete_participant
(4690|4757) pub57<-delete_participant
(4690|4762) pub62<-delete_participant
(4690|4761) pub58<-delete_participant
(4690|4732) pub33<-delete_participant
(4690|4703) pub14<-delete_participant
(4690|4740) pub38<-delete_participant
(4690|4704) pub23<-delete_participant
(4690|4720) pub21<-delete_participant
(4690|4739) pub37<-delete_participant
(4690|4738) pub59<-delete_participant
(4690|4735) pub48<-delete_participant
(4690|4713) pub32<-delete_participant
(4690|4760) pub61<-delete_participant
(4690|4750) pub60<-delete_participant
(4690|4718) pub30<-delete_participant
(4690|4733) pub56<-delete_participant
(4690|4706) pub5<-delete_participant
(4690|4711) pub26<-delete_participant
(4690|4721) pub28<-delete_participant
(4690|4724) pub27<-delete_participant
(4690|4708) pub24<-delete_participant
(4690|4712) pub18<-delete_participant
(4690|4716) pub29<-delete_participant
(4690|4729) pub17<-delete_participant
(4690|4690) <- PublisherService::~PublisherService
(4690|4690) <- Subscriber delete_contained_entities
(4690|4696) WARNING: DataReaderImpl::accept_sample_processing - subscription 01030000.02e39d51.00000002.000001c7(36e588da) failed to find publication data for 01030000.02e39d51.00000001.000001c2(012f0685).
(4690|4690) <- Subscriber delete_participant
(4690|4690) <- Subscriber::~Subscriber
(4690|4690) <- Thrasher shutdown
(4690|4696) WARNING: TransportReceiveStrategy::~TransportReceiveStrategy() - terminating with 11 unprocessed bytes.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl aggressive Time:4s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl single rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1 -n 1 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 4764 started at 2023-04-28 16:21:35
(4764|4764) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(4764|4764) -> Thrasher started
(4764|4764) -> Subscriber::Subscriber
(4764|4764)    Subscriber reader id: 0103000c.297a35f2.129cbab6.00000007(ca9f3222)
(4764|4764) -> PublisherService::PublisherService
(4764|4764) -> PublisherService::start (1 threads)
(4764|4764) sub wait_received 0:1
(4764|4770) pub0->transport cfg_0
(4764|4770) pub0  writer id: 0103000c.297a35f2.129cbab7.00000002(8795ef1d)
(4764|4770) pub0->started
(4764|4770) pub0->wait_match() before write for 0103000c.297a35f2.129cbab7.00000002(8795ef1d)
(4764|4770) pub0<-match found! before write for 0103000c.297a35f2.129cbab7.00000002(8795ef1d)
(4764|4770) pub0  100% (1 samples sent)
(4764|4770) pub0  waiting for acks
(4764|4769)  sub 100% (1 samples received)
(4764|4769) sub condition_.notify_all
(4764|4764) sub condition_.wait returned
(4764|4764) sub check_received
(4764|4764) sub check_received returns 0
(4764|4764) <- PublisherService::end
(4764|4770) pub0  waiting for acks returned
(4764|4770) pub0<-delete_contained_entities
(4764|4770) pub0<-delete_participant
(4764|4764) <- PublisherService::~PublisherService
(4764|4764) <- Subscriber delete_contained_entities
(4764|4764) <- Subscriber delete_participant
(4764|4764) <- Subscriber::~Subscriber
(4764|4764) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl single rtps Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl double rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 2 -s 1 -n 2 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 4776 started at 2023-04-28 16:21:36
(4776|4776) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(4776|4776) -> Thrasher started
(4776|4776) -> Subscriber::Subscriber
(4776|4776)    Subscriber reader id: 0103000c.297a35f2.12a81254.00000007(31e8b45f)
(4776|4776) -> PublisherService::PublisherService
(4776|4776) -> PublisherService::start (2 threads)
(4776|4776) sub wait_received 0:2
(4776|4783) pub1->transport cfg_1
(4776|4782) pub0->transport cfg_0
(4776|4783) pub1  writer id: 0103000c.297a35f2.12a81256.00000002(3b4213b0)
(4776|4783) pub1->started
(4776|4783) pub1->wait_match() before write for 0103000c.297a35f2.12a81256.00000002(3b4213b0)
(4776|4782) pub0  writer id: 0103000c.297a35f2.12a81255.00000002(7ce26960)
(4776|4782) pub0->started
(4776|4782) pub0->wait_match() before write for 0103000c.297a35f2.12a81255.00000002(7ce26960)
(4776|4782) pub0<-match found! before write for 0103000c.297a35f2.12a81255.00000002(7ce26960)
(4776|4782) pub0  100% (1 samples sent)
(4776|4782) pub0  waiting for acks
(4776|4783) pub1<-match found! before write for 0103000c.297a35f2.12a81256.00000002(3b4213b0)
(4776|4783) pub1  100% (1 samples sent)
(4776|4783) pub1  waiting for acks
(4776|4781)  sub 50% (1 samples received)
(4776|4782) pub0  waiting for acks returned
(4776|4782) pub0<-delete_contained_entities
(4776|4782) pub0<-delete_participant
(4776|4781)  sub 100% (2 samples received)
(4776|4781) sub condition_.notify_all
(4776|4776) sub condition_.wait returned
(4776|4776) sub check_received
(4776|4776) sub check_received returns 0
(4776|4776) <- PublisherService::end
(4776|4783) pub1  waiting for acks returned
(4776|4783) pub1<-delete_contained_entities
(4776|4783) pub1<-delete_participant
(4776|4776) <- PublisherService::~PublisherService
(4776|4776) <- Subscriber delete_contained_entities
(4776|4776) <- Subscriber delete_participant
(4776|4776) <- Subscriber::~Subscriber
(4776|4776) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl double rtps Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl triangle rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 3 -s 3 -n 9 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 4793 started at 2023-04-28 16:21:37
(4793|4793) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(4793|4793) -> Thrasher started
(4793|4793) -> Subscriber::Subscriber
(4793|4793)    Subscriber reader id: 0103000c.297a35f2.12b90006.00000007(fc8c1c1e)
(4793|4793) -> PublisherService::PublisherService
(4793|4793) -> PublisherService::start (3 threads)
(4793|4793) sub wait_received 0:3
(4793|4801) pub1->transport cfg_1
(4793|4799) pub0->transport cfg_0
(4793|4800) pub2->transport cfg_2
(4793|4801) pub1  writer id: 0103000c.297a35f2.12b90008.00000002(33d656f0)
(4793|4801) pub1->started
(4793|4801) pub1->wait_match() before write for 0103000c.297a35f2.12b90008.00000002(33d656f0)
(4793|4800) pub2  writer id: 0103000c.297a35f2.12b90009.00000002(0eb67f40)
(4793|4800) pub2->started
(4793|4800) pub2->wait_match() before write for 0103000c.297a35f2.12b90009.00000002(0eb67f40)
(4793|4799) pub0  writer id: 0103000c.297a35f2.12b90007.00000002(b186c121)
(4793|4799) pub0->started
(4793|4799) pub0->wait_match() before write for 0103000c.297a35f2.12b90007.00000002(b186c121)
(4793|4801) pub1<-match found! before write for 0103000c.297a35f2.12b90008.00000002(33d656f0)
(4793|4801) pub1  33% (1 samples sent)
(4793|4801) pub1  66% (2 samples sent)
(4793|4801) pub1  100% (3 samples sent)
(4793|4801) pub1  waiting for acks
(4793|4800) pub2<-match found! before write for 0103000c.297a35f2.12b90009.00000002(0eb67f40)
(4793|4800) pub2  33% (1 samples sent)
(4793|4800) pub2  66% (2 samples sent)
(4793|4800) pub2  100% (3 samples sent)
(4793|4800) pub2  waiting for acks
(4793|4798)  sub 11% (1 samples received)
(4793|4798)  sub 22% (2 samples received)
(4793|4798)  sub 33% (3 samples received)
(4793|4800) pub2  waiting for acks returned
(4793|4800) pub2<-delete_contained_entities
(4793|4799) pub0<-match found! before write for 0103000c.297a35f2.12b90007.00000002(b186c121)
(4793|4799) pub0  33% (1 samples sent)
(4793|4799) pub0  66% (2 samples sent)
(4793|4799) pub0  100% (3 samples sent)
(4793|4799) pub0  waiting for acks
(4793|4800) pub2<-delete_participant
(4793|4798)  sub 44% (4 samples received)
(4793|4798)  sub 55% (5 samples received)
(4793|4798)  sub 66% (6 samples received)
(4793|4799) pub0  waiting for acks returned
(4793|4799) pub0<-delete_contained_entities
(4793|4798)  sub 77% (7 samples received)
(4793|4798) sub condition_.notify_all
(4793|4793) sub condition_.wait returned
(4793|4793) sub check_received
(4793|4793) sub check_received returns 0
(4793|4793) <- PublisherService::end
(4793|4798)  sub 88% (8 samples received)
(4793|4798) sub condition_.notify_all
(4793|4798)  sub 100% (9 samples received)
(4793|4798) sub condition_.notify_all
(4793|4801) pub1  waiting for acks returned
(4793|4801) pub1<-delete_contained_entities
(4793|4799) pub0<-delete_participant
(4793|4801) pub1<-delete_participant
(4793|4793) <- PublisherService::~PublisherService
(4793|4793) <- Subscriber delete_contained_entities
(4793|4793) <- Subscriber delete_participant
(4793|4793) <- Subscriber::~Subscriber
(4793|4793) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl triangle rtps Time:2s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl default rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1024 -n 1024 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 4815 started at 2023-04-28 16:21:39
(4815|4815) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(4815|4815) -> Thrasher started
(4815|4815) -> Subscriber::Subscriber
(4815|4815)    Subscriber reader id: 0103000c.297a35f2.12cfe971.00000007(098d8f9a)
(4815|4815) -> PublisherService::PublisherService
(4815|4815) -> PublisherService::start (1 threads)
(4815|4815) sub wait_received 0:1
(4815|4821) pub0->transport cfg_0
(4815|4821) pub0  writer id: 0103000c.297a35f2.12cfe972.00000002(3e4701c5)
(4815|4821) pub0->started
(4815|4821) pub0->wait_match() before write for 0103000c.297a35f2.12cfe972.00000002(3e4701c5)
(4815|4821) pub0<-match found! before write for 0103000c.297a35f2.12cfe972.00000002(3e4701c5)
(4815|4821) pub0  10% (103 samples sent)
(4815|4821) pub0  20% (205 samples sent)
(4815|4821) pub0  30% (308 samples sent)
(4815|4821) pub0  40% (410 samples sent)
(4815|4821) pub0  50% (512 samples sent)
(4815|4821) pub0  60% (615 samples sent)
(4815|4821) pub0  70% (717 samples sent)
(4815|4821) pub0  80% (820 samples sent)
(4815|4821) pub0  90% (922 samples sent)
(4815|4820) sub condition_.notify_all
(4815|4815) sub condition_.wait returned
(4815|4815) sub check_received
(4815|4815) sub check_received returns 0
(4815|4815) <- PublisherService::end
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4821) pub0  100% (1024 samples sent)
(4815|4821) pub0  waiting for acks
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820)  sub 10% (103 samples received)
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820)  sub 20% (205 samples received)
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820)  sub 30% (308 samples received)
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820)  sub 40% (410 samples received)
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820)  sub 50% (512 samples received)
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820)  sub 60% (615 samples received)
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820)  sub 70% (717 samples received)
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820)  sub 80% (820 samples received)
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820)  sub 90% (922 samples received)
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820) sub condition_.notify_all
(4815|4820)  sub 100% (1024 samples received)
(4815|4820) sub condition_.notify_all
(4815|4821) pub0  waiting for acks returned
(4815|4821) pub0<-delete_contained_entities
(4815|4821) pub0<-delete_participant
(4815|4815) <- PublisherService::~PublisherService
(4815|4815) <- Subscriber delete_contained_entities
(4815|4815) <- Subscriber delete_participant
(4815|4815) <- Subscriber::~Subscriber
(4815|4815) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl default rtps Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl low rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 8 -s 128 -n 1024 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 4827 started at 2023-04-28 16:21:40
(4827|4827) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(4827|4827) -> Thrasher started
(4827|4827) -> Subscriber::Subscriber
(4827|4827)    Subscriber reader id: 0103000c.297a35f2.12dba5b1.00000007(0b93158b)
(4827|4827) -> PublisherService::PublisherService
(4827|4827) -> PublisherService::start (8 threads)
(4827|4827) sub wait_received 0:8
(4827|4833) pub0->transport cfg_0
(4827|4834) pub1->transport cfg_1
(4827|4836) pub5->transport cfg_5
(4827|4835) pub3->transport cfg_3
(4827|4838) pub2->transport cfg_2
(4827|4837) pub6->transport cfg_6
(4827|4839) pub4->transport cfg_4
(4827|4840) pub7->transport cfg_7
(4827|4833) pub0  writer id: 0103000c.297a35f2.12dba5b2.00000002(3c599bd4)
(4827|4836) pub5  writer id: 0103000c.297a35f2.12dba5b6.00000002(c9d93d14)
(4827|4836) pub5->started
(4827|4836) pub5->wait_match() before write for 0103000c.297a35f2.12dba5b6.00000002(c9d93d14)
(4827|4837) pub6  writer id: 0103000c.297a35f2.12dba5b8.00000002(76e98375)
(4827|4837) pub6->started
(4827|4837) pub6->wait_match() before write for 0103000c.297a35f2.12dba5b8.00000002(76e98375)
(4827|4839) pub4  writer id: 0103000c.297a35f2.12dba5b7.00000002(f4b914a4)
(4827|4839) pub4->started
(4827|4839) pub4->wait_match() before write for 0103000c.297a35f2.12dba5b7.00000002(f4b914a4)
(4827|4833) pub0->started
(4827|4833) pub0->wait_match() before write for 0103000c.297a35f2.12dba5b2.00000002(3c599bd4)
(4827|4834) pub1  writer id: 0103000c.297a35f2.12dba5b3.00000002(0139b264)
(4827|4834) pub1->started
(4827|4834) pub1->wait_match() before write for 0103000c.297a35f2.12dba5b3.00000002(0139b264)
(4827|4835) pub3  writer id: 0103000c.297a35f2.12dba5b5.00000002(8e7947c4)
(4827|4835) pub3->started
(4827|4835) pub3->wait_match() before write for 0103000c.297a35f2.12dba5b5.00000002(8e7947c4)
(4827|4840) pub7  writer id: 0103000c.297a35f2.12dba5b9.00000002(4b89aac5)
(4827|4840) pub7->started
(4827|4840) pub7->wait_match() before write for 0103000c.297a35f2.12dba5b9.00000002(4b89aac5)
(4827|4838) pub2  writer id: 0103000c.297a35f2.12dba5b4.00000002(b3196e74)
(4827|4838) pub2->started
(4827|4838) pub2->wait_match() before write for 0103000c.297a35f2.12dba5b4.00000002(b3196e74)
(4827|4838) pub2<-match found! before write for 0103000c.297a35f2.12dba5b4.00000002(b3196e74)
(4827|4838) pub2  10% (13 samples sent)
(4827|4838) pub2  20% (26 samples sent)
(4827|4838) pub2  30% (39 samples sent)
(4827|4839) pub4<-match found! before write for 0103000c.297a35f2.12dba5b7.00000002(f4b914a4)
(4827|4839) pub4  10% (13 samples sent)
(4827|4838) pub2  40% (52 samples sent)
(4827|4834) pub1<-match found! before write for 0103000c.297a35f2.12dba5b3.00000002(0139b264)
(4827|4834) pub1  10% (13 samples sent)
(4827|4833) pub0<-match found! before write for 0103000c.297a35f2.12dba5b2.00000002(3c599bd4)
(4827|4839) pub4  20% (26 samples sent)
(4827|4837) pub6<-match found! before write for 0103000c.297a35f2.12dba5b8.00000002(76e98375)
(4827|4833) pub0  10% (13 samples sent)
(4827|4838) pub2  50% (64 samples sent)
(4827|4834) pub1  20% (26 samples sent)
(4827|4836) pub5<-match found! before write for 0103000c.297a35f2.12dba5b6.00000002(c9d93d14)
(4827|4837) pub6  10% (13 samples sent)
(4827|4836) pub5  10% (13 samples sent)
(4827|4835) pub3<-match found! before write for 0103000c.297a35f2.12dba5b5.00000002(8e7947c4)
(4827|4835) pub3  10% (13 samples sent)
(4827|4839) pub4  30% (39 samples sent)
(4827|4833) pub0  20% (26 samples sent)
(4827|4838) pub2  60% (77 samples sent)
(4827|4833) pub0  30% (39 samples sent)
(4827|4836) pub5  20% (26 samples sent)
(4827|4838) pub2  70% (90 samples sent)
(4827|4837) pub6  20% (26 samples sent)
(4827|4834) pub1  30% (39 samples sent)
(4827|4839) pub4  40% (52 samples sent)
(4827|4835) pub3  20% (26 samples sent)
(4827|4836) pub5  30% (39 samples sent)
(4827|4835) pub3  30% (39 samples sent)
(4827|4837) pub6  30% (39 samples sent)
(4827|4834) pub1  40% (52 samples sent)
(4827|4838) pub2  80% (103 samples sent)
(4827|4833) pub0  40% (52 samples sent)
(4827|4839) pub4  50% (64 samples sent)
(4827|4835) pub3  40% (52 samples sent)
(4827|4834) pub1  50% (64 samples sent)
(4827|4836) pub5  40% (52 samples sent)
(4827|4838) pub2  90% (116 samples sent)
(4827|4837) pub6  40% (52 samples sent)
(4827|4833) pub0  50% (64 samples sent)
(4827|4839) pub4  60% (77 samples sent)
(4827|4835) pub3  50% (64 samples sent)
(4827|4839) pub4  70% (90 samples sent)
(4827|4837) pub6  50% (64 samples sent)
(4827|4834) pub1  60% (77 samples sent)
(4827|4838) pub2  100% (128 samples sent)
(4827|4838) pub2  waiting for acks
(4827|4833) pub0  60% (77 samples sent)
(4827|4836) pub5  50% (64 samples sent)
(4827|4832)  sub 10% (103 samples received)
(4827|4835) pub3  60% (77 samples sent)
(4827|4834) pub1  70% (90 samples sent)
(4827|4833) pub0  70% (90 samples sent)
(4827|4836) pub5  60% (77 samples sent)
(4827|4837) pub6  60% (77 samples sent)
(4827|4839) pub4  80% (103 samples sent)
(4827|4840) pub7<-match found! before write for 0103000c.297a35f2.12dba5b9.00000002(4b89aac5)
(4827|4839) pub4  90% (116 samples sent)
(4827|4835) pub3  70% (90 samples sent)
(4827|4837) pub6  70% (90 samples sent)
(4827|4840) pub7  10% (13 samples sent)
(4827|4833) pub0  80% (103 samples sent)
(4827|4834) pub1  80% (103 samples sent)
(4827|4834) pub1  90% (116 samples sent)
(4827|4835) pub3  80% (103 samples sent)
(4827|4840) pub7  20% (26 samples sent)
(4827|4836) pub5  70% (90 samples sent)
(4827|4839) pub4  100% (128 samples sent)
(4827|4839) pub4  waiting for acks
(4827|4837) pub6  80% (103 samples sent)
(4827|4833) pub0  90% (116 samples sent)
(4827|4840) pub7  30% (39 samples sent)
(4827|4835) pub3  90% (116 samples sent)
(4827|4833) pub0  100% (128 samples sent)
(4827|4833) pub0  waiting for acks
(4827|4836) pub5  80% (103 samples sent)
(4827|4837) pub6  90% (116 samples sent)
(4827|4834) pub1  100% (128 samples sent)
(4827|4834) pub1  waiting for acks
(4827|4840) pub7  40% (52 samples sent)
(4827|4835) pub3  100% (128 samples sent)
(4827|4835) pub3  waiting for acks
(4827|4837) pub6  100% (128 samples sent)
(4827|4837) pub6  waiting for acks
(4827|4836) pub5  90% (116 samples sent)
(4827|4840) pub7  50% (64 samples sent)
(4827|4836) pub5  100% (128 samples sent)
(4827|4840) pub7  60% (77 samples sent)
(4827|4836) pub5  waiting for acks
(4827|4840) pub7  70% (90 samples sent)
(4827|4840) pub7  80% (103 samples sent)
(4827|4840) pub7  90% (116 samples sent)
(4827|4840) pub7  100% (128 samples sent)
(4827|4840) pub7  waiting for acks
(4827|4832)  sub 20% (205 samples received)
(4827|4832)  sub 30% (308 samples received)
(4827|4832)  sub 40% (410 samples received)
(4827|4832)  sub 50% (512 samples received)
(4827|4832)  sub 60% (615 samples received)
(4827|4832)  sub 70% (717 samples received)
(4827|4838) pub2  waiting for acks returned
(4827|4838) pub2<-delete_contained_entities
(4827|4834) pub1  waiting for acks returned
(4827|4834) pub1<-delete_contained_entities
(4827|4839) pub4  waiting for acks returned
(4827|4839) pub4<-delete_contained_entities
(4827|4832) sub condition_.notify_all
(4827|4827) sub condition_.wait returned
(4827|4827) sub check_received
(4827|4827) sub check_received returns 0
(4827|4827) <- PublisherService::end
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4838) pub2<-delete_participant
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4834) pub1<-delete_participant
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4839) pub4<-delete_participant
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832)  sub 80% (820 samples received)
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4836) pub5  waiting for acks returned
(4827|4832) sub condition_.notify_all
(4827|4836) pub5<-delete_contained_entities
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832)  sub 90% (922 samples received)
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4840) pub7  waiting for acks returned
(4827|4840) pub7<-delete_contained_entities
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4836) pub5<-delete_participant
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4835) pub3  waiting for acks returned
(4827|4835) pub3<-delete_contained_entities
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832) sub condition_.notify_all
(4827|4832)  sub 100% (1024 samples received)
(4827|4832) sub condition_.notify_all
(4827|4833) pub0  waiting for acks returned
(4827|4833) pub0<-delete_contained_entities
(4827|4837) pub6  waiting for acks returned
(4827|4837) pub6<-delete_contained_entities
(4827|4840) pub7<-delete_participant
(4827|4835) pub3<-delete_participant
(4827|4833) pub0<-delete_participant
(4827|4837) pub6<-delete_participant
(4827|4827) <- PublisherService::~PublisherService
(4827|4827) <- Subscriber delete_contained_entities
(4827|4827) <- Subscriber delete_participant
(4827|4827) <- Subscriber::~Subscriber
(4827|4827) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl low rtps Time:2s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl medium rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 16 -s 64 -n 1024 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 4874 started at 2023-04-28 16:21:42
(4874|4874) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(4874|4874) -> Thrasher started
(4874|4874) -> Subscriber::Subscriber
(4874|4874)    Subscriber reader id: 0103000c.297a35f2.130ac65e.00000007(ee69301e)
(4874|4874) -> PublisherService::PublisherService
(4874|4874) -> PublisherService::start (16 threads)
(4874|4874) sub wait_received 0:16
(4874|4886) pub6->transport cfg_6
(4874|4884) pub3->transport cfg_3
(4874|4883) pub4->transport cfg_4
(4874|4882) pub2->transport cfg_2
(4874|4885) pub5->transport cfg_5
(4874|4894) pub14->transport cfg_14
(4874|4892) pub12->transport cfg_12
(4874|4890) pub10->transport cfg_10
(4874|4880) pub0->transport cfg_0
(4874|4895) pub15->transport cfg_15
(4874|4889) pub9->transport cfg_9
(4874|4893) pub13->transport cfg_13
(4874|4888) pub8->transport cfg_8
(4874|4887) pub7->transport cfg_7
(4874|4881) pub1->transport cfg_1
(4874|4891) pub11->transport cfg_11
(4874|4883) pub4  writer id: 0103000c.297a35f2.130ac663.00000002(c7b2b8a6)
(4874|4883) pub4->started
(4874|4883) pub4->wait_match() before write for 0103000c.297a35f2.130ac663.00000002(c7b2b8a6)
(4874|4888) pub8  writer id: 0103000c.297a35f2.130ac667.00000002(32321e66)
(4874|4888) pub8->started
(4874|4888) pub8->wait_match() before write for 0103000c.297a35f2.130ac667.00000002(32321e66)
(4874|4890) pub10  writer id: 0103000c.297a35f2.130ac669.00000002(8d02a007)
(4874|4890) pub10->started
(4874|4890) pub10->wait_match() before write for 0103000c.297a35f2.130ac669.00000002(8d02a007)
(4874|4886) pub6  writer id: 0103000c.297a35f2.130ac665.00000002(48f24d06)
(4874|4886) pub6->started
(4874|4886) pub6->wait_match() before write for 0103000c.297a35f2.130ac665.00000002(48f24d06)
(4874|4889) pub9  writer id: 0103000c.297a35f2.130ac668.00000002(b06289b7)
(4874|4889) pub9->started
(4874|4889) pub9->wait_match() before write for 0103000c.297a35f2.130ac668.00000002(b06289b7)
(4874|4892) pub12  writer id: 0103000c.297a35f2.130ac66a.00000002(caa2dad7)
(4874|4892) pub12->started
(4874|4892) pub12->wait_match() before write for 0103000c.297a35f2.130ac66a.00000002(caa2dad7)
(4874|4891) pub11  writer id: 0103000c.297a35f2.130ac66b.00000002(f7c2f367)
(4874|4891) pub11->started
(4874|4891) pub11->wait_match() before write for 0103000c.297a35f2.130ac66b.00000002(f7c2f367)
(4874|4887) pub7  writer id: 0103000c.297a35f2.130ac666.00000002(0f5237d6)
(4874|4887) pub7->started
(4874|4887) pub7->wait_match() before write for 0103000c.297a35f2.130ac666.00000002(0f5237d6)
(4874|4884) pub3  writer id: 0103000c.297a35f2.130ac662.00000002(fad29116)
(4874|4884) pub3->started
(4874|4884) pub3->wait_match() before write for 0103000c.297a35f2.130ac662.00000002(fad29116)
(4874|4881) pub1  writer id: 0103000c.297a35f2.130ac661.00000002(bd72ebc6)
(4874|4881) pub1->started
(4874|4881) pub1->wait_match() before write for 0103000c.297a35f2.130ac661.00000002(bd72ebc6)
(4874|4893) pub13  writer id: 0103000c.297a35f2.130ac66c.00000002(45e22f77)
(4874|4893) pub13->started
(4874|4893) pub13->wait_match() before write for 0103000c.297a35f2.130ac66c.00000002(45e22f77)
(4874|4885) pub5  writer id: 0103000c.297a35f2.130ac664.00000002(759264b6)
(4874|4885) pub5->started
(4874|4885) pub5->wait_match() before write for 0103000c.297a35f2.130ac664.00000002(759264b6)
(4874|4882) pub2  writer id: 0103000c.297a35f2.130ac660.00000002(8012c276)
(4874|4882) pub2->started
(4874|4882) pub2->wait_match() before write for 0103000c.297a35f2.130ac660.00000002(8012c276)
(4874|4894) pub14  writer id: 0103000c.297a35f2.130ac66d.00000002(788206c7)
(4874|4894) pub14->started
(4874|4894) pub14->wait_match() before write for 0103000c.297a35f2.130ac66d.00000002(788206c7)
(4874|4880) pub0  writer id: 0103000c.297a35f2.130ac65f.00000002(a363ed21)
(4874|4880) pub0->started
(4874|4880) pub0->wait_match() before write for 0103000c.297a35f2.130ac65f.00000002(a363ed21)
(4874|4895) pub15  writer id: 0103000c.297a35f2.130ac66e.00000002(3f227c17)
(4874|4895) pub15->started
(4874|4895) pub15->wait_match() before write for 0103000c.297a35f2.130ac66e.00000002(3f227c17)
(4874|4890) pub10<-match found! before write for 0103000c.297a35f2.130ac669.00000002(8d02a007)
(4874|4890) pub10  10% (7 samples sent)
(4874|4890) pub10  20% (13 samples sent)
(4874|4890) pub10  31% (20 samples sent)
(4874|4890) pub10  40% (26 samples sent)
(4874|4890) pub10  50% (32 samples sent)
(4874|4890) pub10  60% (39 samples sent)
(4874|4890) pub10  70% (45 samples sent)
(4874|4890) pub10  81% (52 samples sent)
(4874|4890) pub10  90% (58 samples sent)
(4874|4890) pub10  100% (64 samples sent)
(4874|4890) pub10  waiting for acks
(4874|4883) pub4<-match found! before write for 0103000c.297a35f2.130ac663.00000002(c7b2b8a6)
(4874|4883) pub4  10% (7 samples sent)
(4874|4883) pub4  20% (13 samples sent)
(4874|4883) pub4  31% (20 samples sent)
(4874|4883) pub4  40% (26 samples sent)
(4874|4883) pub4  50% (32 samples sent)
(4874|4883) pub4  60% (39 samples sent)
(4874|4883) pub4  70% (45 samples sent)
(4874|4883) pub4  81% (52 samples sent)
(4874|4883) pub4  90% (58 samples sent)
(4874|4883) pub4  100% (64 samples sent)
(4874|4883) pub4  waiting for acks
(4874|4886) pub6<-match found! before write for 0103000c.297a35f2.130ac665.00000002(48f24d06)
(4874|4886) pub6  10% (7 samples sent)
(4874|4886) pub6  20% (13 samples sent)
(4874|4879)  sub 10% (103 samples received)
(4874|4886) pub6  31% (20 samples sent)
(4874|4890) pub10  waiting for acks returned
(4874|4890) pub10<-delete_contained_entities
(4874|4886) pub6  40% (26 samples sent)
(4874|4886) pub6  50% (32 samples sent)
(4874|4892) pub12<-match found! before write for 0103000c.297a35f2.130ac66a.00000002(caa2dad7)
(4874|4892) pub12  10% (7 samples sent)
(4874|4892) pub12  20% (13 samples sent)
(4874|4892) pub12  31% (20 samples sent)
(4874|4892) pub12  40% (26 samples sent)
(4874|4892) pub12  50% (32 samples sent)
(4874|4886) pub6  60% (39 samples sent)
(4874|4892) pub12  60% (39 samples sent)
(4874|4892) pub12  70% (45 samples sent)
(4874|4892) pub12  81% (52 samples sent)
(4874|4886) pub6  70% (45 samples sent)
(4874|4886) pub6  81% (52 samples sent)
(4874|4886) pub6  90% (58 samples sent)
(4874|4892) pub12  90% (58 samples sent)
(4874|4886) pub6  100% (64 samples sent)
(4874|4886) pub6  waiting for acks
(4874|4892) pub12  100% (64 samples sent)
(4874|4892) pub12  waiting for acks
(4874|4883) pub4  waiting for acks returned
(4874|4883) pub4<-delete_contained_entities
(4874|4890) pub10<-delete_participant
(4874|4883) pub4<-delete_participant
(4874|4884) pub3<-match found! before write for 0103000c.297a35f2.130ac662.00000002(fad29116)
(4874|4884) pub3  10% (7 samples sent)
(4874|4884) pub3  20% (13 samples sent)
(4874|4884) pub3  31% (20 samples sent)
(4874|4888) pub8<-match found! before write for 0103000c.297a35f2.130ac667.00000002(32321e66)
(4874|4888) pub8  10% (7 samples sent)
(4874|4888) pub8  20% (13 samples sent)
(4874|4888) pub8  31% (20 samples sent)
(4874|4884) pub3  40% (26 samples sent)
(4874|4884) pub3  50% (32 samples sent)
(4874|4894) pub14<-match found! before write for 0103000c.297a35f2.130ac66d.00000002(788206c7)
(4874|4894) pub14  10% (7 samples sent)
(4874|4894) pub14  20% (13 samples sent)
(4874|4894) pub14  31% (20 samples sent)
(4874|4888) pub8  40% (26 samples sent)
(4874|4888) pub8  50% (32 samples sent)
(4874|4888) pub8  60% (39 samples sent)
(4874|4894) pub14  40% (26 samples sent)
(4874|4894) pub14  50% (32 samples sent)
(4874|4884) pub3  60% (39 samples sent)
(4874|4884) pub3  70% (45 samples sent)
(4874|4894) pub14  60% (39 samples sent)
(4874|4894) pub14  70% (45 samples sent)
(4874|4884) pub3  81% (52 samples sent)
(4874|4884) pub3  90% (58 samples sent)
(4874|4887) pub7<-match found! before write for 0103000c.297a35f2.130ac666.00000002(0f5237d6)
(4874|4887) pub7  10% (7 samples sent)
(4874|4887) pub7  20% (13 samples sent)
(4874|4888) pub8  70% (45 samples sent)
(4874|4888) pub8  81% (52 samples sent)
(4874|4887) pub7  31% (20 samples sent)
(4874|4887) pub7  40% (26 samples sent)
(4874|4887) pub7  50% (32 samples sent)
(4874|4894) pub14  81% (52 samples sent)
(4874|4894) pub14  90% (58 samples sent)
(4874|4884) pub3  100% (64 samples sent)
(4874|4884) pub3  waiting for acks
(4874|4881) pub1<-match found! before write for 0103000c.297a35f2.130ac661.00000002(bd72ebc6)
(4874|4881) pub1  10% (7 samples sent)
(4874|4888) pub8  90% (58 samples sent)
(4874|4881) pub1  20% (13 samples sent)
(4874|4888) pub8  100% (64 samples sent)
(4874|4888) pub8  waiting for acks
(4874|4881) pub1  31% (20 samples sent)
(4874|4881) pub1  40% (26 samples sent)
(4874|4894) pub14  100% (64 samples sent)
(4874|4894) pub14  waiting for acks
(4874|4887) pub7  60% (39 samples sent)
(4874|4887) pub7  70% (45 samples sent)
(4874|4887) pub7  81% (52 samples sent)
(4874|4895) pub15<-match found! before write for 0103000c.297a35f2.130ac66e.00000002(3f227c17)
(4874|4895) pub15  10% (7 samples sent)
(4874|4887) pub7  90% (58 samples sent)
(4874|4895) pub15  20% (13 samples sent)
(4874|4887) pub7  100% (64 samples sent)
(4874|4887) pub7  waiting for acks
(4874|4881) pub1  50% (32 samples sent)
(4874|4881) pub1  60% (39 samples sent)
(4874|4895) pub15  31% (20 samples sent)
(4874|4895) pub15  40% (26 samples sent)
(4874|4880) pub0<-match found! before write for 0103000c.297a35f2.130ac65f.00000002(a363ed21)
(4874|4880) pub0  10% (7 samples sent)
(4874|4880) pub0  20% (13 samples sent)
(4874|4880) pub0  31% (20 samples sent)
(4874|4880) pub0  40% (26 samples sent)
(4874|4880) pub0  50% (32 samples sent)
(4874|4881) pub1  70% (45 samples sent)
(4874|4881) pub1  81% (52 samples sent)
(4874|4895) pub15  50% (32 samples sent)
(4874|4880) pub0  60% (39 samples sent)
(4874|4880) pub0  70% (45 samples sent)
(4874|4895) pub15  60% (39 samples sent)
(4874|4881) pub1  90% (58 samples sent)
(4874|4895) pub15  70% (45 samples sent)
(4874|4880) pub0  81% (52 samples sent)
(4874|4881) pub1  100% (64 samples sent)
(4874|4881) pub1  waiting for acks
(4874|4880) pub0  90% (58 samples sent)
(4874|4880) pub0  100% (64 samples sent)
(4874|4880) pub0  waiting for acks
(4874|4895) pub15  81% (52 samples sent)
(4874|4895) pub15  90% (58 samples sent)
(4874|4895) pub15  100% (64 samples sent)
(4874|4895) pub15  waiting for acks
(4874|4885) pub5<-match found! before write for 0103000c.297a35f2.130ac664.00000002(759264b6)
(4874|4885) pub5  10% (7 samples sent)
(4874|4885) pub5  20% (13 samples sent)
(4874|4885) pub5  31% (20 samples sent)
(4874|4879)  sub 20% (205 samples received)
(4874|4885) pub5  40% (26 samples sent)
(4874|4885) pub5  50% (32 samples sent)
(4874|4885) pub5  60% (39 samples sent)
(4874|4885) pub5  70% (45 samples sent)
(4874|4882) pub2<-match found! before write for 0103000c.297a35f2.130ac660.00000002(8012c276)
(4874|4882) pub2  10% (7 samples sent)
(4874|4882) pub2  20% (13 samples sent)
(4874|4891) pub11<-match found! before write for 0103000c.297a35f2.130ac66b.00000002(f7c2f367)
(4874|4891) pub11  10% (7 samples sent)
(4874|4891) pub11  20% (13 samples sent)
(4874|4891) pub11  31% (20 samples sent)
(4874|4882) pub2  31% (20 samples sent)
(4874|4882) pub2  40% (26 samples sent)
(4874|4893) pub13<-match found! before write for 0103000c.297a35f2.130ac66c.00000002(45e22f77)
(4874|4889) pub9<-match found! before write for 0103000c.297a35f2.130ac668.00000002(b06289b7)
(4874|4893) pub13  10% (7 samples sent)
(4874|4889) pub9  10% (7 samples sent)
(4874|4893) pub13  20% (13 samples sent)
(4874|4889) pub9  20% (13 samples sent)
(4874|4889) pub9  31% (20 samples sent)
(4874|4893) pub13  31% (20 samples sent)
(4874|4893) pub13  40% (26 samples sent)
(4874|4892) pub12  waiting for acks returned
(4874|4892) pub12<-delete_contained_entities
(4874|4886) pub6  waiting for acks returned
(4874|4886) pub6<-delete_contained_entities
(4874|4889) pub9  40% (26 samples sent)
(4874|4891) pub11  40% (26 samples sent)
(4874|4891) pub11  50% (32 samples sent)
(4874|4889) pub9  50% (32 samples sent)
(4874|4889) pub9  60% (39 samples sent)
(4874|4889) pub9  70% (45 samples sent)
(4874|4885) pub5  81% (52 samples sent)
(4874|4885) pub5  90% (58 samples sent)
(4874|4885) pub5  100% (64 samples sent)
(4874|4885) pub5  waiting for acks
(4874|4882) pub2  50% (32 samples sent)
(4874|4882) pub2  60% (39 samples sent)
(4874|4889) pub9  81% (52 samples sent)
(4874|4893) pub13  50% (32 samples sent)
(4874|4882) pub2  70% (45 samples sent)
(4874|4889) pub9  90% (58 samples sent)
(4874|4889) pub9  100% (64 samples sent)
(4874|4889) pub9  waiting for acks
(4874|4891) pub11  60% (39 samples sent)
(4874|4891) pub11  70% (45 samples sent)
(4874|4893) pub13  60% (39 samples sent)
(4874|4893) pub13  70% (45 samples sent)
(4874|4893) pub13  81% (52 samples sent)
(4874|4891) pub11  81% (52 samples sent)
(4874|4891) pub11  90% (58 samples sent)
(4874|4891) pub11  100% (64 samples sent)
(4874|4891) pub11  waiting for acks
(4874|4882) pub2  81% (52 samples sent)
(4874|4882) pub2  90% (58 samples sent)
(4874|4882) pub2  100% (64 samples sent)
(4874|4882) pub2  waiting for acks
(4874|4893) pub13  90% (58 samples sent)
(4874|4893) pub13  100% (64 samples sent)
(4874|4893) pub13  waiting for acks
(4874|4879)  sub 30% (308 samples received)
(4874|4879)  sub 40% (410 samples received)
(4874|4894) pub14  waiting for acks returned
(4874|4894) pub14<-delete_contained_entities
(4874|4879)  sub 50% (512 samples received)
(4874|4895) pub15  waiting for acks returned
(4874|4895) pub15<-delete_contained_entities
(4874|4888) pub8  waiting for acks returned
(4874|4888) pub8<-delete_contained_entities
(4874|4879)  sub 60% (615 samples received)
(4874|4887) pub7  waiting for acks returned
(4874|4887) pub7<-delete_contained_entities
(4874|4884) pub3  waiting for acks returned
(4874|4884) pub3<-delete_contained_entities
(4874|4879)  sub 70% (717 samples received)
(4874|4881) pub1  waiting for acks returned
(4874|4881) pub1<-delete_contained_entities
(4874|4879)  sub 80% (820 samples received)
(4874|4889) pub9  waiting for acks returned
(4874|4889) pub9<-delete_contained_entities
(4874|4893) pub13  waiting for acks returned
(4874|4893) pub13<-delete_contained_entities
(4874|4880) pub0  waiting for acks returned
(4874|4880) pub0<-delete_contained_entities
(4874|4891) pub11  waiting for acks returned
(4874|4891) pub11<-delete_contained_entities
(4874|4879)  sub 90% (922 samples received)
(4874|4892) pub12<-delete_participant
(4874|4879) sub condition_.notify_all
(4874|4874) sub condition_.wait returned
(4874|4874) sub check_received
(4874|4874) sub check_received returns 0
(4874|4874) <- PublisherService::end
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4885) pub5  waiting for acks returned
(4874|4885) pub5<-delete_contained_entities
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879) sub condition_.notify_all
(4874|4879)  sub 100% (1024 samples received)
(4874|4879) sub condition_.notify_all
(4874|4882) pub2  waiting for acks returned
(4874|4882) pub2<-delete_contained_entities
(4874|4886) pub6<-delete_participant
(4874|4894) pub14<-delete_participant
(4874|4895) pub15<-delete_participant
(4874|4888) pub8<-delete_participant
(4874|4887) pub7<-delete_participant
(4874|4884) pub3<-delete_participant
(4874|4881) pub1<-delete_participant
(4874|4889) pub9<-delete_participant
(4874|4893) pub13<-delete_participant
(4874|4880) pub0<-delete_participant
(4874|4891) pub11<-delete_participant
(4874|4885) pub5<-delete_participant
(4874|4882) pub2<-delete_participant
(4874|4874) <- PublisherService::~PublisherService
(4874|4874) <- Subscriber delete_contained_entities
(4874|4874) <- Subscriber delete_participant
(4874|4874) <- Subscriber::~Subscriber
(4874|4874) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl medium rtps Time:6s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl high rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 32 -s 32 -n 1024 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 4962 started at 2023-04-28 16:21:48
(4962|4962) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(4962|4962) -> Thrasher started
(4962|4962) -> Subscriber::Subscriber
(4962|4962)    Subscriber reader id: 0103000c.297a35f2.13620482.00000007(46151f7b)
(4962|4962) -> PublisherService::PublisherService
(4962|4962) -> PublisherService::start (32 threads)
(4962|4962) sub wait_received 0:32
(4962|4973) pub3->transport cfg_3
(4962|4980) pub5->transport cfg_5
(4962|4968) pub0->transport cfg_0
(4962|4996) pub29->transport cfg_29
(4962|4969) pub1->transport cfg_1
(4962|4989) pub21->transport cfg_21
(4962|4978) pub16->transport cfg_16
(4962|4981) pub4->transport cfg_4
(4962|4988) pub20->transport cfg_20
(4962|4977) pub10->transport cfg_10
(4962|4975) pub9->transport cfg_9
(4962|4971) pub2->transport cfg_2
(4962|4973) pub3  writer id: 0103000c.297a35f2.13620486.00000002(c3ff4d34)
(4962|4986) pub11->transport cfg_11
(4962|4989) pub21  writer id: 0103000c.297a35f2.1362049e.00000002(936f9177)
(4962|4989) pub21->started
(4962|4989) pub21->wait_match() before write for 0103000c.297a35f2.1362049e.00000002(936f9177)
(4962|4973) pub3->started
(4962|4973) pub3->wait_match() before write for 0103000c.297a35f2.13620486.00000002(c3ff4d34)
(4962|4990) pub22->transport cfg_22
(4962|4991) pub23->transport cfg_23
(4962|4999) pub31->transport cfg_31
(4962|4969) pub1  writer id: 0103000c.297a35f2.13620484.00000002(b93f1e54)
(4962|4969) pub1->started
(4962|4969) pub1->wait_match() before write for 0103000c.297a35f2.13620484.00000002(b93f1e54)
(4962|4976) pub12->transport cfg_12
(4962|4996) pub29  writer id: 0103000c.297a35f2.136204a0.00000002(8d7e9790)
(4962|4977) pub10  writer id: 0103000c.297a35f2.13620491.00000002(113f06a6)
(4962|4977) pub10->started
(4962|4977) pub10->wait_match() before write for 0103000c.297a35f2.13620491.00000002(113f06a6)
(4962|4978) pub16  writer id: 0103000c.297a35f2.13620492.00000002(569f7c76)
(4962|4978) pub16->started
(4962|4978) pub16->wait_match() before write for 0103000c.297a35f2.13620492.00000002(569f7c76)
(4962|4968) pub0  writer id: 0103000c.297a35f2.13620483.00000002(0b1fc244)
(4962|4996) pub29->started
(4962|4988) pub20  writer id: 0103000c.297a35f2.1362048d.00000002(b42f7c25)
(4962|4988) pub20->started
(4962|4988) pub20->wait_match() before write for 0103000c.297a35f2.1362048d.00000002(b42f7c25)
(4962|4972) pub8->transport cfg_8
(4962|4981) pub4  writer id: 0103000c.297a35f2.13620488.00000002(7ccff355)
(4962|4980) pub5  writer id: 0103000c.297a35f2.13620487.00000002(fe9f6484)
(4962|4980) pub5->started
(4962|4980) pub5->wait_match() before write for 0103000c.297a35f2.13620487.00000002(fe9f6484)
(4962|4968) pub0->started
(4962|4968) pub0->wait_match() before write for 0103000c.297a35f2.13620483.00000002(0b1fc244)
(4962|4996) pub29->wait_match() before write for 0103000c.297a35f2.136204a0.00000002(8d7e9790)
(4962|4975) pub9  writer id: 0103000c.297a35f2.1362048b.00000002(3b6f8985)
(4962|4975) pub9->started
(4962|4975) pub9->wait_match() before write for 0103000c.297a35f2.1362048b.00000002(3b6f8985)
(4962|4984) pub13->transport cfg_13
(4962|4981) pub4->started
(4962|4981) pub4->wait_match() before write for 0103000c.297a35f2.13620488.00000002(7ccff355)
(4962|4970) pub15->transport cfg_15
(4962|4993) pub25->transport cfg_25
(4962|4985) pub14->transport cfg_14
(4962|4987) pub18->transport cfg_18
(4962|4982) pub7->transport cfg_7
(4962|4992) pub24->transport cfg_24
(4962|4995) pub27->transport cfg_27
(4962|4974) pub17->transport cfg_17
(4962|4979) pub6->transport cfg_6
(4962|4983) pub19->transport cfg_19
(4962|4997) pub28->transport cfg_28
(4962|4994) pub26->transport cfg_26
(4962|4998) pub30->transport cfg_30
(4962|4971) pub2  writer id: 0103000c.297a35f2.13620485.00000002(845f37e4)
(4962|4971) pub2->started
(4962|4971) pub2->wait_match() before write for 0103000c.297a35f2.13620485.00000002(845f37e4)
(4962|4990) pub22  writer id: 0103000c.297a35f2.1362049a.00000002(66ef37b7)
(4962|4986) pub11  writer id: 0103000c.297a35f2.1362048e.00000002(f38f06f5)
(4962|4986) pub11->started
(4962|4986) pub11->wait_match() before write for 0103000c.297a35f2.1362048e.00000002(f38f06f5)
(4962|4976) pub12  writer id: 0103000c.297a35f2.1362048f.00000002(ceef2f45)
(4962|4976) pub12->started
(4962|4976) pub12->wait_match() before write for 0103000c.297a35f2.1362048f.00000002(ceef2f45)
(4962|4990) pub22->started
(4962|4990) pub22->wait_match() before write for 0103000c.297a35f2.1362049a.00000002(66ef37b7)
(4962|4999) pub31  writer id: 0103000c.297a35f2.1362049f.00000002(ae0fb8c7)
(4962|4999) pub31->started
(4962|4999) pub31->wait_match() before write for 0103000c.297a35f2.1362049f.00000002(ae0fb8c7)
(4962|4991) pub23  writer id: 0103000c.297a35f2.13620498.00000002(1c2f64d7)
(4962|4982) pub7  writer id: 0103000c.297a35f2.13620489.00000002(41afdae5)
(4962|4982) pub7->started
(4962|4982) pub7->wait_match() before write for 0103000c.297a35f2.13620489.00000002(41afdae5)
(4962|4991) pub23->started
(4962|4991) pub23->wait_match() before write for 0103000c.297a35f2.13620498.00000002(1c2f64d7)
(4962|4987) pub18  writer id: 0103000c.297a35f2.13620493.00000002(6bff55c6)
(4962|4987) pub18->started
(4962|4987) pub18->wait_match() before write for 0103000c.297a35f2.13620493.00000002(6bff55c6)
(4962|4970) pub15  writer id: 0103000c.297a35f2.13620494.00000002(d9df89d6)
(4962|4970) pub15->started
(4962|4970) pub15->wait_match() before write for 0103000c.297a35f2.13620494.00000002(d9df89d6)
(4962|4992) pub24  writer id: 0103000c.297a35f2.1362049b.00000002(5b8f1e07)
(4962|4992) pub24->started
(4962|4992) pub24->wait_match() before write for 0103000c.297a35f2.1362049b.00000002(5b8f1e07)
(4962|4974) pub17  writer id: 0103000c.297a35f2.13620496.00000002(a31fdab6)
(4962|4974) pub17->started
(4962|4974) pub17->wait_match() before write for 0103000c.297a35f2.13620496.00000002(a31fdab6)
(4962|4985) pub14  writer id: 0103000c.297a35f2.13620495.00000002(e4bfa066)
(4962|4985) pub14->started
(4962|4985) pub14->wait_match() before write for 0103000c.297a35f2.13620495.00000002(e4bfa066)
(4962|4983) pub19  writer id: 0103000c.297a35f2.13620497.00000002(9e7ff306)
(4962|4983) pub19->started
(4962|4983) pub19->wait_match() before write for 0103000c.297a35f2.13620497.00000002(9e7ff306)
(4962|4979) pub6  writer id: 0103000c.297a35f2.1362048c.00000002(894f5595)
(4962|4979) pub6->started
(4962|4979) pub6->wait_match() before write for 0103000c.297a35f2.1362048c.00000002(894f5595)
(4962|4993) pub25  writer id: 0103000c.297a35f2.1362049c.00000002(e9afc217)
(4962|4993) pub25->started
(4962|4993) pub25->wait_match() before write for 0103000c.297a35f2.1362049c.00000002(e9afc217)
(4962|4997) pub28  writer id: 0103000c.297a35f2.136204a2.00000002(f7bec4f0)
(4962|4997) pub28->started
(4962|4997) pub28->wait_match() before write for 0103000c.297a35f2.136204a2.00000002(f7bec4f0)
(4962|4998) pub30  writer id: 0103000c.297a35f2.136204a1.00000002(b01ebe20)
(4962|4998) pub30->started
(4962|4998) pub30->wait_match() before write for 0103000c.297a35f2.136204a1.00000002(b01ebe20)
(4962|4972) pub8  writer id: 0103000c.297a35f2.1362048a.00000002(060fa035)
(4962|4972) pub8->started
(4962|4972) pub8->wait_match() before write for 0103000c.297a35f2.1362048a.00000002(060fa035)
(4962|4994) pub26  writer id: 0103000c.297a35f2.13620499.00000002(214f4d67)
(4962|4994) pub26->started
(4962|4994) pub26->wait_match() before write for 0103000c.297a35f2.13620499.00000002(214f4d67)
(4962|4984) pub13  writer id: 0103000c.297a35f2.13620490.00000002(2c5f2f16)
(4962|4995) pub27  writer id: 0103000c.297a35f2.1362049d.00000002(d4cfeba7)
(4962|4995) pub27->started
(4962|4995) pub27->wait_match() before write for 0103000c.297a35f2.1362049d.00000002(d4cfeba7)
(4962|4984) pub13->started
(4962|4984) pub13->wait_match() before write for 0103000c.297a35f2.13620490.00000002(2c5f2f16)
(4962|4983) pub19<-match found! before write for 0103000c.297a35f2.13620497.00000002(9e7ff306)
(4962|4983) pub19  12% (4 samples sent)
(4962|4983) pub19  21% (7 samples sent)
(4962|4983) pub19  31% (10 samples sent)
(4962|4983) pub19  40% (13 samples sent)
(4962|4983) pub19  50% (16 samples sent)
(4962|4983) pub19  62% (20 samples sent)
(4962|4983) pub19  71% (23 samples sent)
(4962|4983) pub19  81% (26 samples sent)
(4962|4983) pub19  90% (29 samples sent)
(4962|4983) pub19  100% (32 samples sent)
(4962|4983) pub19  waiting for acks
(4962|4982) pub7<-match found! before write for 0103000c.297a35f2.13620489.00000002(41afdae5)
(4962|4982) pub7  12% (4 samples sent)
(4962|4982) pub7  21% (7 samples sent)
(4962|4982) pub7  31% (10 samples sent)
(4962|4982) pub7  40% (13 samples sent)
(4962|4982) pub7  50% (16 samples sent)
(4962|4982) pub7  62% (20 samples sent)
(4962|4982) pub7  71% (23 samples sent)
(4962|4982) pub7  81% (26 samples sent)
(4962|4982) pub7  90% (29 samples sent)
(4962|4982) pub7  100% (32 samples sent)
(4962|4982) pub7  waiting for acks
(4962|4982) pub7  waiting for acks returned
(4962|4982) pub7<-delete_contained_entities
(4962|4983) pub19  waiting for acks returned
(4962|4983) pub19<-delete_contained_entities
(4962|4982) pub7<-delete_participant
(4962|4996) pub29<-match found! before write for 0103000c.297a35f2.136204a0.00000002(8d7e9790)
(4962|4996) pub29  12% (4 samples sent)
(4962|4996) pub29  21% (7 samples sent)
(4962|4996) pub29  31% (10 samples sent)
(4962|4996) pub29  40% (13 samples sent)
(4962|4996) pub29  50% (16 samples sent)
(4962|4996) pub29  62% (20 samples sent)
(4962|4996) pub29  71% (23 samples sent)
(4962|4996) pub29  81% (26 samples sent)
(4962|4996) pub29  90% (29 samples sent)
(4962|4996) pub29  100% (32 samples sent)
(4962|4996) pub29  waiting for acks
(4962|4993) pub25<-match found! before write for 0103000c.297a35f2.1362049c.00000002(e9afc217)
(4962|4993) pub25  12% (4 samples sent)
(4962|4993) pub25  21% (7 samples sent)
(4962|4993) pub25  31% (10 samples sent)
(4962|4993) pub25  40% (13 samples sent)
(4962|4993) pub25  50% (16 samples sent)
(4962|4993) pub25  62% (20 samples sent)
(4962|4993) pub25  71% (23 samples sent)
(4962|4993) pub25  81% (26 samples sent)
(4962|4993) pub25  90% (29 samples sent)
(4962|4993) pub25  100% (32 samples sent)
(4962|4993) pub25  waiting for acks
(4962|4983) pub19<-delete_participant
(4962|4996) pub29  waiting for acks returned
(4962|4996) pub29<-delete_contained_entities
(4962|4996) pub29<-delete_participant
(4962|4967)  sub 10% (103 samples received)
(4962|4993) pub25  waiting for acks returned
(4962|4993) pub25<-delete_contained_entities
(4962|4987) pub18<-match found! before write for 0103000c.297a35f2.13620493.00000002(6bff55c6)
(4962|4987) pub18  12% (4 samples sent)
(4962|4987) pub18  21% (7 samples sent)
(4962|4987) pub18  31% (10 samples sent)
(4962|4987) pub18  40% (13 samples sent)
(4962|4987) pub18  50% (16 samples sent)
(4962|4987) pub18  62% (20 samples sent)
(4962|4987) pub18  71% (23 samples sent)
(4962|4987) pub18  81% (26 samples sent)
(4962|4987) pub18  90% (29 samples sent)
(4962|4987) pub18  100% (32 samples sent)
(4962|4987) pub18  waiting for acks
(4962|4987) pub18  waiting for acks returned
(4962|4987) pub18<-delete_contained_entities
(4962|4993) pub25<-delete_participant
(4962|4987) pub18<-delete_participant
(4962|4979) pub6<-match found! before write for 0103000c.297a35f2.1362048c.00000002(894f5595)
(4962|4979) pub6  12% (4 samples sent)
(4962|4979) pub6  21% (7 samples sent)
(4962|4979) pub6  31% (10 samples sent)
(4962|4979) pub6  40% (13 samples sent)
(4962|4979) pub6  50% (16 samples sent)
(4962|4979) pub6  62% (20 samples sent)
(4962|4979) pub6  71% (23 samples sent)
(4962|4979) pub6  81% (26 samples sent)
(4962|4979) pub6  90% (29 samples sent)
(4962|4985) pub14<-match found! before write for 0103000c.297a35f2.13620495.00000002(e4bfa066)
(4962|4985) pub14  12% (4 samples sent)
(4962|4985) pub14  21% (7 samples sent)
(4962|4985) pub14  31% (10 samples sent)
(4962|4985) pub14  40% (13 samples sent)
(4962|4985) pub14  50% (16 samples sent)
(4962|4985) pub14  62% (20 samples sent)
(4962|4985) pub14  71% (23 samples sent)
(4962|4979) pub6  100% (32 samples sent)
(4962|4979) pub6  waiting for acks
(4962|4985) pub14  81% (26 samples sent)
(4962|4985) pub14  90% (29 samples sent)
(4962|4985) pub14  100% (32 samples sent)
(4962|4985) pub14  waiting for acks
(4962|4979) pub6  waiting for acks returned
(4962|4979) pub6<-delete_contained_entities
(4962|4998) pub30<-match found! before write for 0103000c.297a35f2.136204a1.00000002(b01ebe20)
(4962|4998) pub30  12% (4 samples sent)
(4962|4998) pub30  21% (7 samples sent)
(4962|4998) pub30  31% (10 samples sent)
(4962|4998) pub30  40% (13 samples sent)
(4962|4998) pub30  50% (16 samples sent)
(4962|4979) pub6<-delete_participant
(4962|4998) pub30  62% (20 samples sent)
(4962|4998) pub30  71% (23 samples sent)
(4962|4988) pub20<-match found! before write for 0103000c.297a35f2.1362048d.00000002(b42f7c25)
(4962|4988) pub20  12% (4 samples sent)
(4962|4988) pub20  21% (7 samples sent)
(4962|4988) pub20  31% (10 samples sent)
(4962|4988) pub20  40% (13 samples sent)
(4962|4988) pub20  50% (16 samples sent)
(4962|4988) pub20  62% (20 samples sent)
(4962|4988) pub20  71% (23 samples sent)
(4962|4998) pub30  81% (26 samples sent)
(4962|4998) pub30  90% (29 samples sent)
(4962|4998) pub30  100% (32 samples sent)
(4962|4998) pub30  waiting for acks
(4962|4988) pub20  81% (26 samples sent)
(4962|4988) pub20  90% (29 samples sent)
(4962|4988) pub20  100% (32 samples sent)
(4962|4988) pub20  waiting for acks
(4962|4986) pub11<-match found! before write for 0103000c.297a35f2.1362048e.00000002(f38f06f5)
(4962|4986) pub11  12% (4 samples sent)
(4962|4986) pub11  21% (7 samples sent)
(4962|4986) pub11  31% (10 samples sent)
(4962|4986) pub11  40% (13 samples sent)
(4962|4986) pub11  50% (16 samples sent)
(4962|4986) pub11  62% (20 samples sent)
(4962|4986) pub11  71% (23 samples sent)
(4962|4986) pub11  81% (26 samples sent)
(4962|4986) pub11  90% (29 samples sent)
(4962|4986) pub11  100% (32 samples sent)
(4962|4986) pub11  waiting for acks
(4962|4967)  sub 20% (205 samples received)
(4962|4990) pub22<-match found! before write for 0103000c.297a35f2.1362049a.00000002(66ef37b7)
(4962|4990) pub22  12% (4 samples sent)
(4962|4990) pub22  21% (7 samples sent)
(4962|4990) pub22  31% (10 samples sent)
(4962|4990) pub22  40% (13 samples sent)
(4962|4990) pub22  50% (16 samples sent)
(4962|4990) pub22  62% (20 samples sent)
(4962|4990) pub22  71% (23 samples sent)
(4962|4990) pub22  81% (26 samples sent)
(4962|4990) pub22  90% (29 samples sent)
(4962|4990) pub22  100% (32 samples sent)
(4962|4990) pub22  waiting for acks
(4962|4999) pub31<-match found! before write for 0103000c.297a35f2.1362049f.00000002(ae0fb8c7)
(4962|4999) pub31  12% (4 samples sent)
(4962|4999) pub31  21% (7 samples sent)
(4962|4999) pub31  31% (10 samples sent)
(4962|4999) pub31  40% (13 samples sent)
(4962|4999) pub31  50% (16 samples sent)
(4962|4999) pub31  62% (20 samples sent)
(4962|4999) pub31  71% (23 samples sent)
(4962|4999) pub31  81% (26 samples sent)
(4962|4999) pub31  90% (29 samples sent)
(4962|4999) pub31  100% (32 samples sent)
(4962|4999) pub31  waiting for acks
(4962|4991) pub23<-match found! before write for 0103000c.297a35f2.13620498.00000002(1c2f64d7)
(4962|4991) pub23  12% (4 samples sent)
(4962|4991) pub23  21% (7 samples sent)
(4962|4991) pub23  31% (10 samples sent)
(4962|4991) pub23  40% (13 samples sent)
(4962|4988) pub20  waiting for acks returned
(4962|4988) pub20<-delete_contained_entities
(4962|4985) pub14  waiting for acks returned
(4962|4985) pub14<-delete_contained_entities
(4962|4991) pub23  50% (16 samples sent)
(4962|4991) pub23  62% (20 samples sent)
(4962|4991) pub23  71% (23 samples sent)
(4962|4991) pub23  81% (26 samples sent)
(4962|4991) pub23  90% (29 samples sent)
(4962|4991) pub23  100% (32 samples sent)
(4962|4991) pub23  waiting for acks
(4962|4978) pub16<-match found! before write for 0103000c.297a35f2.13620492.00000002(569f7c76)
(4962|4978) pub16  12% (4 samples sent)
(4962|4978) pub16  21% (7 samples sent)
(4962|4978) pub16  31% (10 samples sent)
(4962|4978) pub16  40% (13 samples sent)
(4962|4978) pub16  50% (16 samples sent)
(4962|4978) pub16  62% (20 samples sent)
(4962|4978) pub16  71% (23 samples sent)
(4962|4978) pub16  81% (26 samples sent)
(4962|4978) pub16  90% (29 samples sent)
(4962|4978) pub16  100% (32 samples sent)
(4962|4978) pub16  waiting for acks
(4962|4984) pub13<-match found! before write for 0103000c.297a35f2.13620490.00000002(2c5f2f16)
(4962|4984) pub13  12% (4 samples sent)
(4962|4984) pub13  21% (7 samples sent)
(4962|4984) pub13  31% (10 samples sent)
(4962|4984) pub13  40% (13 samples sent)
(4962|4984) pub13  50% (16 samples sent)
(4962|4984) pub13  62% (20 samples sent)
(4962|4984) pub13  71% (23 samples sent)
(4962|4984) pub13  81% (26 samples sent)
(4962|4984) pub13  90% (29 samples sent)
(4962|4984) pub13  100% (32 samples sent)
(4962|4984) pub13  waiting for acks
(4962|4999) pub31  waiting for acks returned
(4962|4999) pub31<-delete_contained_entities
(4962|4997) pub28<-match found! before write for 0103000c.297a35f2.136204a2.00000002(f7bec4f0)
(4962|4997) pub28  12% (4 samples sent)
(4962|4997) pub28  21% (7 samples sent)
(4962|4997) pub28  31% (10 samples sent)
(4962|4997) pub28  40% (13 samples sent)
(4962|4997) pub28  50% (16 samples sent)
(4962|4997) pub28  62% (20 samples sent)
(4962|4997) pub28  71% (23 samples sent)
(4962|4997) pub28  81% (26 samples sent)
(4962|4997) pub28  90% (29 samples sent)
(4962|4997) pub28  100% (32 samples sent)
(4962|4997) pub28  waiting for acks
(4962|4967)  sub 30% (308 samples received)
(4962|4968) pub0<-match found! before write for 0103000c.297a35f2.13620483.00000002(0b1fc244)
(4962|4968) pub0  12% (4 samples sent)
(4962|4968) pub0  21% (7 samples sent)
(4962|4968) pub0  31% (10 samples sent)
(4962|4968) pub0  40% (13 samples sent)
(4962|4968) pub0  50% (16 samples sent)
(4962|4968) pub0  62% (20 samples sent)
(4962|4968) pub0  71% (23 samples sent)
(4962|4968) pub0  81% (26 samples sent)
(4962|4992) pub24<-match found! before write for 0103000c.297a35f2.1362049b.00000002(5b8f1e07)
(4962|4992) pub24  12% (4 samples sent)
(4962|4992) pub24  21% (7 samples sent)
(4962|4992) pub24  31% (10 samples sent)
(4962|4992) pub24  40% (13 samples sent)
(4962|4992) pub24  50% (16 samples sent)
(4962|4992) pub24  62% (20 samples sent)
(4962|4992) pub24  71% (23 samples sent)
(4962|4995) pub27<-match found! before write for 0103000c.297a35f2.1362049d.00000002(d4cfeba7)
(4962|4995) pub27  12% (4 samples sent)
(4962|4995) pub27  21% (7 samples sent)
(4962|4995) pub27  31% (10 samples sent)
(4962|4995) pub27  40% (13 samples sent)
(4962|4988) pub20<-delete_participant
(4962|4995) pub27  50% (16 samples sent)
(4962|4995) pub27  62% (20 samples sent)
(4962|4995) pub27  71% (23 samples sent)
(4962|4995) pub27  81% (26 samples sent)
(4962|4968) pub0  90% (29 samples sent)
(4962|4968) pub0  100% (32 samples sent)
(4962|4968) pub0  waiting for acks
(4962|4992) pub24  81% (26 samples sent)
(4962|4992) pub24  90% (29 samples sent)
(4962|4992) pub24  100% (32 samples sent)
(4962|4992) pub24  waiting for acks
(4962|4995) pub27  90% (29 samples sent)
(4962|4995) pub27  100% (32 samples sent)
(4962|4995) pub27  waiting for acks
(4962|4985) pub14<-delete_participant
(4962|4973) pub3<-match found! before write for 0103000c.297a35f2.13620486.00000002(c3ff4d34)
(4962|4973) pub3  12% (4 samples sent)
(4962|4973) pub3  21% (7 samples sent)
(4962|4973) pub3  31% (10 samples sent)
(4962|4973) pub3  40% (13 samples sent)
(4962|4973) pub3  50% (16 samples sent)
(4962|4973) pub3  62% (20 samples sent)
(4962|4973) pub3  71% (23 samples sent)
(4962|4973) pub3  81% (26 samples sent)
(4962|4973) pub3  90% (29 samples sent)
(4962|4973) pub3  100% (32 samples sent)
(4962|4973) pub3  waiting for acks
(4962|4974) pub17<-match found! before write for 0103000c.297a35f2.13620496.00000002(a31fdab6)
(4962|4974) pub17  12% (4 samples sent)
(4962|4974) pub17  21% (7 samples sent)
(4962|4974) pub17  31% (10 samples sent)
(4962|4974) pub17  40% (13 samples sent)
(4962|4974) pub17  50% (16 samples sent)
(4962|4974) pub17  62% (20 samples sent)
(4962|4974) pub17  71% (23 samples sent)
(4962|4990) pub22  waiting for acks returned
(4962|4990) pub22<-delete_contained_entities
(4962|4999) pub31<-delete_participant
(4962|4974) pub17  81% (26 samples sent)
(4962|4974) pub17  90% (29 samples sent)
(4962|4974) pub17  100% (32 samples sent)
(4962|4974) pub17  waiting for acks
(4962|4978) pub16  waiting for acks returned
(4962|4978) pub16<-delete_contained_entities
(4962|4969) pub1<-match found! before write for 0103000c.297a35f2.13620484.00000002(b93f1e54)
(4962|4969) pub1  12% (4 samples sent)
(4962|4969) pub1  21% (7 samples sent)
(4962|4969) pub1  31% (10 samples sent)
(4962|4969) pub1  40% (13 samples sent)
(4962|4969) pub1  50% (16 samples sent)
(4962|4969) pub1  62% (20 samples sent)
(4962|4969) pub1  71% (23 samples sent)
(4962|4969) pub1  81% (26 samples sent)
(4962|4969) pub1  90% (29 samples sent)
(4962|4969) pub1  100% (32 samples sent)
(4962|4969) pub1  waiting for acks
(4962|4990) pub22<-delete_participant
(4962|4981) pub4<-match found! before write for 0103000c.297a35f2.13620488.00000002(7ccff355)
(4962|4981) pub4  12% (4 samples sent)
(4962|4981) pub4  21% (7 samples sent)
(4962|4981) pub4  31% (10 samples sent)
(4962|4981) pub4  40% (13 samples sent)
(4962|4981) pub4  50% (16 samples sent)
(4962|4981) pub4  62% (20 samples sent)
(4962|4981) pub4  71% (23 samples sent)
(4962|4970) pub15<-match found! before write for 0103000c.297a35f2.13620494.00000002(d9df89d6)
(4962|4970) pub15  12% (4 samples sent)
(4962|4970) pub15  21% (7 samples sent)
(4962|4970) pub15  31% (10 samples sent)
(4962|4970) pub15  40% (13 samples sent)
(4962|4970) pub15  50% (16 samples sent)
(4962|4970) pub15  62% (20 samples sent)
(4962|4970) pub15  71% (23 samples sent)
(4962|4970) pub15  81% (26 samples sent)
(4962|4970) pub15  90% (29 samples sent)
(4962|4981) pub4  81% (26 samples sent)
(4962|4981) pub4  90% (29 samples sent)
(4962|4981) pub4  100% (32 samples sent)
(4962|4981) pub4  waiting for acks
(4962|4970) pub15  100% (32 samples sent)
(4962|4970) pub15  waiting for acks
(4962|4994) pub26<-match found! before write for 0103000c.297a35f2.13620499.00000002(214f4d67)
(4962|4994) pub26  12% (4 samples sent)
(4962|4994) pub26  21% (7 samples sent)
(4962|4994) pub26  31% (10 samples sent)
(4962|4994) pub26  40% (13 samples sent)
(4962|4994) pub26  50% (16 samples sent)
(4962|4994) pub26  62% (20 samples sent)
(4962|4994) pub26  71% (23 samples sent)
(4962|4994) pub26  81% (26 samples sent)
(4962|4994) pub26  90% (29 samples sent)
(4962|4994) pub26  100% (32 samples sent)
(4962|4994) pub26  waiting for acks
(4962|4972) pub8<-match found! before write for 0103000c.297a35f2.1362048a.00000002(060fa035)
(4962|4972) pub8  12% (4 samples sent)
(4962|4972) pub8  21% (7 samples sent)
(4962|4972) pub8  31% (10 samples sent)
(4962|4972) pub8  40% (13 samples sent)
(4962|4972) pub8  50% (16 samples sent)
(4962|4972) pub8  62% (20 samples sent)
(4962|4972) pub8  71% (23 samples sent)
(4962|4972) pub8  81% (26 samples sent)
(4962|4972) pub8  90% (29 samples sent)
(4962|4989) pub21<-match found! before write for 0103000c.297a35f2.1362049e.00000002(936f9177)
(4962|4989) pub21  12% (4 samples sent)
(4962|4989) pub21  21% (7 samples sent)
(4962|4989) pub21  31% (10 samples sent)
(4962|4989) pub21  40% (13 samples sent)
(4962|4989) pub21  50% (16 samples sent)
(4962|4989) pub21  62% (20 samples sent)
(4962|4989) pub21  71% (23 samples sent)
(4962|4972) pub8  100% (32 samples sent)
(4962|4972) pub8  waiting for acks
(4962|4989) pub21  81% (26 samples sent)
(4962|4989) pub21  90% (29 samples sent)
(4962|4989) pub21  100% (32 samples sent)
(4962|4989) pub21  waiting for acks
(4962|4967)  sub 40% (410 samples received)
(4962|4969) pub1  waiting for acks returned
(4962|4969) pub1<-delete_contained_entities
(4962|4974) pub17  waiting for acks returned
(4962|4975) pub9<-match found! before write for 0103000c.297a35f2.1362048b.00000002(3b6f8985)
(4962|4975) pub9  12% (4 samples sent)
(4962|4975) pub9  21% (7 samples sent)
(4962|4974) pub17<-delete_contained_entities
(4962|4978) pub16<-delete_participant
(4962|4975) pub9  31% (10 samples sent)
(4962|4975) pub9  40% (13 samples sent)
(4962|4975) pub9  50% (16 samples sent)
(4962|4975) pub9  62% (20 samples sent)
(4962|4975) pub9  71% (23 samples sent)
(4962|4975) pub9  81% (26 samples sent)
(4962|4975) pub9  90% (29 samples sent)
(4962|4980) pub5<-match found! before write for 0103000c.297a35f2.13620487.00000002(fe9f6484)
(4962|4980) pub5  12% (4 samples sent)
(4962|4980) pub5  21% (7 samples sent)
(4962|4980) pub5  31% (10 samples sent)
(4962|4980) pub5  40% (13 samples sent)
(4962|4980) pub5  50% (16 samples sent)
(4962|4980) pub5  62% (20 samples sent)
(4962|4980) pub5  71% (23 samples sent)
(4962|4980) pub5  81% (26 samples sent)
(4962|4980) pub5  90% (29 samples sent)
(4962|4980) pub5  100% (32 samples sent)
(4962|4980) pub5  waiting for acks
(4962|4975) pub9  100% (32 samples sent)
(4962|4975) pub9  waiting for acks
(4962|4971) pub2<-match found! before write for 0103000c.297a35f2.13620485.00000002(845f37e4)
(4962|4971) pub2  12% (4 samples sent)
(4962|4971) pub2  21% (7 samples sent)
(4962|4971) pub2  31% (10 samples sent)
(4962|4971) pub2  40% (13 samples sent)
(4962|4971) pub2  50% (16 samples sent)
(4962|4971) pub2  62% (20 samples sent)
(4962|4971) pub2  71% (23 samples sent)
(4962|4971) pub2  81% (26 samples sent)
(4962|4971) pub2  90% (29 samples sent)
(4962|4971) pub2  100% (32 samples sent)
(4962|4971) pub2  waiting for acks
(4962|4976) pub12<-match found! before write for 0103000c.297a35f2.1362048f.00000002(ceef2f45)
(4962|4976) pub12  12% (4 samples sent)
(4962|4976) pub12  21% (7 samples sent)
(4962|4976) pub12  31% (10 samples sent)
(4962|4976) pub12  40% (13 samples sent)
(4962|4976) pub12  50% (16 samples sent)
(4962|4976) pub12  62% (20 samples sent)
(4962|4976) pub12  71% (23 samples sent)
(4962|4976) pub12  81% (26 samples sent)
(4962|4976) pub12  90% (29 samples sent)
(4962|4976) pub12  100% (32 samples sent)
(4962|4976) pub12  waiting for acks
(4962|4967)  sub 50% (512 samples received)
(4962|4970) pub15  waiting for acks returned
(4962|4970) pub15<-delete_contained_entities
(4962|4977) pub10<-match found! before write for 0103000c.297a35f2.13620491.00000002(113f06a6)
(4962|4977) pub10  12% (4 samples sent)
(4962|4977) pub10  21% (7 samples sent)
(4962|4977) pub10  31% (10 samples sent)
(4962|4977) pub10  40% (13 samples sent)
(4962|4977) pub10  50% (16 samples sent)
(4962|4977) pub10  62% (20 samples sent)
(4962|4977) pub10  71% (23 samples sent)
(4962|4977) pub10  81% (26 samples sent)
(4962|4977) pub10  90% (29 samples sent)
(4962|4977) pub10  100% (32 samples sent)
(4962|4977) pub10  waiting for acks
(4962|4967)  sub 60% (615 samples received)
(4962|4973) pub3  waiting for acks returned
(4962|4973) pub3<-delete_contained_entities
(4962|4995) pub27  waiting for acks returned
(4962|4995) pub27<-delete_contained_entities
(4962|4991) pub23  waiting for acks returned
(4962|4991) pub23<-delete_contained_entities
(4962|4968) pub0  waiting for acks returned
(4962|4968) pub0<-delete_contained_entities
(4962|4981) pub4  waiting for acks returned
(4962|4981) pub4<-delete_contained_entities
(4962|4967)  sub 70% (717 samples received)
(4962|4972) pub8  waiting for acks returned
(4962|4972) pub8<-delete_contained_entities
(4962|4994) pub26  waiting for acks returned
(4962|4994) pub26<-delete_contained_entities
(4962|4989) pub21  waiting for acks returned
(4962|4989) pub21<-delete_contained_entities
(4962|4992) pub24  waiting for acks returned
(4962|4992) pub24<-delete_contained_entities
(4962|4967)  sub 80% (820 samples received)
(4962|4967)  sub 90% (922 samples received)
(4962|4975) pub9  waiting for acks returned
(4962|4975) pub9<-delete_contained_entities
(4962|4986) pub11  waiting for acks returned
(4962|4986) pub11<-delete_contained_entities
(4962|4976) pub12  waiting for acks returned
(4962|4976) pub12<-delete_contained_entities
(4962|4971) pub2  waiting for acks returned
(4962|4980) pub5  waiting for acks returned
(4962|4980) pub5<-delete_contained_entities
(4962|4971) pub2<-delete_contained_entities
(4962|4967) sub condition_.notify_all
(4962|4962) sub condition_.wait returned
(4962|4962) sub check_received
(4962|4962) sub check_received returns 0
(4962|4962) <- PublisherService::end
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4967) sub condition_.notify_all
(4962|4998) pub30  waiting for acks returned
(4962|4998) pub30<-delete_contained_entities
(4962|4997) pub28  waiting for acks returned
(4962|4997) pub28<-delete_contained_entities
(4962|4984) pub13  waiting for acks returned
(4962|4984) pub13<-delete_contained_entities
(4962|4969) pub1<-delete_participant
(4962|4974) pub17<-delete_participant
(4962|4967)  sub 100% (1024 samples received)
(4962|4967) sub condition_.notify_all
(4962|4977) pub10  waiting for acks returned
(4962|4977) pub10<-delete_contained_entities
(4962|4970) pub15<-delete_participant
(4962|4973) pub3<-delete_participant
(4962|4995) pub27<-delete_participant
(4962|4991) pub23<-delete_participant
(4962|4968) pub0<-delete_participant
(4962|4981) pub4<-delete_participant
(4962|4972) pub8<-delete_participant
(4962|4994) pub26<-delete_participant
(4962|4989) pub21<-delete_participant
(4962|4992) pub24<-delete_participant
(4962|4975) pub9<-delete_participant
(4962|4986) pub11<-delete_participant
(4962|4976) pub12<-delete_participant
(4962|4980) pub5<-delete_participant
(4962|4971) pub2<-delete_participant
(4962|4998) pub30<-delete_participant
(4962|4997) pub28<-delete_participant
(4962|4984) pub13<-delete_participant
(4962|4977) pub10<-delete_participant
(4962|4962) <- PublisherService::~PublisherService
(4962|4962) <- Subscriber delete_contained_entities
(4962|4962) <- Subscriber delete_participant
(4962|4962) <- Subscriber::~Subscriber
(4962|4962) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl high rtps Time:29s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl aggressive rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 64 -s 16 -n 1024 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 5139 started at 2023-04-28 16:22:17
(5139|5139) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(5139|5139) -> Thrasher started
(5139|5139) -> Subscriber::Subscriber
(5139|5139)    Subscriber reader id: 0103000c.297a35f2.1413393a.00000007(9e9c9a5b)
(5139|5139) -> PublisherService::PublisherService
(5139|5139) -> PublisherService::start (64 threads)
(5139|5139) sub wait_received 0:64
(5139|5150) pub8->transport cfg_8
(5139|5157) pub4->transport cfg_4
(5139|5145) pub0->transport cfg_0
(5139|5163) pub2->transport cfg_2
(5139|5149) pub3->transport cfg_3
(5139|5146) pub1->transport cfg_1
(5139|5150) pub8  writer id: 0103000c.297a35f2.14133942.00000002(2754c5da)
(5139|5150) pub8->started
(5139|5150) pub8->wait_match() before write for 0103000c.297a35f2.14133942.00000002(2754c5da)
(5139|5158) pub6->transport cfg_6
(5139|5159) pub10->transport cfg_10
(5139|5157) pub4  writer id: 0103000c.297a35f2.1413393f.00000002(2616e1a4)
(5139|5157) pub4->started
(5139|5157) pub4->wait_match() before write for 0103000c.297a35f2.1413393f.00000002(2616e1a4)
(5139|5145) pub0  writer id: 0103000c.297a35f2.1413393b.00000002(d3964764)
(5139|5145) pub0->started
(5139|5145) pub0->wait_match() before write for 0103000c.297a35f2.1413393b.00000002(d3964764)
(5139|5169) pub24->transport cfg_24
(5139|5156) pub12->transport cfg_12
(5139|5154) pub7->transport cfg_7
(5139|5163) pub2  writer id: 0103000c.297a35f2.1413393d.00000002(5cd6b2c4)
(5139|5163) pub2->started
(5139|5163) pub2->wait_match() before write for 0103000c.297a35f2.1413393d.00000002(5cd6b2c4)
(5139|5164) pub9->transport cfg_9
(5139|5166) pub21->transport cfg_21
(5139|5165) pub11->transport cfg_11
(5139|5146) pub1  writer id: 0103000c.297a35f2.1413393c.00000002(61b69b74)
(5139|5146) pub1->started
(5139|5146) pub1->wait_match() before write for 0103000c.297a35f2.1413393c.00000002(61b69b74)
(5139|5149) pub3  writer id: 0103000c.297a35f2.1413393e.00000002(1b76c814)
(5139|5149) pub3->started
(5139|5149) pub3->wait_match() before write for 0103000c.297a35f2.1413393e.00000002(1b76c814)
(5139|5158) pub6  writer id: 0103000c.297a35f2.14133940.00000002(5d9496ba)
(5139|5158) pub6->started
(5139|5158) pub6->wait_match() before write for 0103000c.297a35f2.14133940.00000002(5d9496ba)
(5139|5159) pub10  writer id: 0103000c.297a35f2.14133944.00000002(a814307a)
(5139|5159) pub10->started
(5139|5159) pub10->wait_match() before write for 0103000c.297a35f2.14133944.00000002(a814307a)
(5139|5168) pub23->transport cfg_23
(5139|5180) pub35->transport cfg_35
(5139|5156) pub12  writer id: 0103000c.297a35f2.14133946.00000002(d2d4631a)
(5139|5156) pub12->started
(5139|5156) pub12->wait_match() before write for 0103000c.297a35f2.14133946.00000002(d2d4631a)
(5139|5184) pub39->transport cfg_39
(5139|5162) pub5->transport cfg_5
(5139|5175) pub30->transport cfg_30
(5139|5164) pub9  writer id: 0103000c.297a35f2.14133945.00000002(957419ca)
(5139|5164) pub9->started
(5139|5164) pub9->wait_match() before write for 0103000c.297a35f2.14133945.00000002(957419ca)
(5139|5166) pub21  writer id: 0103000c.297a35f2.14133952.00000002(47b45258)
(5139|5166) pub21->started
(5139|5166) pub21->wait_match() before write for 0103000c.297a35f2.14133952.00000002(47b45258)
(5139|5169) pub24  writer id: 0103000c.297a35f2.14133956.00000002(b234f498)
(5139|5169) pub24->started
(5139|5169) pub24->wait_match() before write for 0103000c.297a35f2.14133956.00000002(b234f498)
(5139|5188) pub43->transport cfg_43
(5139|5154) pub7  writer id: 0103000c.297a35f2.14133941.00000002(60f4bf0a)
(5139|5154) pub7->started
(5139|5154) pub7->wait_match() before write for 0103000c.297a35f2.14133941.00000002(60f4bf0a)
(5139|5165) pub11  writer id: 0103000c.297a35f2.14133947.00000002(efb44aaa)
(5139|5165) pub11->started
(5139|5165) pub11->wait_match() before write for 0103000c.297a35f2.14133947.00000002(efb44aaa)
(5139|5153) pub16->transport cfg_16
(5139|5184) pub39  writer id: 0103000c.297a35f2.14133961.00000002(a135900e)
(5139|5184) pub39->started
(5139|5184) pub39->wait_match() before write for 0103000c.297a35f2.14133961.00000002(a135900e)
(5139|5180) pub35  writer id: 0103000c.297a35f2.14133953.00000002(7ad47be8)
(5139|5180) pub35->started
(5139|5180) pub35->wait_match() before write for 0103000c.297a35f2.14133953.00000002(7ad47be8)
(5139|5196) pub51->transport cfg_51
(5139|5183) pub38->transport cfg_38
(5139|5162) pub5  writer id: 0103000c.297a35f2.14133943.00000002(1a34ec6a)
(5139|5162) pub5->started
(5139|5162) pub5->wait_match() before write for 0103000c.297a35f2.14133943.00000002(1a34ec6a)
(5139|5179) pub34->transport cfg_34
(5139|5171) pub26->transport cfg_26
(5139|5147) pub18->transport cfg_18
(5139|5155) pub15->transport cfg_15
(5139|5170) pub25->transport cfg_25
(5139|5160) pub17->transport cfg_17
(5139|5175) pub30  writer id: 0103000c.297a35f2.14133950.00000002(3d740138)
(5139|5175) pub30->started
(5139|5175) pub30->wait_match() before write for 0103000c.297a35f2.14133950.00000002(3d740138)
(5139|5168) pub23  writer id: 0103000c.297a35f2.1413394e.00000002(e2a428db)
(5139|5168) pub23->started
(5139|5168) pub23->wait_match() before write for 0103000c.297a35f2.1413394e.00000002(e2a428db)
(5139|5193) pub48->transport cfg_48
(5139|5176) pub32->transport cfg_32
(5139|5187) pub42->transport cfg_42
(5139|5198) pub53->transport cfg_53
(5139|5148) pub20->transport cfg_20
(5139|5167) pub22->transport cfg_22
(5139|5161) pub13->transport cfg_13
(5139|5173) pub28->transport cfg_28
(5139|5191) pub45->transport cfg_45
(5139|5151) pub19->transport cfg_19
(5139|5197) pub52->transport cfg_52
(5139|5172) pub27->transport cfg_27
(5139|5204) pub59->transport cfg_59
(5139|5205) pub60->transport cfg_60
(5139|5188) pub43  writer id: 0103000c.297a35f2.1413396f.00000002(1e052e6f)
(5139|5188) pub43->started
(5139|5188) pub43->wait_match() before write for 0103000c.297a35f2.1413396f.00000002(1e052e6f)
(5139|5181) pub36->transport cfg_36
(5139|5189) pub44->transport cfg_44
(5139|5194) pub49->transport cfg_49
(5139|5207) pub62->transport cfg_62
(5139|5153) pub16  writer id: 0103000c.297a35f2.1413394c.00000002(98647bbb)
(5139|5153) pub16->started
(5139|5153) pub16->wait_match() before write for 0103000c.297a35f2.1413394c.00000002(98647bbb)
(5139|5178) pub33->transport cfg_33
(5139|5206) pub63->transport cfg_63
(5139|5200) pub55->transport cfg_55
(5139|5177) pub31->transport cfg_31
(5139|5186) pub41->transport cfg_41
(5139|5195) pub50->transport cfg_50
(5139|5174) pub29->transport cfg_29
(5139|5192) pub47->transport cfg_47
(5139|5201) pub56->transport cfg_56
(5139|5196) pub51  writer id: 0103000c.297a35f2.14133970.00000002(fcb52e3c)
(5139|5196) pub51->started
(5139|5196) pub51->wait_match() before write for 0103000c.297a35f2.14133970.00000002(fcb52e3c)
(5139|5202) pub57->transport cfg_57
(5139|5182) pub37->transport cfg_37
(5139|5199) pub54->transport cfg_54
(5139|5203) pub58->transport cfg_58
(5139|5183) pub38  writer id: 0103000c.297a35f2.1413395f.00000002(bf2496e9)
(5139|5183) pub38->started
(5139|5183) pub38->wait_match() before write for 0103000c.297a35f2.1413395f.00000002(bf2496e9)
(5139|5179) pub34  writer id: 0103000c.297a35f2.14133951.00000002(00142888)
(5139|5179) pub34->started
(5139|5179) pub34->wait_match() before write for 0103000c.297a35f2.14133951.00000002(00142888)
(5139|5190) pub46->transport cfg_46
(5139|5152) pub14->transport cfg_14
(5139|5147) pub18  writer id: 0103000c.297a35f2.1413394f.00000002(dfc4016b)
(5139|5147) pub18->started
(5139|5147) pub18->wait_match() before write for 0103000c.297a35f2.1413394f.00000002(dfc4016b)
(5139|5170) pub25  writer id: 0103000c.297a35f2.14133957.00000002(8f54dd28)
(5139|5170) pub25->started
(5139|5170) pub25->wait_match() before write for 0103000c.297a35f2.14133957.00000002(8f54dd28)
(5139|5155) pub15  writer id: 0103000c.297a35f2.14133949.00000002(5084f4cb)
(5139|5155) pub15->started
(5139|5155) pub15->wait_match() before write for 0103000c.297a35f2.14133949.00000002(5084f4cb)
(5139|5167) pub22  writer id: 0103000c.297a35f2.14133955.00000002(f5948e48)
(5139|5167) pub22->started
(5139|5167) pub22->wait_match() before write for 0103000c.297a35f2.14133955.00000002(f5948e48)
(5139|5171) pub26  writer id: 0103000c.297a35f2.14133958.00000002(0d044af9)
(5139|5173) pub28  writer id: 0103000c.297a35f2.1413395a.00000002(77c41999)
(5139|5173) pub28->started
(5139|5173) pub28->wait_match() before write for 0103000c.297a35f2.1413395a.00000002(77c41999)
(5139|5185) pub40->transport cfg_40
(5139|5208) pub61->transport cfg_61
(5139|5151) pub19  writer id: 0103000c.297a35f2.1413394d.00000002(a504520b)
(5139|5151) pub19->started
(5139|5151) pub19->wait_match() before write for 0103000c.297a35f2.1413394d.00000002(a504520b)
(5139|5187) pub42  writer id: 0103000c.297a35f2.1413396e.00000002(236507df)
(5139|5187) pub42->started
(5139|5187) pub42->wait_match() before write for 0103000c.297a35f2.1413396e.00000002(236507df)
(5139|5160) pub17  writer id: 0103000c.297a35f2.1413394b.00000002(2a44a7ab)
(5139|5160) pub17->started
(5139|5160) pub17->wait_match() before write for 0103000c.297a35f2.1413394b.00000002(2a44a7ab)
(5139|5193) pub48  writer id: 0103000c.297a35f2.1413396a.00000002(d6e5a11f)
(5139|5193) pub48->started
(5139|5193) pub48->wait_match() before write for 0103000c.297a35f2.1413396a.00000002(d6e5a11f)
(5139|5198) pub53  writer id: 0103000c.297a35f2.14133977.00000002(4e95f22c)
(5139|5198) pub53->started
(5139|5198) pub53->wait_match() before write for 0103000c.297a35f2.14133977.00000002(4e95f22c)
(5139|5176) pub32  writer id: 0103000c.297a35f2.1413395c.00000002(f884ec39)
(5139|5176) pub32->started
(5139|5176) pub32->wait_match() before write for 0103000c.297a35f2.1413395c.00000002(f884ec39)
(5139|5161) pub13  writer id: 0103000c.297a35f2.1413394a.00000002(17248e1b)
(5139|5161) pub13->started
(5139|5161) pub13->wait_match() before write for 0103000c.297a35f2.1413394a.00000002(17248e1b)
(5139|5205) pub60  writer id: 0103000c.297a35f2.1413396d.00000002(64c57d0f)
(5139|5205) pub60->started
(5139|5205) pub60->wait_match() before write for 0103000c.297a35f2.1413396d.00000002(64c57d0f)
(5139|5148) pub20  writer id: 0103000c.297a35f2.14133954.00000002(c8f4a7f8)
(5139|5148) pub20->started
(5139|5172) pub27  writer id: 0103000c.297a35f2.14133959.00000002(30646349)
(5139|5172) pub27->started
(5139|5172) pub27->wait_match() before write for 0103000c.297a35f2.14133959.00000002(30646349)
(5139|5204) pub59  writer id: 0103000c.297a35f2.1413396c.00000002(59a554bf)
(5139|5204) pub59->started
(5139|5204) pub59->wait_match() before write for 0103000c.297a35f2.1413396c.00000002(59a554bf)
(5139|5189) pub44  writer id: 0103000c.297a35f2.14133964.00000002(69d51f7e)
(5139|5189) pub44->started
(5139|5189) pub44->wait_match() before write for 0103000c.297a35f2.14133964.00000002(69d51f7e)
(5139|5191) pub45  writer id: 0103000c.297a35f2.14133967.00000002(2e7565ae)
(5139|5191) pub45->started
(5139|5191) pub45->wait_match() before write for 0103000c.297a35f2.14133967.00000002(2e7565ae)
(5139|5171) pub26->started
(5139|5171) pub26->wait_match() before write for 0103000c.297a35f2.14133958.00000002(0d044af9)
(5139|5181) pub36  writer id: 0103000c.297a35f2.1413395e.00000002(8244bf59)
(5139|5178) pub33  writer id: 0103000c.297a35f2.14133966.00000002(13154c1e)
(5139|5178) pub33->started
(5139|5178) pub33->wait_match() before write for 0103000c.297a35f2.14133966.00000002(13154c1e)
(5139|5194) pub49  writer id: 0103000c.297a35f2.1413396b.00000002(eb8588af)
(5139|5194) pub49->started
(5139|5194) pub49->wait_match() before write for 0103000c.297a35f2.1413396b.00000002(eb8588af)
(5139|5197) pub52  writer id: 0103000c.297a35f2.14133971.00000002(c1d5078c)
(5139|5148) pub20->wait_match() before write for 0103000c.297a35f2.14133954.00000002(c8f4a7f8)
(5139|5206) pub63  writer id: 0103000c.297a35f2.1413397a.00000002(b605369d)
(5139|5206) pub63->started
(5139|5206) pub63->wait_match() before write for 0103000c.297a35f2.1413397a.00000002(b605369d)
(5139|5207) pub62  writer id: 0103000c.297a35f2.14133978.00000002(ccc565fd)
(5139|5177) pub31  writer id: 0103000c.297a35f2.1413395d.00000002(c5e4c589)
(5139|5177) pub31->started
(5139|5177) pub31->wait_match() before write for 0103000c.297a35f2.1413395d.00000002(c5e4c589)
(5139|5181) pub36->started
(5139|5181) pub36->wait_match() before write for 0103000c.297a35f2.1413395e.00000002(8244bf59)
(5139|5186) pub41  writer id: 0103000c.297a35f2.14133963.00000002(dbf5c36e)
(5139|5186) pub41->started
(5139|5186) pub41->wait_match() before write for 0103000c.297a35f2.14133963.00000002(dbf5c36e)
(5139|5174) pub29  writer id: 0103000c.297a35f2.1413395b.00000002(4aa43029)
(5139|5174) pub29->started
(5139|5174) pub29->wait_match() before write for 0103000c.297a35f2.1413395b.00000002(4aa43029)
(5139|5201) pub56  writer id: 0103000c.297a35f2.14133973.00000002(bb1554ec)
(5139|5201) pub56->started
(5139|5201) pub56->wait_match() before write for 0103000c.297a35f2.14133973.00000002(bb1554ec)
(5139|5200) pub55  writer id: 0103000c.297a35f2.14133976.00000002(73f5db9c)
(5139|5200) pub55->started
(5139|5200) pub55->wait_match() before write for 0103000c.297a35f2.14133976.00000002(73f5db9c)
(5139|5197) pub52->started
(5139|5197) pub52->wait_match() before write for 0103000c.297a35f2.14133971.00000002(c1d5078c)
(5139|5203) pub58  writer id: 0103000c.297a35f2.14133975.00000002(3455a14c)
(5139|5203) pub58->started
(5139|5203) pub58->wait_match() before write for 0103000c.297a35f2.14133975.00000002(3455a14c)
(5139|5202) pub57  writer id: 0103000c.297a35f2.14133974.00000002(093588fc)
(5139|5202) pub57->started
(5139|5202) pub57->wait_match() before write for 0103000c.297a35f2.14133974.00000002(093588fc)
(5139|5207) pub62->started
(5139|5207) pub62->wait_match() before write for 0103000c.297a35f2.14133978.00000002(ccc565fd)
(5139|5199) pub54  writer id: 0103000c.297a35f2.14133972.00000002(86757d5c)
(5139|5152) pub14  writer id: 0103000c.297a35f2.14133948.00000002(6de4dd7b)
(5139|5152) pub14->started
(5139|5152) pub14->wait_match() before write for 0103000c.297a35f2.14133948.00000002(6de4dd7b)
(5139|5185) pub40  writer id: 0103000c.297a35f2.14133962.00000002(e695eade)
(5139|5185) pub40->started
(5139|5185) pub40->wait_match() before write for 0103000c.297a35f2.14133962.00000002(e695eade)
(5139|5195) pub50  writer id: 0103000c.297a35f2.14133965.00000002(54b536ce)
(5139|5195) pub50->started
(5139|5195) pub50->wait_match() before write for 0103000c.297a35f2.14133965.00000002(54b536ce)
(5139|5182) pub37  writer id: 0103000c.297a35f2.14133960.00000002(9c55b9be)
(5139|5192) pub47  writer id: 0103000c.297a35f2.14133968.00000002(ac25f27f)
(5139|5192) pub47->started
(5139|5192) pub47->wait_match() before write for 0103000c.297a35f2.14133968.00000002(ac25f27f)
(5139|5190) pub46  writer id: 0103000c.297a35f2.14133969.00000002(9145dbcf)
(5139|5190) pub46->started
(5139|5190) pub46->wait_match() before write for 0103000c.297a35f2.14133969.00000002(9145dbcf)
(5139|5199) pub54->started
(5139|5199) pub54->wait_match() before write for 0103000c.297a35f2.14133972.00000002(86757d5c)
(5139|5182) pub37->started
(5139|5182) pub37->wait_match() before write for 0103000c.297a35f2.14133960.00000002(9c55b9be)
(5139|5208) pub61  writer id: 0103000c.297a35f2.14133979.00000002(f1a54c4d)
(5139|5208) pub61->started
(5139|5208) pub61->wait_match() before write for 0103000c.297a35f2.14133979.00000002(f1a54c4d)
(5139|5183) pub38<-match found! before write for 0103000c.297a35f2.1413395f.00000002(bf2496e9)
(5139|5183) pub38  12% (2 samples sent)
(5139|5183) pub38  25% (4 samples sent)
(5139|5183) pub38  31% (5 samples sent)
(5139|5183) pub38  43% (7 samples sent)
(5139|5183) pub38  50% (8 samples sent)
(5139|5183) pub38  62% (10 samples sent)
(5139|5183) pub38  75% (12 samples sent)
(5139|5183) pub38  81% (13 samples sent)
(5139|5183) pub38  93% (15 samples sent)
(5139|5183) pub38  100% (16 samples sent)
(5139|5183) pub38  waiting for acks
(5139|5160) pub17<-match found! before write for 0103000c.297a35f2.1413394b.00000002(2a44a7ab)
(5139|5160) pub17  12% (2 samples sent)
(5139|5160) pub17  25% (4 samples sent)
(5139|5160) pub17  31% (5 samples sent)
(5139|5160) pub17  43% (7 samples sent)
(5139|5160) pub17  50% (8 samples sent)
(5139|5160) pub17  62% (10 samples sent)
(5139|5160) pub17  75% (12 samples sent)
(5139|5160) pub17  81% (13 samples sent)
(5139|5160) pub17  93% (15 samples sent)
(5139|5160) pub17  100% (16 samples sent)
(5139|5160) pub17  waiting for acks
(5139|5155) pub15<-match found! before write for 0103000c.297a35f2.14133949.00000002(5084f4cb)
(5139|5155) pub15  12% (2 samples sent)
(5139|5155) pub15  25% (4 samples sent)
(5139|5155) pub15  31% (5 samples sent)
(5139|5155) pub15  43% (7 samples sent)
(5139|5155) pub15  50% (8 samples sent)
(5139|5155) pub15  62% (10 samples sent)
(5139|5155) pub15  75% (12 samples sent)
(5139|5155) pub15  81% (13 samples sent)
(5139|5155) pub15  93% (15 samples sent)
(5139|5155) pub15  100% (16 samples sent)
(5139|5155) pub15  waiting for acks
(5139|5183) pub38  waiting for acks returned
(5139|5183) pub38<-delete_contained_entities
(5139|5183) pub38<-delete_participant
(5139|5145) pub0<-match found! before write for 0103000c.297a35f2.1413393b.00000002(d3964764)
(5139|5145) pub0  12% (2 samples sent)
(5139|5145) pub0  25% (4 samples sent)
(5139|5145) pub0  31% (5 samples sent)
(5139|5145) pub0  43% (7 samples sent)
(5139|5145) pub0  50% (8 samples sent)
(5139|5145) pub0  62% (10 samples sent)
(5139|5145) pub0  75% (12 samples sent)
(5139|5145) pub0  81% (13 samples sent)
(5139|5145) pub0  93% (15 samples sent)
(5139|5145) pub0  100% (16 samples sent)
(5139|5145) pub0  waiting for acks
(5139|5145) pub0  waiting for acks returned
(5139|5145) pub0<-delete_contained_entities
(5139|5145) pub0<-delete_participant
(5139|5175) pub30<-match found! before write for 0103000c.297a35f2.14133950.00000002(3d740138)
(5139|5175) pub30  12% (2 samples sent)
(5139|5175) pub30  25% (4 samples sent)
(5139|5175) pub30  31% (5 samples sent)
(5139|5175) pub30  43% (7 samples sent)
(5139|5175) pub30  50% (8 samples sent)
(5139|5175) pub30  62% (10 samples sent)
(5139|5175) pub30  75% (12 samples sent)
(5139|5175) pub30  81% (13 samples sent)
(5139|5175) pub30  93% (15 samples sent)
(5139|5175) pub30  100% (16 samples sent)
(5139|5175) pub30  waiting for acks
(5139|5154) pub7<-match found! before write for 0103000c.297a35f2.14133941.00000002(60f4bf0a)
(5139|5154) pub7  12% (2 samples sent)
(5139|5154) pub7  25% (4 samples sent)
(5139|5154) pub7  31% (5 samples sent)
(5139|5154) pub7  43% (7 samples sent)
(5139|5154) pub7  50% (8 samples sent)
(5139|5154) pub7  62% (10 samples sent)
(5139|5154) pub7  75% (12 samples sent)
(5139|5154) pub7  81% (13 samples sent)
(5139|5154) pub7  93% (15 samples sent)
(5139|5154) pub7  100% (16 samples sent)
(5139|5154) pub7  waiting for acks
(5139|5207) pub62<-match found! before write for 0103000c.297a35f2.14133978.00000002(ccc565fd)
(5139|5207) pub62  12% (2 samples sent)
(5139|5207) pub62  25% (4 samples sent)
(5139|5207) pub62  31% (5 samples sent)
(5139|5207) pub62  43% (7 samples sent)
(5139|5207) pub62  50% (8 samples sent)
(5139|5207) pub62  62% (10 samples sent)
(5139|5207) pub62  75% (12 samples sent)
(5139|5207) pub62  81% (13 samples sent)
(5139|5207) pub62  93% (15 samples sent)
(5139|5207) pub62  100% (16 samples sent)
(5139|5207) pub62  waiting for acks
(5139|5164) pub9<-match found! before write for 0103000c.297a35f2.14133945.00000002(957419ca)
(5139|5164) pub9  12% (2 samples sent)
(5139|5164) pub9  25% (4 samples sent)
(5139|5164) pub9  31% (5 samples sent)
(5139|5164) pub9  43% (7 samples sent)
(5139|5164) pub9  50% (8 samples sent)
(5139|5164) pub9  62% (10 samples sent)
(5139|5164) pub9  75% (12 samples sent)
(5139|5164) pub9  81% (13 samples sent)
(5139|5164) pub9  93% (15 samples sent)
(5139|5164) pub9  100% (16 samples sent)
(5139|5164) pub9  waiting for acks
(5139|5171) pub26<-match found! before write for 0103000c.297a35f2.14133958.00000002(0d044af9)
(5139|5171) pub26  12% (2 samples sent)
(5139|5171) pub26  25% (4 samples sent)
(5139|5171) pub26  31% (5 samples sent)
(5139|5171) pub26  43% (7 samples sent)
(5139|5171) pub26  50% (8 samples sent)
(5139|5171) pub26  62% (10 samples sent)
(5139|5171) pub26  75% (12 samples sent)
(5139|5171) pub26  81% (13 samples sent)
(5139|5171) pub26  93% (15 samples sent)
(5139|5171) pub26  100% (16 samples sent)
(5139|5171) pub26  waiting for acks
(5139|5160) pub17  waiting for acks returned
(5139|5160) pub17<-delete_contained_entities
(5139|5160) pub17<-delete_participant
(5139|5155) pub15  waiting for acks returned
(5139|5155) pub15<-delete_contained_entities
(5139|5154) pub7  waiting for acks returned
(5139|5154) pub7<-delete_contained_entities
(5139|5155) pub15<-delete_participant
(5139|5154) pub7<-delete_participant
(5139|5185) pub40<-match found! before write for 0103000c.297a35f2.14133962.00000002(e695eade)
(5139|5185) pub40  12% (2 samples sent)
(5139|5185) pub40  25% (4 samples sent)
(5139|5185) pub40  31% (5 samples sent)
(5139|5185) pub40  43% (7 samples sent)
(5139|5185) pub40  50% (8 samples sent)
(5139|5185) pub40  62% (10 samples sent)
(5139|5185) pub40  75% (12 samples sent)
(5139|5185) pub40  81% (13 samples sent)
(5139|5185) pub40  93% (15 samples sent)
(5139|5185) pub40  100% (16 samples sent)
(5139|5185) pub40  waiting for acks
(5139|5192) pub47<-match found! before write for 0103000c.297a35f2.14133968.00000002(ac25f27f)
(5139|5192) pub47  12% (2 samples sent)
(5139|5192) pub47  25% (4 samples sent)
(5139|5192) pub47  31% (5 samples sent)
(5139|5192) pub47  43% (7 samples sent)
(5139|5192) pub47  50% (8 samples sent)
(5139|5192) pub47  62% (10 samples sent)
(5139|5192) pub47  75% (12 samples sent)
(5139|5192) pub47  81% (13 samples sent)
(5139|5192) pub47  93% (15 samples sent)
(5139|5192) pub47  100% (16 samples sent)
(5139|5192) pub47  waiting for acks
(5139|5178) pub33<-match found! before write for 0103000c.297a35f2.14133966.00000002(13154c1e)
(5139|5178) pub33  12% (2 samples sent)
(5139|5178) pub33  25% (4 samples sent)
(5139|5178) pub33  31% (5 samples sent)
(5139|5178) pub33  43% (7 samples sent)
(5139|5178) pub33  50% (8 samples sent)
(5139|5178) pub33  62% (10 samples sent)
(5139|5178) pub33  75% (12 samples sent)
(5139|5178) pub33  81% (13 samples sent)
(5139|5178) pub33  93% (15 samples sent)
(5139|5178) pub33  100% (16 samples sent)
(5139|5178) pub33  waiting for acks
(5139|5185) pub40  waiting for acks returned
(5139|5185) pub40<-delete_contained_entities
(5139|5185) pub40<-delete_participant
(5139|5144)  sub 10% (103 samples received)
(5139|5171) pub26  waiting for acks returned
(5139|5171) pub26<-delete_contained_entities
(5139|5171) pub26<-delete_participant
(5139|5199) pub54<-match found! before write for 0103000c.297a35f2.14133972.00000002(86757d5c)
(5139|5199) pub54  12% (2 samples sent)
(5139|5199) pub54  25% (4 samples sent)
(5139|5199) pub54  31% (5 samples sent)
(5139|5199) pub54  43% (7 samples sent)
(5139|5199) pub54  50% (8 samples sent)
(5139|5199) pub54  62% (10 samples sent)
(5139|5199) pub54  75% (12 samples sent)
(5139|5199) pub54  81% (13 samples sent)
(5139|5199) pub54  93% (15 samples sent)
(5139|5199) pub54  100% (16 samples sent)
(5139|5199) pub54  waiting for acks
(5139|5178) pub33  waiting for acks returned
(5139|5178) pub33<-delete_contained_entities
(5139|5195) pub50<-match found! before write for 0103000c.297a35f2.14133965.00000002(54b536ce)
(5139|5195) pub50  12% (2 samples sent)
(5139|5195) pub50  25% (4 samples sent)
(5139|5195) pub50  31% (5 samples sent)
(5139|5195) pub50  43% (7 samples sent)
(5139|5195) pub50  50% (8 samples sent)
(5139|5195) pub50  62% (10 samples sent)
(5139|5195) pub50  75% (12 samples sent)
(5139|5195) pub50  81% (13 samples sent)
(5139|5195) pub50  93% (15 samples sent)
(5139|5195) pub50  100% (16 samples sent)
(5139|5195) pub50  waiting for acks
(5139|5164) pub9  waiting for acks returned
(5139|5164) pub9<-delete_contained_entities
(5139|5178) pub33<-delete_participant
(5139|5202) pub57<-match found! before write for 0103000c.297a35f2.14133974.00000002(093588fc)
(5139|5202) pub57  12% (2 samples sent)
(5139|5202) pub57  25% (4 samples sent)
(5139|5202) pub57  31% (5 samples sent)
(5139|5202) pub57  43% (7 samples sent)
(5139|5202) pub57  50% (8 samples sent)
(5139|5202) pub57  62% (10 samples sent)
(5139|5202) pub57  75% (12 samples sent)
(5139|5202) pub57  81% (13 samples sent)
(5139|5202) pub57  93% (15 samples sent)
(5139|5202) pub57  100% (16 samples sent)
(5139|5202) pub57  waiting for acks
(5139|5195) pub50  waiting for acks returned
(5139|5195) pub50<-delete_contained_entities
(5139|5164) pub9<-delete_participant
(5139|5207) pub62  waiting for acks returned
(5139|5207) pub62<-delete_contained_entities
(5139|5195) pub50<-delete_participant
(5139|5193) pub48<-match found! before write for 0103000c.297a35f2.1413396a.00000002(d6e5a11f)
(5139|5193) pub48  12% (2 samples sent)
(5139|5193) pub48  25% (4 samples sent)
(5139|5193) pub48  31% (5 samples sent)
(5139|5193) pub48  43% (7 samples sent)
(5139|5193) pub48  50% (8 samples sent)
(5139|5193) pub48  62% (10 samples sent)
(5139|5193) pub48  75% (12 samples sent)
(5139|5193) pub48  81% (13 samples sent)
(5139|5193) pub48  93% (15 samples sent)
(5139|5193) pub48  100% (16 samples sent)
(5139|5193) pub48  waiting for acks
(5139|5207) pub62<-delete_participant
(5139|5200) pub55<-match found! before write for 0103000c.297a35f2.14133976.00000002(73f5db9c)
(5139|5200) pub55  12% (2 samples sent)
(5139|5200) pub55  25% (4 samples sent)
(5139|5200) pub55  31% (5 samples sent)
(5139|5200) pub55  43% (7 samples sent)
(5139|5200) pub55  50% (8 samples sent)
(5139|5200) pub55  62% (10 samples sent)
(5139|5200) pub55  75% (12 samples sent)
(5139|5200) pub55  81% (13 samples sent)
(5139|5200) pub55  93% (15 samples sent)
(5139|5200) pub55  100% (16 samples sent)
(5139|5200) pub55  waiting for acks
(5139|5200) pub55  waiting for acks returned
(5139|5200) pub55<-delete_contained_entities
(5139|5187) pub42<-match found! before write for 0103000c.297a35f2.1413396e.00000002(236507df)
(5139|5187) pub42  12% (2 samples sent)
(5139|5187) pub42  25% (4 samples sent)
(5139|5187) pub42  31% (5 samples sent)
(5139|5187) pub42  43% (7 samples sent)
(5139|5187) pub42  50% (8 samples sent)
(5139|5187) pub42  62% (10 samples sent)
(5139|5187) pub42  75% (12 samples sent)
(5139|5187) pub42  81% (13 samples sent)
(5139|5187) pub42  93% (15 samples sent)
(5139|5187) pub42  100% (16 samples sent)
(5139|5187) pub42  waiting for acks
(5139|5144)  sub 20% (205 samples received)
(5139|5187) pub42  waiting for acks returned
(5139|5187) pub42<-delete_contained_entities
(5139|5200) pub55<-delete_participant
(5139|5190) pub46<-match found! before write for 0103000c.297a35f2.14133969.00000002(9145dbcf)
(5139|5190) pub46  12% (2 samples sent)
(5139|5190) pub46  25% (4 samples sent)
(5139|5190) pub46  31% (5 samples sent)
(5139|5190) pub46  43% (7 samples sent)
(5139|5190) pub46  50% (8 samples sent)
(5139|5190) pub46  62% (10 samples sent)
(5139|5190) pub46  75% (12 samples sent)
(5139|5190) pub46  81% (13 samples sent)
(5139|5190) pub46  93% (15 samples sent)
(5139|5190) pub46  100% (16 samples sent)
(5139|5190) pub46  waiting for acks
(5139|5187) pub42<-delete_participant
(5139|5204) pub59<-match found! before write for 0103000c.297a35f2.1413396c.00000002(59a554bf)
(5139|5204) pub59  12% (2 samples sent)
(5139|5204) pub59  25% (4 samples sent)
(5139|5204) pub59  31% (5 samples sent)
(5139|5204) pub59  43% (7 samples sent)
(5139|5204) pub59  50% (8 samples sent)
(5139|5204) pub59  62% (10 samples sent)
(5139|5204) pub59  75% (12 samples sent)
(5139|5204) pub59  81% (13 samples sent)
(5139|5204) pub59  93% (15 samples sent)
(5139|5204) pub59  100% (16 samples sent)
(5139|5204) pub59  waiting for acks
(5139|5186) pub41<-match found! before write for 0103000c.297a35f2.14133963.00000002(dbf5c36e)
(5139|5186) pub41  12% (2 samples sent)
(5139|5186) pub41  25% (4 samples sent)
(5139|5186) pub41  31% (5 samples sent)
(5139|5186) pub41  43% (7 samples sent)
(5139|5186) pub41  50% (8 samples sent)
(5139|5186) pub41  62% (10 samples sent)
(5139|5186) pub41  75% (12 samples sent)
(5139|5186) pub41  81% (13 samples sent)
(5139|5186) pub41  93% (15 samples sent)
(5139|5186) pub41  100% (16 samples sent)
(5139|5186) pub41  waiting for acks
(5139|5192) pub47  waiting for acks returned
(5139|5192) pub47<-delete_contained_entities
(5139|5192) pub47<-delete_participant
(5139|5199) pub54  waiting for acks returned
(5139|5199) pub54<-delete_contained_entities
(5139|5199) pub54<-delete_participant
(5139|5152) pub14<-match found! before write for 0103000c.297a35f2.14133948.00000002(6de4dd7b)
(5139|5152) pub14  12% (2 samples sent)
(5139|5152) pub14  25% (4 samples sent)
(5139|5152) pub14  31% (5 samples sent)
(5139|5152) pub14  43% (7 samples sent)
(5139|5152) pub14  50% (8 samples sent)
(5139|5152) pub14  62% (10 samples sent)
(5139|5152) pub14  75% (12 samples sent)
(5139|5152) pub14  81% (13 samples sent)
(5139|5152) pub14  93% (15 samples sent)
(5139|5152) pub14  100% (16 samples sent)
(5139|5152) pub14  waiting for acks
(5139|5148) pub20<-match found! before write for 0103000c.297a35f2.14133954.00000002(c8f4a7f8)
(5139|5148) pub20  12% (2 samples sent)
(5139|5148) pub20  25% (4 samples sent)
(5139|5148) pub20  31% (5 samples sent)
(5139|5148) pub20  43% (7 samples sent)
(5139|5148) pub20  50% (8 samples sent)
(5139|5148) pub20  62% (10 samples sent)
(5139|5148) pub20  75% (12 samples sent)
(5139|5148) pub20  81% (13 samples sent)
(5139|5148) pub20  93% (15 samples sent)
(5139|5148) pub20  100% (16 samples sent)
(5139|5148) pub20  waiting for acks
(5139|5203) pub58<-match found! before write for 0103000c.297a35f2.14133975.00000002(3455a14c)
(5139|5203) pub58  12% (2 samples sent)
(5139|5203) pub58  25% (4 samples sent)
(5139|5203) pub58  31% (5 samples sent)
(5139|5203) pub58  43% (7 samples sent)
(5139|5203) pub58  50% (8 samples sent)
(5139|5203) pub58  62% (10 samples sent)
(5139|5203) pub58  75% (12 samples sent)
(5139|5203) pub58  81% (13 samples sent)
(5139|5203) pub58  93% (15 samples sent)
(5139|5203) pub58  100% (16 samples sent)
(5139|5203) pub58  waiting for acks
(5139|5152) pub14  waiting for acks returned
(5139|5152) pub14<-delete_contained_entities
(5139|5181) pub36<-match found! before write for 0103000c.297a35f2.1413395e.00000002(8244bf59)
(5139|5181) pub36  12% (2 samples sent)
(5139|5181) pub36  25% (4 samples sent)
(5139|5181) pub36  31% (5 samples sent)
(5139|5181) pub36  43% (7 samples sent)
(5139|5181) pub36  50% (8 samples sent)
(5139|5181) pub36  62% (10 samples sent)
(5139|5181) pub36  75% (12 samples sent)
(5139|5181) pub36  81% (13 samples sent)
(5139|5181) pub36  93% (15 samples sent)
(5139|5181) pub36  100% (16 samples sent)
(5139|5181) pub36  waiting for acks
(5139|5203) pub58  waiting for acks returned
(5139|5203) pub58<-delete_contained_entities
(5139|5158) pub6<-match found! before write for 0103000c.297a35f2.14133940.00000002(5d9496ba)
(5139|5158) pub6  12% (2 samples sent)
(5139|5158) pub6  25% (4 samples sent)
(5139|5158) pub6  31% (5 samples sent)
(5139|5158) pub6  43% (7 samples sent)
(5139|5158) pub6  50% (8 samples sent)
(5139|5158) pub6  62% (10 samples sent)
(5139|5158) pub6  75% (12 samples sent)
(5139|5158) pub6  81% (13 samples sent)
(5139|5158) pub6  93% (15 samples sent)
(5139|5158) pub6  100% (16 samples sent)
(5139|5158) pub6  waiting for acks
(5139|5152) pub14<-delete_participant
(5139|5201) pub56<-match found! before write for 0103000c.297a35f2.14133973.00000002(bb1554ec)
(5139|5201) pub56  12% (2 samples sent)
(5139|5201) pub56  25% (4 samples sent)
(5139|5201) pub56  31% (5 samples sent)
(5139|5201) pub56  43% (7 samples sent)
(5139|5201) pub56  50% (8 samples sent)
(5139|5201) pub56  62% (10 samples sent)
(5139|5201) pub56  75% (12 samples sent)
(5139|5201) pub56  81% (13 samples sent)
(5139|5201) pub56  93% (15 samples sent)
(5139|5201) pub56  100% (16 samples sent)
(5139|5201) pub56  waiting for acks
(5139|5158) pub6  waiting for acks returned
(5139|5158) pub6<-delete_contained_entities
(5139|5181) pub36  waiting for acks returned
(5139|5181) pub36<-delete_contained_entities
(5139|5203) pub58<-delete_participant
(5139|5144)  sub 30% (308 samples received)
(5139|5175) pub30  waiting for acks returned
(5139|5175) pub30<-delete_contained_entities
(5139|5158) pub6<-delete_participant
(5139|5181) pub36<-delete_participant
(5139|5149) pub3<-match found! before write for 0103000c.297a35f2.1413393e.00000002(1b76c814)
(5139|5149) pub3  12% (2 samples sent)
(5139|5149) pub3  25% (4 samples sent)
(5139|5149) pub3  31% (5 samples sent)
(5139|5149) pub3  43% (7 samples sent)
(5139|5149) pub3  50% (8 samples sent)
(5139|5149) pub3  62% (10 samples sent)
(5139|5149) pub3  75% (12 samples sent)
(5139|5149) pub3  81% (13 samples sent)
(5139|5149) pub3  93% (15 samples sent)
(5139|5149) pub3  100% (16 samples sent)
(5139|5149) pub3  waiting for acks
(5139|5170) pub25<-match found! before write for 0103000c.297a35f2.14133957.00000002(8f54dd28)
(5139|5170) pub25  12% (2 samples sent)
(5139|5170) pub25  25% (4 samples sent)
(5139|5170) pub25  31% (5 samples sent)
(5139|5170) pub25  43% (7 samples sent)
(5139|5170) pub25  50% (8 samples sent)
(5139|5170) pub25  62% (10 samples sent)
(5139|5170) pub25  75% (12 samples sent)
(5139|5170) pub25  81% (13 samples sent)
(5139|5170) pub25  93% (15 samples sent)
(5139|5170) pub25  100% (16 samples sent)
(5139|5170) pub25  waiting for acks
(5139|5149) pub3  waiting for acks returned
(5139|5149) pub3<-delete_contained_entities
(5139|5170) pub25  waiting for acks returned
(5139|5170) pub25<-delete_contained_entities
(5139|5175) pub30<-delete_participant
(5139|5202) pub57  waiting for acks returned
(5139|5202) pub57<-delete_contained_entities
(5139|5173) pub28<-match found! before write for 0103000c.297a35f2.1413395a.00000002(77c41999)
(5139|5173) pub28  12% (2 samples sent)
(5139|5173) pub28  25% (4 samples sent)
(5139|5173) pub28  31% (5 samples sent)
(5139|5173) pub28  43% (7 samples sent)
(5139|5173) pub28  50% (8 samples sent)
(5139|5173) pub28  62% (10 samples sent)
(5139|5173) pub28  75% (12 samples sent)
(5139|5173) pub28  81% (13 samples sent)
(5139|5173) pub28  93% (15 samples sent)
(5139|5173) pub28  100% (16 samples sent)
(5139|5173) pub28  waiting for acks
(5139|5149) pub3<-delete_participant
(5139|5173) pub28  waiting for acks returned
(5139|5173) pub28<-delete_contained_entities
(5139|5170) pub25<-delete_participant
(5139|5202) pub57<-delete_participant
(5139|5151) pub19<-match found! before write for 0103000c.297a35f2.1413394d.00000002(a504520b)
(5139|5151) pub19  12% (2 samples sent)
(5139|5151) pub19  25% (4 samples sent)
(5139|5151) pub19  31% (5 samples sent)
(5139|5151) pub19  43% (7 samples sent)
(5139|5151) pub19  50% (8 samples sent)
(5139|5151) pub19  62% (10 samples sent)
(5139|5151) pub19  75% (12 samples sent)
(5139|5151) pub19  81% (13 samples sent)
(5139|5151) pub19  93% (15 samples sent)
(5139|5151) pub19  100% (16 samples sent)
(5139|5151) pub19  waiting for acks
(5139|5205) pub60<-match found! before write for 0103000c.297a35f2.1413396d.00000002(64c57d0f)
(5139|5205) pub60  12% (2 samples sent)
(5139|5205) pub60  25% (4 samples sent)
(5139|5205) pub60  31% (5 samples sent)
(5139|5205) pub60  43% (7 samples sent)
(5139|5205) pub60  50% (8 samples sent)
(5139|5205) pub60  62% (10 samples sent)
(5139|5205) pub60  75% (12 samples sent)
(5139|5205) pub60  81% (13 samples sent)
(5139|5205) pub60  93% (15 samples sent)
(5139|5205) pub60  100% (16 samples sent)
(5139|5205) pub60  waiting for acks
(5139|5205) pub60  waiting for acks returned
(5139|5205) pub60<-delete_contained_entities
(5139|5173) pub28<-delete_participant
(5139|5174) pub29<-match found! before write for 0103000c.297a35f2.1413395b.00000002(4aa43029)
(5139|5174) pub29  12% (2 samples sent)
(5139|5174) pub29  25% (4 samples sent)
(5139|5174) pub29  31% (5 samples sent)
(5139|5174) pub29  43% (7 samples sent)
(5139|5174) pub29  50% (8 samples sent)
(5139|5174) pub29  62% (10 samples sent)
(5139|5174) pub29  75% (12 samples sent)
(5139|5174) pub29  81% (13 samples sent)
(5139|5174) pub29  93% (15 samples sent)
(5139|5174) pub29  100% (16 samples sent)
(5139|5174) pub29  waiting for acks
(5139|5144)  sub 40% (410 samples received)
(5139|5174) pub29  waiting for acks returned
(5139|5174) pub29<-delete_contained_entities
(5139|5205) pub60<-delete_participant
(5139|5168) pub23<-match found! before write for 0103000c.297a35f2.1413394e.00000002(e2a428db)
(5139|5168) pub23  12% (2 samples sent)
(5139|5168) pub23  25% (4 samples sent)
(5139|5168) pub23  31% (5 samples sent)
(5139|5168) pub23  43% (7 samples sent)
(5139|5168) pub23  50% (8 samples sent)
(5139|5168) pub23  62% (10 samples sent)
(5139|5168) pub23  75% (12 samples sent)
(5139|5168) pub23  81% (13 samples sent)
(5139|5168) pub23  93% (15 samples sent)
(5139|5168) pub23  100% (16 samples sent)
(5139|5168) pub23  waiting for acks
(5139|5174) pub29<-delete_participant
(5139|5193) pub48  waiting for acks returned
(5139|5193) pub48<-delete_contained_entities
(5139|5196) pub51<-match found! before write for 0103000c.297a35f2.14133970.00000002(fcb52e3c)
(5139|5196) pub51  12% (2 samples sent)
(5139|5196) pub51  25% (4 samples sent)
(5139|5196) pub51  31% (5 samples sent)
(5139|5196) pub51  43% (7 samples sent)
(5139|5196) pub51  50% (8 samples sent)
(5139|5196) pub51  62% (10 samples sent)
(5139|5196) pub51  75% (12 samples sent)
(5139|5196) pub51  81% (13 samples sent)
(5139|5196) pub51  93% (15 samples sent)
(5139|5196) pub51  100% (16 samples sent)
(5139|5196) pub51  waiting for acks
(5139|5208) pub61<-match found! before write for 0103000c.297a35f2.14133979.00000002(f1a54c4d)
(5139|5208) pub61  12% (2 samples sent)
(5139|5208) pub61  25% (4 samples sent)
(5139|5208) pub61  31% (5 samples sent)
(5139|5208) pub61  43% (7 samples sent)
(5139|5208) pub61  50% (8 samples sent)
(5139|5208) pub61  62% (10 samples sent)
(5139|5208) pub61  75% (12 samples sent)
(5139|5208) pub61  81% (13 samples sent)
(5139|5208) pub61  93% (15 samples sent)
(5139|5208) pub61  100% (16 samples sent)
(5139|5208) pub61  waiting for acks
(5139|5193) pub48<-delete_participant
(5139|5147) pub18<-match found! before write for 0103000c.297a35f2.1413394f.00000002(dfc4016b)
(5139|5147) pub18  12% (2 samples sent)
(5139|5147) pub18  25% (4 samples sent)
(5139|5147) pub18  31% (5 samples sent)
(5139|5147) pub18  43% (7 samples sent)
(5139|5147) pub18  50% (8 samples sent)
(5139|5147) pub18  62% (10 samples sent)
(5139|5147) pub18  75% (12 samples sent)
(5139|5147) pub18  81% (13 samples sent)
(5139|5147) pub18  93% (15 samples sent)
(5139|5147) pub18  100% (16 samples sent)
(5139|5147) pub18  waiting for acks
(5139|5147) pub18  waiting for acks returned
(5139|5147) pub18<-delete_contained_entities
(5139|5147) pub18<-delete_participant
(5139|5204) pub59  waiting for acks returned
(5139|5204) pub59<-delete_contained_entities
(5139|5190) pub46  waiting for acks returned
(5139|5190) pub46<-delete_contained_entities
(5139|5176) pub32<-match found! before write for 0103000c.297a35f2.1413395c.00000002(f884ec39)
(5139|5176) pub32  12% (2 samples sent)
(5139|5176) pub32  25% (4 samples sent)
(5139|5176) pub32  31% (5 samples sent)
(5139|5176) pub32  43% (7 samples sent)
(5139|5176) pub32  50% (8 samples sent)
(5139|5176) pub32  62% (10 samples sent)
(5139|5176) pub32  75% (12 samples sent)
(5139|5176) pub32  81% (13 samples sent)
(5139|5176) pub32  93% (15 samples sent)
(5139|5176) pub32  100% (16 samples sent)
(5139|5176) pub32  waiting for acks
(5139|5182) pub37<-match found! before write for 0103000c.297a35f2.14133960.00000002(9c55b9be)
(5139|5182) pub37  12% (2 samples sent)
(5139|5182) pub37  25% (4 samples sent)
(5139|5182) pub37  31% (5 samples sent)
(5139|5182) pub37  43% (7 samples sent)
(5139|5182) pub37  50% (8 samples sent)
(5139|5182) pub37  62% (10 samples sent)
(5139|5182) pub37  75% (12 samples sent)
(5139|5182) pub37  81% (13 samples sent)
(5139|5182) pub37  93% (15 samples sent)
(5139|5182) pub37  100% (16 samples sent)
(5139|5182) pub37  waiting for acks
(5139|5186) pub41  waiting for acks returned
(5139|5186) pub41<-delete_contained_entities
(5139|5144)  sub 50% (512 samples received)
(5139|5176) pub32  waiting for acks returned
(5139|5176) pub32<-delete_contained_entities
(5139|5204) pub59<-delete_participant
(5139|5182) pub37  waiting for acks returned
(5139|5182) pub37<-delete_contained_entities
(5139|5180) pub35<-match found! before write for 0103000c.297a35f2.14133953.00000002(7ad47be8)
(5139|5180) pub35  12% (2 samples sent)
(5139|5180) pub35  25% (4 samples sent)
(5139|5180) pub35  31% (5 samples sent)
(5139|5180) pub35  43% (7 samples sent)
(5139|5180) pub35  50% (8 samples sent)
(5139|5180) pub35  62% (10 samples sent)
(5139|5180) pub35  75% (12 samples sent)
(5139|5180) pub35  81% (13 samples sent)
(5139|5180) pub35  93% (15 samples sent)
(5139|5180) pub35  100% (16 samples sent)
(5139|5180) pub35  waiting for acks
(5139|5197) pub52<-match found! before write for 0103000c.297a35f2.14133971.00000002(c1d5078c)
(5139|5197) pub52  12% (2 samples sent)
(5139|5197) pub52  25% (4 samples sent)
(5139|5197) pub52  31% (5 samples sent)
(5139|5197) pub52  43% (7 samples sent)
(5139|5197) pub52  50% (8 samples sent)
(5139|5197) pub52  62% (10 samples sent)
(5139|5197) pub52  75% (12 samples sent)
(5139|5197) pub52  81% (13 samples sent)
(5139|5197) pub52  93% (15 samples sent)
(5139|5197) pub52  100% (16 samples sent)
(5139|5197) pub52  waiting for acks
(5139|5190) pub46<-delete_participant
(5139|5186) pub41<-delete_participant
(5139|5176) pub32<-delete_participant
(5139|5182) pub37<-delete_participant
(5139|5201) pub56  waiting for acks returned
(5139|5201) pub56<-delete_contained_entities
(5139|5201) pub56<-delete_participant
(5139|5148) pub20  waiting for acks returned
(5139|5148) pub20<-delete_contained_entities
(5139|5148) pub20<-delete_participant
(5139|5151) pub19  waiting for acks returned
(5139|5151) pub19<-delete_contained_entities
(5139|5189) pub44<-match found! before write for 0103000c.297a35f2.14133964.00000002(69d51f7e)
(5139|5189) pub44  12% (2 samples sent)
(5139|5189) pub44  25% (4 samples sent)
(5139|5189) pub44  31% (5 samples sent)
(5139|5189) pub44  43% (7 samples sent)
(5139|5189) pub44  50% (8 samples sent)
(5139|5189) pub44  62% (10 samples sent)
(5139|5189) pub44  75% (12 samples sent)
(5139|5189) pub44  81% (13 samples sent)
(5139|5189) pub44  93% (15 samples sent)
(5139|5189) pub44  100% (16 samples sent)
(5139|5189) pub44  waiting for acks
(5139|5189) pub44  waiting for acks returned
(5139|5189) pub44<-delete_contained_entities
(5139|5151) pub19<-delete_participant
(5139|5169) pub24<-match found! before write for 0103000c.297a35f2.14133956.00000002(b234f498)
(5139|5169) pub24  12% (2 samples sent)
(5139|5169) pub24  25% (4 samples sent)
(5139|5169) pub24  31% (5 samples sent)
(5139|5169) pub24  43% (7 samples sent)
(5139|5169) pub24  50% (8 samples sent)
(5139|5169) pub24  62% (10 samples sent)
(5139|5169) pub24  75% (12 samples sent)
(5139|5169) pub24  81% (13 samples sent)
(5139|5169) pub24  93% (15 samples sent)
(5139|5169) pub24  100% (16 samples sent)
(5139|5169) pub24  waiting for acks
(5139|5196) pub51  waiting for acks returned
(5139|5196) pub51<-delete_contained_entities
(5139|5144)  sub 60% (615 samples received)
(5139|5208) pub61  waiting for acks returned
(5139|5208) pub61<-delete_contained_entities
(5139|5189) pub44<-delete_participant
(5139|5196) pub51<-delete_participant
(5139|5208) pub61<-delete_participant
(5139|5191) pub45<-match found! before write for 0103000c.297a35f2.14133967.00000002(2e7565ae)
(5139|5191) pub45  12% (2 samples sent)
(5139|5191) pub45  25% (4 samples sent)
(5139|5191) pub45  31% (5 samples sent)
(5139|5191) pub45  43% (7 samples sent)
(5139|5191) pub45  50% (8 samples sent)
(5139|5191) pub45  62% (10 samples sent)
(5139|5191) pub45  75% (12 samples sent)
(5139|5191) pub45  81% (13 samples sent)
(5139|5191) pub45  93% (15 samples sent)
(5139|5191) pub45  100% (16 samples sent)
(5139|5191) pub45  waiting for acks
(5139|5179) pub34<-match found! before write for 0103000c.297a35f2.14133951.00000002(00142888)
(5139|5179) pub34  12% (2 samples sent)
(5139|5179) pub34  25% (4 samples sent)
(5139|5179) pub34  31% (5 samples sent)
(5139|5179) pub34  43% (7 samples sent)
(5139|5179) pub34  50% (8 samples sent)
(5139|5179) pub34  62% (10 samples sent)
(5139|5179) pub34  75% (12 samples sent)
(5139|5179) pub34  81% (13 samples sent)
(5139|5179) pub34  93% (15 samples sent)
(5139|5179) pub34  100% (16 samples sent)
(5139|5179) pub34  waiting for acks
(5139|5159) pub10<-match found! before write for 0103000c.297a35f2.14133944.00000002(a814307a)
(5139|5159) pub10  12% (2 samples sent)
(5139|5159) pub10  25% (4 samples sent)
(5139|5159) pub10  31% (5 samples sent)
(5139|5159) pub10  43% (7 samples sent)
(5139|5159) pub10  50% (8 samples sent)
(5139|5159) pub10  62% (10 samples sent)
(5139|5159) pub10  75% (12 samples sent)
(5139|5159) pub10  81% (13 samples sent)
(5139|5159) pub10  93% (15 samples sent)
(5139|5159) pub10  100% (16 samples sent)
(5139|5159) pub10  waiting for acks
(5139|5146) pub1<-match found! before write for 0103000c.297a35f2.1413393c.00000002(61b69b74)
(5139|5146) pub1  12% (2 samples sent)
(5139|5146) pub1  25% (4 samples sent)
(5139|5146) pub1  31% (5 samples sent)
(5139|5146) pub1  43% (7 samples sent)
(5139|5146) pub1  50% (8 samples sent)
(5139|5146) pub1  62% (10 samples sent)
(5139|5146) pub1  75% (12 samples sent)
(5139|5146) pub1  81% (13 samples sent)
(5139|5146) pub1  93% (15 samples sent)
(5139|5146) pub1  100% (16 samples sent)
(5139|5146) pub1  waiting for acks
(5139|5177) pub31<-match found! before write for 0103000c.297a35f2.1413395d.00000002(c5e4c589)
(5139|5177) pub31  12% (2 samples sent)
(5139|5177) pub31  25% (4 samples sent)
(5139|5177) pub31  31% (5 samples sent)
(5139|5177) pub31  43% (7 samples sent)
(5139|5177) pub31  50% (8 samples sent)
(5139|5177) pub31  62% (10 samples sent)
(5139|5177) pub31  75% (12 samples sent)
(5139|5177) pub31  81% (13 samples sent)
(5139|5177) pub31  93% (15 samples sent)
(5139|5177) pub31  100% (16 samples sent)
(5139|5177) pub31  waiting for acks
(5139|5194) pub49<-match found! before write for 0103000c.297a35f2.1413396b.00000002(eb8588af)
(5139|5194) pub49  12% (2 samples sent)
(5139|5194) pub49  25% (4 samples sent)
(5139|5194) pub49  31% (5 samples sent)
(5139|5194) pub49  43% (7 samples sent)
(5139|5194) pub49  50% (8 samples sent)
(5139|5194) pub49  62% (10 samples sent)
(5139|5194) pub49  75% (12 samples sent)
(5139|5194) pub49  81% (13 samples sent)
(5139|5194) pub49  93% (15 samples sent)
(5139|5194) pub49  100% (16 samples sent)
(5139|5194) pub49  waiting for acks
(5139|5179) pub34  waiting for acks returned
(5139|5179) pub34<-delete_contained_entities
(5139|5153) pub16<-match found! before write for 0103000c.297a35f2.1413394c.00000002(98647bbb)
(5139|5153) pub16  12% (2 samples sent)
(5139|5153) pub16  25% (4 samples sent)
(5139|5153) pub16  31% (5 samples sent)
(5139|5153) pub16  43% (7 samples sent)
(5139|5153) pub16  50% (8 samples sent)
(5139|5153) pub16  62% (10 samples sent)
(5139|5153) pub16  75% (12 samples sent)
(5139|5153) pub16  81% (13 samples sent)
(5139|5153) pub16  93% (15 samples sent)
(5139|5153) pub16  100% (16 samples sent)
(5139|5153) pub16  waiting for acks
(5139|5157) pub4<-match found! before write for 0103000c.297a35f2.1413393f.00000002(2616e1a4)
(5139|5157) pub4  12% (2 samples sent)
(5139|5157) pub4  25% (4 samples sent)
(5139|5157) pub4  31% (5 samples sent)
(5139|5157) pub4  43% (7 samples sent)
(5139|5157) pub4  50% (8 samples sent)
(5139|5157) pub4  62% (10 samples sent)
(5139|5157) pub4  75% (12 samples sent)
(5139|5157) pub4  81% (13 samples sent)
(5139|5157) pub4  93% (15 samples sent)
(5139|5157) pub4  100% (16 samples sent)
(5139|5157) pub4  waiting for acks
(5139|5153) pub16  waiting for acks returned
(5139|5153) pub16<-delete_contained_entities
(5139|5161) pub13<-match found! before write for 0103000c.297a35f2.1413394a.00000002(17248e1b)
(5139|5161) pub13  12% (2 samples sent)
(5139|5161) pub13  25% (4 samples sent)
(5139|5161) pub13  31% (5 samples sent)
(5139|5161) pub13  43% (7 samples sent)
(5139|5161) pub13  50% (8 samples sent)
(5139|5161) pub13  62% (10 samples sent)
(5139|5161) pub13  75% (12 samples sent)
(5139|5161) pub13  81% (13 samples sent)
(5139|5161) pub13  93% (15 samples sent)
(5139|5161) pub13  100% (16 samples sent)
(5139|5161) pub13  waiting for acks
(5139|5162) pub5<-match found! before write for 0103000c.297a35f2.14133943.00000002(1a34ec6a)
(5139|5162) pub5  12% (2 samples sent)
(5139|5162) pub5  25% (4 samples sent)
(5139|5162) pub5  31% (5 samples sent)
(5139|5162) pub5  43% (7 samples sent)
(5139|5162) pub5  50% (8 samples sent)
(5139|5162) pub5  62% (10 samples sent)
(5139|5159) pub10  waiting for acks returned
(5139|5159) pub10<-delete_contained_entities
(5139|5162) pub5  75% (12 samples sent)
(5139|5162) pub5  81% (13 samples sent)
(5139|5162) pub5  93% (15 samples sent)
(5139|5162) pub5  100% (16 samples sent)
(5139|5162) pub5  waiting for acks
(5139|5166) pub21<-match found! before write for 0103000c.297a35f2.14133952.00000002(47b45258)
(5139|5166) pub21  12% (2 samples sent)
(5139|5166) pub21  25% (4 samples sent)
(5139|5166) pub21  31% (5 samples sent)
(5139|5166) pub21  43% (7 samples sent)
(5139|5166) pub21  50% (8 samples sent)
(5139|5166) pub21  62% (10 samples sent)
(5139|5166) pub21  75% (12 samples sent)
(5139|5166) pub21  81% (13 samples sent)
(5139|5166) pub21  93% (15 samples sent)
(5139|5166) pub21  100% (16 samples sent)
(5139|5166) pub21  waiting for acks
(5139|5165) pub11<-match found! before write for 0103000c.297a35f2.14133947.00000002(efb44aaa)
(5139|5165) pub11  12% (2 samples sent)
(5139|5165) pub11  25% (4 samples sent)
(5139|5165) pub11  31% (5 samples sent)
(5139|5165) pub11  43% (7 samples sent)
(5139|5165) pub11  50% (8 samples sent)
(5139|5165) pub11  62% (10 samples sent)
(5139|5165) pub11  75% (12 samples sent)
(5139|5165) pub11  81% (13 samples sent)
(5139|5165) pub11  93% (15 samples sent)
(5139|5165) pub11  100% (16 samples sent)
(5139|5165) pub11  waiting for acks
(5139|5157) pub4  waiting for acks returned
(5139|5157) pub4<-delete_contained_entities
(5139|5150) pub8<-match found! before write for 0103000c.297a35f2.14133942.00000002(2754c5da)
(5139|5150) pub8  12% (2 samples sent)
(5139|5150) pub8  25% (4 samples sent)
(5139|5150) pub8  31% (5 samples sent)
(5139|5150) pub8  43% (7 samples sent)
(5139|5150) pub8  50% (8 samples sent)
(5139|5150) pub8  62% (10 samples sent)
(5139|5150) pub8  75% (12 samples sent)
(5139|5150) pub8  81% (13 samples sent)
(5139|5150) pub8  93% (15 samples sent)
(5139|5150) pub8  100% (16 samples sent)
(5139|5150) pub8  waiting for acks
(5139|5188) pub43<-match found! before write for 0103000c.297a35f2.1413396f.00000002(1e052e6f)
(5139|5188) pub43  12% (2 samples sent)
(5139|5188) pub43  25% (4 samples sent)
(5139|5188) pub43  31% (5 samples sent)
(5139|5188) pub43  43% (7 samples sent)
(5139|5188) pub43  50% (8 samples sent)
(5139|5188) pub43  62% (10 samples sent)
(5139|5188) pub43  75% (12 samples sent)
(5139|5188) pub43  81% (13 samples sent)
(5139|5188) pub43  93% (15 samples sent)
(5139|5188) pub43  100% (16 samples sent)
(5139|5188) pub43  waiting for acks
(5139|5206) pub63<-match found! before write for 0103000c.297a35f2.1413397a.00000002(b605369d)
(5139|5206) pub63  12% (2 samples sent)
(5139|5206) pub63  25% (4 samples sent)
(5139|5206) pub63  31% (5 samples sent)
(5139|5206) pub63  43% (7 samples sent)
(5139|5206) pub63  50% (8 samples sent)
(5139|5206) pub63  62% (10 samples sent)
(5139|5206) pub63  75% (12 samples sent)
(5139|5206) pub63  81% (13 samples sent)
(5139|5206) pub63  93% (15 samples sent)
(5139|5206) pub63  100% (16 samples sent)
(5139|5206) pub63  waiting for acks
(5139|5156) pub12<-match found! before write for 0103000c.297a35f2.14133946.00000002(d2d4631a)
(5139|5156) pub12  12% (2 samples sent)
(5139|5156) pub12  25% (4 samples sent)
(5139|5156) pub12  31% (5 samples sent)
(5139|5156) pub12  43% (7 samples sent)
(5139|5156) pub12  50% (8 samples sent)
(5139|5156) pub12  62% (10 samples sent)
(5139|5156) pub12  75% (12 samples sent)
(5139|5156) pub12  81% (13 samples sent)
(5139|5156) pub12  93% (15 samples sent)
(5139|5156) pub12  100% (16 samples sent)
(5139|5156) pub12  waiting for acks
(5139|5168) pub23  waiting for acks returned
(5139|5168) pub23<-delete_contained_entities
(5139|5144)  sub 70% (717 samples received)
(5139|5161) pub13  waiting for acks returned
(5139|5161) pub13<-delete_contained_entities
(5139|5179) pub34<-delete_participant
(5139|5163) pub2<-match found! before write for 0103000c.297a35f2.1413393d.00000002(5cd6b2c4)
(5139|5163) pub2  12% (2 samples sent)
(5139|5163) pub2  25% (4 samples sent)
(5139|5163) pub2  31% (5 samples sent)
(5139|5163) pub2  43% (7 samples sent)
(5139|5163) pub2  50% (8 samples sent)
(5139|5163) pub2  62% (10 samples sent)
(5139|5163) pub2  75% (12 samples sent)
(5139|5163) pub2  81% (13 samples sent)
(5139|5163) pub2  93% (15 samples sent)
(5139|5163) pub2  100% (16 samples sent)
(5139|5163) pub2  waiting for acks
(5139|5167) pub22<-match found! before write for 0103000c.297a35f2.14133955.00000002(f5948e48)
(5139|5167) pub22  12% (2 samples sent)
(5139|5167) pub22  25% (4 samples sent)
(5139|5167) pub22  31% (5 samples sent)
(5139|5167) pub22  43% (7 samples sent)
(5139|5167) pub22  50% (8 samples sent)
(5139|5167) pub22  62% (10 samples sent)
(5139|5167) pub22  75% (12 samples sent)
(5139|5167) pub22  81% (13 samples sent)
(5139|5167) pub22  93% (15 samples sent)
(5139|5167) pub22  100% (16 samples sent)
(5139|5167) pub22  waiting for acks
(5139|5177) pub31  waiting for acks returned
(5139|5177) pub31<-delete_contained_entities
(5139|5162) pub5  waiting for acks returned
(5139|5162) pub5<-delete_contained_entities
(5139|5172) pub27<-match found! before write for 0103000c.297a35f2.14133959.00000002(30646349)
(5139|5172) pub27  12% (2 samples sent)
(5139|5172) pub27  25% (4 samples sent)
(5139|5172) pub27  31% (5 samples sent)
(5139|5172) pub27  43% (7 samples sent)
(5139|5172) pub27  50% (8 samples sent)
(5139|5172) pub27  62% (10 samples sent)
(5139|5172) pub27  75% (12 samples sent)
(5139|5172) pub27  81% (13 samples sent)
(5139|5172) pub27  93% (15 samples sent)
(5139|5172) pub27  100% (16 samples sent)
(5139|5172) pub27  waiting for acks
(5139|5184) pub39<-match found! before write for 0103000c.297a35f2.14133961.00000002(a135900e)
(5139|5184) pub39  12% (2 samples sent)
(5139|5184) pub39  25% (4 samples sent)
(5139|5184) pub39  31% (5 samples sent)
(5139|5184) pub39  43% (7 samples sent)
(5139|5184) pub39  50% (8 samples sent)
(5139|5184) pub39  62% (10 samples sent)
(5139|5184) pub39  75% (12 samples sent)
(5139|5184) pub39  81% (13 samples sent)
(5139|5184) pub39  93% (15 samples sent)
(5139|5184) pub39  100% (16 samples sent)
(5139|5184) pub39  waiting for acks
(5139|5188) pub43  waiting for acks returned
(5139|5188) pub43<-delete_contained_entities
(5139|5150) pub8  waiting for acks returned
(5139|5150) pub8<-delete_contained_entities
(5139|5144)  sub 80% (820 samples received)
(5139|5156) pub12  waiting for acks returned
(5139|5156) pub12<-delete_contained_entities
(5139|5206) pub63  waiting for acks returned
(5139|5206) pub63<-delete_contained_entities
(5139|5163) pub2  waiting for acks returned
(5139|5163) pub2<-delete_contained_entities
(5139|5197) pub52  waiting for acks returned
(5139|5197) pub52<-delete_contained_entities
(5139|5180) pub35  waiting for acks returned
(5139|5180) pub35<-delete_contained_entities
(5139|5167) pub22  waiting for acks returned
(5139|5167) pub22<-delete_contained_entities
(5139|5191) pub45  waiting for acks returned
(5139|5191) pub45<-delete_contained_entities
(5139|5153) pub16<-delete_participant
(5139|5159) pub10<-delete_participant
(5139|5172) pub27  waiting for acks returned
(5139|5172) pub27<-delete_contained_entities
(5139|5144)  sub 90% (922 samples received)
(5139|5157) pub4<-delete_participant
(5139|5184) pub39  waiting for acks returned
(5139|5184) pub39<-delete_contained_entities
(5139|5146) pub1  waiting for acks returned
(5139|5146) pub1<-delete_contained_entities
(5139|5168) pub23<-delete_participant
(5139|5161) pub13<-delete_participant
(5139|5194) pub49  waiting for acks returned
(5139|5194) pub49<-delete_contained_entities
(5139|5169) pub24  waiting for acks returned
(5139|5169) pub24<-delete_contained_entities
(5139|5177) pub31<-delete_participant
(5139|5162) pub5<-delete_participant
(5139|5166) pub21  waiting for acks returned
(5139|5166) pub21<-delete_contained_entities
(5139|5165) pub11  waiting for acks returned
(5139|5165) pub11<-delete_contained_entities
(5139|5188) pub43<-delete_participant
(5139|5150) pub8<-delete_participant
(5139|5156) pub12<-delete_participant
(5139|5206) pub63<-delete_participant
(5139|5163) pub2<-delete_participant
(5139|5197) pub52<-delete_participant
(5139|5198) pub53<-match found! before write for 0103000c.297a35f2.14133977.00000002(4e95f22c)
(5139|5198) pub53  12% (2 samples sent)
(5139|5198) pub53  25% (4 samples sent)
(5139|5198) pub53  31% (5 samples sent)
(5139|5198) pub53  43% (7 samples sent)
(5139|5198) pub53  50% (8 samples sent)
(5139|5198) pub53  62% (10 samples sent)
(5139|5198) pub53  75% (12 samples sent)
(5139|5198) pub53  81% (13 samples sent)
(5139|5198) pub53  93% (15 samples sent)
(5139|5198) pub53  100% (16 samples sent)
(5139|5198) pub53  waiting for acks
(5139|5144) sub condition_.notify_all
(5139|5144) sub condition_.notify_all
(5139|5144) sub condition_.notify_all
(5139|5144) sub condition_.notify_all
(5139|5144) sub condition_.notify_all
(5139|5144) sub condition_.notify_all
(5139|5144) sub condition_.notify_all
(5139|5144) sub condition_.notify_all
(5139|5144) sub condition_.notify_all
(5139|5144) sub condition_.notify_all
(5139|5144) sub condition_.notify_all
(5139|5144) sub condition_.notify_all
(5139|5144) sub condition_.notify_all
(5139|5139) sub condition_.wait returned
(5139|5139) sub check_received
(5139|5139) sub check_received returns 0
(5139|5139) <- PublisherService::end
(5139|5144) sub condition_.notify_all
(5139|5144) sub condition_.notify_all
(5139|5144)  sub 100% (1024 samples received)
(5139|5144) sub condition_.notify_all
(5139|5198) pub53  waiting for acks returned
(5139|5198) pub53<-delete_contained_entities
(5139|5180) pub35<-delete_participant
(5139|5167) pub22<-delete_participant
(5139|5191) pub45<-delete_participant
(5139|5172) pub27<-delete_participant
(5139|5184) pub39<-delete_participant
(5139|5146) pub1<-delete_participant
(5139|5194) pub49<-delete_participant
(5139|5169) pub24<-delete_participant
(5139|5166) pub21<-delete_participant
(5139|5165) pub11<-delete_participant
(5139|5198) pub53<-delete_participant
(5139|5139) <- PublisherService::~PublisherService
(5139|5139) <- Subscriber delete_contained_entities
(5139|5139) <- Subscriber delete_participant
(5139|5139) <- Subscriber::~Subscriber
(5139|5139) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl aggressive rtps Time:124s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl single durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 5497
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1 -n 1 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 5504 started at 2023-04-28 16:24:22
(5504|5504) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(5504|5504) -> Thrasher started
(5504|5504) -> Subscriber::Subscriber
(5497|5497) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(5504|5504) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(5504|5504)    Subscriber reader id: 01030000.278d1bc7.00000002.00000507(1949dc4a)
(5504|5504) -> PublisherService::PublisherService
(5504|5504) -> PublisherService::start (1 threads)
(5504|5504) sub wait_received 0:1
(5504|5513) pub0  writer id: 01030000.278d1bc7.00000003.00000102(302fc471)
(5504|5513) pub0->started
(5504|5512)  sub 100% (1 samples received)
(5504|5512) sub condition_.notify_all
(5504|5504) sub condition_.wait returned
(5504|5504) sub check_received
(5504|5504) sub check_received returns 0
(5504|5504) <- PublisherService::end
(5504|5513) pub0  100% (1 samples sent)
(5504|5513) pub0->wait_match() before write for 01030000.278d1bc7.00000003.00000102(302fc471)
(5504|5513) pub0<-match found! before write for 01030000.278d1bc7.00000003.00000102(302fc471)
(5504|5513) pub0  waiting for acks
(5504|5513) pub0  waiting for acks returned
(5504|5513) pub0<-delete_contained_entities
(5504|5513) pub0<-delete_participant
(5504|5504) <- PublisherService::~PublisherService
(5504|5504) <- Subscriber delete_contained_entities
(5504|5504) <- Subscriber delete_participant
(5504|5504) <- Subscriber::~Subscriber
(5504|5504) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl single durable Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl double durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 5515
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 2 -s 1 -n 2 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 5522 started at 2023-04-28 16:24:22
(5522|5522) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(5522|5522) -> Thrasher started
(5522|5522) -> Subscriber::Subscriber
(5515|5515) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(5522|5522) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(5522|5522)    Subscriber reader id: 01030000.7e440f6b.00000002.00000507(4eaafe48)
(5522|5522) -> PublisherService::PublisherService
(5522|5522) -> PublisherService::start (2 threads)
(5522|5522) sub wait_received 0:2
(5522|5531) pub0  writer id: 01030000.7e440f6b.00000003.00000102(67cce673)
(5522|5531) pub0->started
(5522|5531) pub0  100% (1 samples sent)
(5522|5531) pub0->wait_match() before write for 01030000.7e440f6b.00000003.00000102(67cce673)
(5522|5531) pub0<-match found! before write for 01030000.7e440f6b.00000003.00000102(67cce673)
(5522|5531) pub0  waiting for acks
(5522|5530)  sub 50% (1 samples received)
(5522|5531) pub0  waiting for acks returned
(5522|5531) pub0<-delete_contained_entities
(5522|5532) pub1  writer id: 01030000.7e440f6b.00000004.00000102(d5ec3a63)
(5522|5532) pub1->started
(5522|5532) pub1  100% (1 samples sent)
(5522|5532) pub1->wait_match() before write for 01030000.7e440f6b.00000004.00000102(d5ec3a63)
(5522|5532) pub1<-match found! before write for 01030000.7e440f6b.00000004.00000102(d5ec3a63)
(5522|5532) pub1  waiting for acks
(5522|5530)  sub 100% (2 samples received)
(5522|5530) sub condition_.notify_all
(5522|5522) sub condition_.wait returned
(5522|5522) sub check_received
(5522|5522) sub check_received returns 0
(5522|5522) <- PublisherService::end
(5522|5532) pub1  waiting for acks returned
(5522|5532) pub1<-delete_contained_entities
(5522|5531) pub0<-delete_participant
(5522|5532) pub1<-delete_participant
(5522|5522) <- PublisherService::~PublisherService
(5522|5522) <- Subscriber delete_contained_entities
(5522|5522) <- Subscriber delete_participant
(5522|5522) <- Subscriber::~Subscriber
(5522|5522) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl double durable Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl triangle durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 5534
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 3 -s 3 -n 9 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 5541 started at 2023-04-28 16:24:23
(5541|5541) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(5541|5541) -> Thrasher started
(5541|5541) -> Subscriber::Subscriber
(5534|5534) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(5541|5541) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(5541|5541)    Subscriber reader id: 01030000.53fafe0b.00000002.00000507(662417d8)
(5541|5541) -> PublisherService::PublisherService
(5541|5541) -> PublisherService::start (3 threads)
(5541|5541) sub wait_received 0:3
(5541|5551) pub1  writer id: 01030000.53fafe0b.00000003.00000102(4f420fe3)
(5541|5551) pub1->started
(5541|5551) pub1  33% (1 samples sent)
(5541|5551) pub1  66% (2 samples sent)
(5541|5551) pub1  100% (3 samples sent)
(5541|5551) pub1->wait_match() before write for 01030000.53fafe0b.00000003.00000102(4f420fe3)
(5541|5551) pub1<-match found! before write for 01030000.53fafe0b.00000003.00000102(4f420fe3)
(5541|5551) pub1  waiting for acks
(5541|5549)  sub 11% (1 samples received)
(5541|5551) pub1  waiting for acks returned
(5541|5551) pub1<-delete_contained_entities
(5541|5552) pub0  writer id: 01030000.53fafe0b.00000004.00000102(fd62d3f3)
(5541|5552) pub0->started
(5541|5552) pub0  33% (1 samples sent)
(5541|5552) pub0  66% (2 samples sent)
(5541|5552) pub0  100% (3 samples sent)
(5541|5552) pub0->wait_match() before write for 01030000.53fafe0b.00000004.00000102(fd62d3f3)
(5541|5552) pub0<-match found! before write for 01030000.53fafe0b.00000004.00000102(fd62d3f3)
(5541|5552) pub0  waiting for acks
(5541|5549)  sub 22% (2 samples received)
(5541|5552) pub0  waiting for acks returned
(5541|5552) pub0<-delete_contained_entities
(5541|5550) pub2  writer id: 01030000.53fafe0b.00000005.00000102(c002fa43)
(5541|5550) pub2->started
(5541|5550) pub2  33% (1 samples sent)
(5541|5550) pub2  66% (2 samples sent)
(5541|5550) pub2  100% (3 samples sent)
(5541|5550) pub2->wait_match() before write for 01030000.53fafe0b.00000005.00000102(c002fa43)
(5541|5550) pub2<-match found! before write for 01030000.53fafe0b.00000005.00000102(c002fa43)
(5541|5550) pub2  waiting for acks
(5541|5549)  sub 33% (3 samples received)
(5541|5549) sub condition_.notify_all
(5541|5549)  sub 44% (4 samples received)
(5541|5549) sub condition_.notify_all
(5541|5549)  sub 55% (5 samples received)
(5541|5549) sub condition_.notify_all
(5541|5541) sub condition_.wait returned
(5541|5541) sub check_received
(5541|5541) sub check_received returns 0
(5541|5541) <- PublisherService::end
(5541|5550) pub2  waiting for acks returned
(5541|5550) pub2<-delete_contained_entities
(5541|5551) pub1<-delete_participant
(5541|5552) pub0<-delete_participant
(5541|5550) pub2<-delete_participant
(5541|5541) <- PublisherService::~PublisherService
(5541|5541) <- Subscriber delete_contained_entities
(5541|5541) <- Subscriber delete_participant
(5541|5541) <- Subscriber::~Subscriber
(5541|5541) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl triangle durable Time:0s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl default durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 5555
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1024 -n 1024 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 5562 started at 2023-04-28 16:24:23
(5562|5562) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(5562|5562) -> Thrasher started
(5562|5562) -> Subscriber::Subscriber
(5555|5555) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(5562|5562) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(5562|5562)    Subscriber reader id: 01030000.7be86786.00000002.00000507(242783ef)
(5562|5562) -> PublisherService::PublisherService
(5562|5562) -> PublisherService::start (1 threads)
(5562|5562) sub wait_received 0:1
(5562|5571) pub0  writer id: 01030000.7be86786.00000003.00000102(0d419bd4)
(5562|5571) pub0->started
(5562|5570) sub condition_.notify_all
(5562|5562) sub condition_.wait returned
(5562|5562) sub check_received
(5562|5562) sub check_received returns 0
(5562|5562) <- PublisherService::end
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5571) pub0  10% (103 samples sent)
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5571) pub0  20% (205 samples sent)
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570)  sub 10% (103 samples received)
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5571) pub0  30% (308 samples sent)
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5571) pub0  40% (410 samples sent)
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570)  sub 20% (205 samples received)
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5571) pub0  50% (512 samples sent)
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5571) pub0  60% (615 samples sent)
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5571) pub0  70% (717 samples sent)
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570)  sub 30% (308 samples received)
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5571) pub0  80% (820 samples sent)
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5571) pub0  90% (922 samples sent)
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570)  sub 40% (410 samples received)
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5571) pub0  100% (1024 samples sent)
(5562|5571) pub0->wait_match() before write for 01030000.7be86786.00000003.00000102(0d419bd4)
(5562|5570) sub condition_.notify_all
(5562|5571) pub0<-match found! before write for 01030000.7be86786.00000003.00000102(0d419bd4)
(5562|5571) pub0  waiting for acks
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570)  sub 50% (512 samples received)
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570)  sub 60% (615 samples received)
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570)  sub 70% (717 samples received)
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570)  sub 80% (820 samples received)
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570)  sub 90% (922 samples received)
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570) sub condition_.notify_all
(5562|5570)  sub 100% (1024 samples received)
(5562|5570) sub condition_.notify_all
(5562|5571) pub0  waiting for acks returned
(5562|5571) pub0<-delete_contained_entities
(5562|5571) pub0<-delete_participant
(5562|5562) <- PublisherService::~PublisherService
(5562|5562) <- Subscriber delete_contained_entities
(5562|5562) <- Subscriber delete_participant
(5562|5562) <- Subscriber::~Subscriber
(5562|5562) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl default durable Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl low durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 5573
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 8 -s 128 -n 1024 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 5580 started at 2023-04-28 16:24:24
(5580|5580) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(5580|5580) -> Thrasher started
(5580|5580) -> Subscriber::Subscriber
(5573|5573) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(5580|5580) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(5580|5580)    Subscriber reader id: 01030000.781bf962.00000002.00000507(fb2038cf)
(5580|5580) -> PublisherService::PublisherService
(5580|5580) -> PublisherService::start (8 threads)
(5580|5580) sub wait_received 0:8
(5580|5589) pub0  writer id: 01030000.781bf962.00000003.00000102(d24620f4)
(5580|5589) pub0->started
(5580|5589) pub0  10% (13 samples sent)
(5580|5589) pub0  20% (26 samples sent)
(5580|5595) pub2  writer id: 01030000.781bf962.00000004.00000102(6066fce4)
(5580|5595) pub2->started
(5580|5595) pub2  10% (13 samples sent)
(5580|5595) pub2  20% (26 samples sent)
(5580|5595) pub2  30% (39 samples sent)
(5580|5595) pub2  40% (52 samples sent)
(5580|5595) pub2  50% (64 samples sent)
(5580|5591) pub1  writer id: 01030000.781bf962.00000005.00000102(5d06d554)
(5580|5591) pub1->started
(5580|5591) pub1  10% (13 samples sent)
(5580|5589) pub0  30% (39 samples sent)
(5580|5591) pub1  20% (26 samples sent)
(5580|5591) pub1  30% (39 samples sent)
(5580|5591) pub1  40% (52 samples sent)
(5580|5595) pub2  60% (77 samples sent)
(5580|5591) pub1  50% (64 samples sent)
(5580|5591) pub1  60% (77 samples sent)
(5580|5595) pub2  70% (90 samples sent)
(5580|5591) pub1  70% (90 samples sent)
(5580|5589) pub0  40% (52 samples sent)
(5580|5589) pub0  50% (64 samples sent)
(5580|5590) pub6  writer id: 01030000.781bf962.00000009.00000102(98f63855)
(5580|5590) pub6->started
(5580|5590) pub6  10% (13 samples sent)
(5580|5590) pub6  20% (26 samples sent)
(5580|5590) pub6  30% (39 samples sent)
(5580|5590) pub6  40% (52 samples sent)
(5580|5591) pub1  80% (103 samples sent)
(5580|5590) pub6  50% (64 samples sent)
(5580|5590) pub6  60% (77 samples sent)
(5580|5590) pub6  70% (90 samples sent)
(5580|5591) pub1  90% (116 samples sent)
(5580|5590) pub6  80% (103 samples sent)
(5580|5595) pub2  80% (103 samples sent)
(5580|5595) pub2  90% (116 samples sent)
(5580|5589) pub0  60% (77 samples sent)
(5580|5589) pub0  70% (90 samples sent)
(5580|5595) pub2  100% (128 samples sent)
(5580|5595) pub2->wait_match() before write for 01030000.781bf962.00000004.00000102(6066fce4)
(5580|5593) pub4  writer id: 01030000.781bf962.00000007.00000102(27c68634)
(5580|5593) pub4->started
(5580|5591) pub1  100% (128 samples sent)
(5580|5591) pub1->wait_match() before write for 01030000.781bf962.00000005.00000102(5d06d554)
(5580|5591) pub1<-match found! before write for 01030000.781bf962.00000005.00000102(5d06d554)
(5580|5591) pub1  waiting for acks
(5580|5593) pub4  10% (13 samples sent)
(5580|5593) pub4  20% (26 samples sent)
(5580|5593) pub4  30% (39 samples sent)
(5580|5593) pub4  40% (52 samples sent)
(5580|5593) pub4  50% (64 samples sent)
(5580|5596) pub3  writer id: 01030000.781bf962.00000006.00000102(1aa6af84)
(5580|5596) pub3->started
(5580|5595) pub2<-match found! before write for 01030000.781bf962.00000004.00000102(6066fce4)
(5580|5595) pub2  waiting for acks
(5580|5590) pub6  90% (116 samples sent)
(5580|5596) pub3  10% (13 samples sent)
(5580|5590) pub6  100% (128 samples sent)
(5580|5590) pub6->wait_match() before write for 01030000.781bf962.00000009.00000102(98f63855)
(5580|5596) pub3  20% (26 samples sent)
(5580|5593) pub4  60% (77 samples sent)
(5580|5596) pub3  30% (39 samples sent)
(5580|5593) pub4  70% (90 samples sent)
(5580|5596) pub3  40% (52 samples sent)
(5580|5593) pub4  80% (103 samples sent)
(5580|5589) pub0  80% (103 samples sent)
(5580|5596) pub3  50% (64 samples sent)
(5580|5596) pub3  60% (77 samples sent)
(5580|5596) pub3  70% (90 samples sent)
(5580|5589) pub0  90% (116 samples sent)
(5580|5594) pub5  writer id: 01030000.781bf962.00000008.00000102(a59611e5)
(5580|5594) pub5->started
(5580|5594) pub5  10% (13 samples sent)
(5580|5594) pub5  20% (26 samples sent)
(5580|5594) pub5  30% (39 samples sent)
(5580|5596) pub3  80% (103 samples sent)
(5580|5594) pub5  40% (52 samples sent)
(5580|5596) pub3  90% (116 samples sent)
(5580|5594) pub5  50% (64 samples sent)
(5580|5596) pub3  100% (128 samples sent)
(5580|5596) pub3->wait_match() before write for 01030000.781bf962.00000006.00000102(1aa6af84)
(5580|5594) pub5  60% (77 samples sent)
(5580|5594) pub5  70% (90 samples sent)
(5580|5593) pub4  90% (116 samples sent)
(5580|5593) pub4  100% (128 samples sent)
(5580|5593) pub4->wait_match() before write for 01030000.781bf962.00000007.00000102(27c68634)
(5580|5592) pub7  writer id: 01030000.781bf962.0000000a.00000102(df564285)
(5580|5592) pub7->started
(5580|5592) pub7  10% (13 samples sent)
(5580|5592) pub7  20% (26 samples sent)
(5580|5592) pub7  30% (39 samples sent)
(5580|5592) pub7  40% (52 samples sent)
(5580|5592) pub7  50% (64 samples sent)
(5580|5592) pub7  60% (77 samples sent)
(5580|5592) pub7  70% (90 samples sent)
(5580|5594) pub5  80% (103 samples sent)
(5580|5594) pub5  90% (116 samples sent)
(5580|5590) pub6<-match found! before write for 01030000.781bf962.00000009.00000102(98f63855)
(5580|5590) pub6  waiting for acks
(5580|5594) pub5  100% (128 samples sent)
(5580|5594) pub5->wait_match() before write for 01030000.781bf962.00000008.00000102(a59611e5)
(5580|5589) pub0  100% (128 samples sent)
(5580|5589) pub0->wait_match() before write for 01030000.781bf962.00000003.00000102(d24620f4)
(5580|5589) pub0<-match found! before write for 01030000.781bf962.00000003.00000102(d24620f4)
(5580|5589) pub0  waiting for acks
(5580|5593) pub4<-match found! before write for 01030000.781bf962.00000007.00000102(27c68634)
(5580|5593) pub4  waiting for acks
(5580|5592) pub7  80% (103 samples sent)
(5580|5596) pub3<-match found! before write for 01030000.781bf962.00000006.00000102(1aa6af84)
(5580|5596) pub3  waiting for acks
(5580|5592) pub7  90% (116 samples sent)
(5580|5594) pub5<-match found! before write for 01030000.781bf962.00000008.00000102(a59611e5)
(5580|5594) pub5  waiting for acks
(5580|5592) pub7  100% (128 samples sent)
(5580|5592) pub7->wait_match() before write for 01030000.781bf962.0000000a.00000102(df564285)
(5580|5592) pub7<-match found! before write for 01030000.781bf962.0000000a.00000102(df564285)
(5580|5592) pub7  waiting for acks
(5580|5588)  sub 10% (103 samples received)
(5580|5588)  sub 20% (205 samples received)
(5580|5591) pub1  waiting for acks returned
(5580|5591) pub1<-delete_contained_entities
(5580|5590) pub6  waiting for acks returned
(5580|5590) pub6<-delete_contained_entities
(5580|5595) pub2  waiting for acks returned
(5580|5595) pub2<-delete_contained_entities
(5580|5588) sub condition_.notify_all
(5580|5580) sub condition_.wait returned
(5580|5580) sub check_received
(5580|5580) sub check_received returns 0
(5580|5580) <- PublisherService::end
(5580|5588) sub condition_.notify_all
(5580|5588) sub condition_.notify_all
(5580|5588) sub condition_.notify_all
(5580|5588) sub condition_.notify_all
(5580|5588) sub condition_.notify_all
(5580|5588) sub condition_.notify_all
(5580|5588) sub condition_.notify_all
(5580|5588) sub condition_.notify_all
(5580|5589) pub0  waiting for acks returned
(5580|5589) pub0<-delete_contained_entities
(5580|5593) pub4  waiting for acks returned
(5580|5593) pub4<-delete_contained_entities
(5580|5596) pub3  waiting for acks returned
(5580|5596) pub3<-delete_contained_entities
(5580|5594) pub5  waiting for acks returned
(5580|5594) pub5<-delete_contained_entities
(5580|5592) pub7  waiting for acks returned
(5580|5592) pub7<-delete_contained_entities
(5580|5591) pub1<-delete_participant
(5580|5590) pub6<-delete_participant
(5580|5595) pub2<-delete_participant
(5580|5589) pub0<-delete_participant
(5580|5593) pub4<-delete_participant
(5580|5596) pub3<-delete_participant
(5580|5594) pub5<-delete_participant
(5580|5592) pub7<-delete_participant
(5580|5580) <- PublisherService::~PublisherService
(5580|5580) <- Subscriber delete_contained_entities
(5580|5580) <- Subscriber delete_participant
(5580|5580) <- Subscriber::~Subscriber
(5580|5580) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl low durable Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl medium durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 5598
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 16 -s 64 -n 1024 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 5605 started at 2023-04-28 16:24:25
(5605|5605) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(5605|5605) -> Thrasher started
(5605|5605) -> Subscriber::Subscriber
(5598|5598) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(5605|5605) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(5605|5605)    Subscriber reader id: 01030000.5577766d.00000002.00000507(efc77b7b)
(5605|5605) -> PublisherService::PublisherService
(5605|5605) -> PublisherService::start (16 threads)
(5605|5605) sub wait_received 0:16
(5605|5617) pub3  writer id: 01030000.5577766d.00000004.00000102(7481bf50)
(5605|5617) pub3->started
(5605|5617) pub3  10% (7 samples sent)
(5605|5617) pub3  20% (13 samples sent)
(5605|5617) pub3  31% (20 samples sent)
(5605|5617) pub3  40% (26 samples sent)
(5605|5617) pub3  50% (32 samples sent)
(5605|5617) pub3  60% (39 samples sent)
(5605|5617) pub3  70% (45 samples sent)
(5605|5617) pub3  81% (52 samples sent)
(5605|5617) pub3  90% (58 samples sent)
(5605|5617) pub3  100% (64 samples sent)
(5605|5617) pub3->wait_match() before write for 01030000.5577766d.00000004.00000102(7481bf50)
(5605|5617) pub3<-match found! before write for 01030000.5577766d.00000004.00000102(7481bf50)
(5605|5617) pub3  waiting for acks
(5605|5617) pub3  waiting for acks returned
(5605|5617) pub3<-delete_contained_entities
(5605|5616) pub2  writer id: 01030000.5577766d.00000005.00000102(49e196e0)
(5605|5616) pub2->started
(5605|5616) pub2  10% (7 samples sent)
(5605|5616) pub2  20% (13 samples sent)
(5605|5616) pub2  31% (20 samples sent)
(5605|5616) pub2  40% (26 samples sent)
(5605|5616) pub2  50% (32 samples sent)
(5605|5616) pub2  60% (39 samples sent)
(5605|5616) pub2  70% (45 samples sent)
(5605|5616) pub2  81% (52 samples sent)
(5605|5616) pub2  90% (58 samples sent)
(5605|5616) pub2  100% (64 samples sent)
(5605|5616) pub2->wait_match() before write for 01030000.5577766d.00000005.00000102(49e196e0)
(5605|5616) pub2<-match found! before write for 01030000.5577766d.00000005.00000102(49e196e0)
(5605|5616) pub2  waiting for acks
(5605|5616) pub2  waiting for acks returned
(5605|5616) pub2<-delete_contained_entities
(5605|5615) pub1  writer id: 01030000.5577766d.00000003.00000102(c6a16340)
(5605|5615) pub1->started
(5605|5615) pub1  10% (7 samples sent)
(5605|5615) pub1  20% (13 samples sent)
(5605|5615) pub1  31% (20 samples sent)
(5605|5615) pub1  40% (26 samples sent)
(5605|5615) pub1  50% (32 samples sent)
(5605|5615) pub1  60% (39 samples sent)
(5605|5615) pub1  70% (45 samples sent)
(5605|5615) pub1  81% (52 samples sent)
(5605|5615) pub1  90% (58 samples sent)
(5605|5615) pub1  100% (64 samples sent)
(5605|5615) pub1->wait_match() before write for 01030000.5577766d.00000003.00000102(c6a16340)
(5605|5615) pub1<-match found! before write for 01030000.5577766d.00000003.00000102(c6a16340)
(5605|5615) pub1  waiting for acks
(5605|5615) pub1  waiting for acks returned
(5605|5615) pub1<-delete_contained_entities
(5605|5620) pub9  writer id: 01030000.5577766d.00000009.00000102(8c117be1)
(5605|5620) pub9->started
(5605|5620) pub9  10% (7 samples sent)
(5605|5620) pub9  20% (13 samples sent)
(5605|5620) pub9  31% (20 samples sent)
(5605|5620) pub9  40% (26 samples sent)
(5605|5620) pub9  50% (32 samples sent)
(5605|5620) pub9  60% (39 samples sent)
(5605|5620) pub9  70% (45 samples sent)
(5605|5620) pub9  81% (52 samples sent)
(5605|5620) pub9  90% (58 samples sent)
(5605|5620) pub9  100% (64 samples sent)
(5605|5620) pub9->wait_match() before write for 01030000.5577766d.00000009.00000102(8c117be1)
(5605|5620) pub9<-match found! before write for 01030000.5577766d.00000009.00000102(8c117be1)
(5605|5620) pub9  waiting for acks
(5605|5620) pub9  waiting for acks returned
(5605|5620) pub9<-delete_contained_entities
(5605|5625) pub5  writer id: 01030000.5577766d.0000000a.00000102(cbb10131)
(5605|5625) pub5->started
(5605|5625) pub5  10% (7 samples sent)
(5605|5625) pub5  20% (13 samples sent)
(5605|5625) pub5  31% (20 samples sent)
(5605|5625) pub5  40% (26 samples sent)
(5605|5625) pub5  50% (32 samples sent)
(5605|5625) pub5  60% (39 samples sent)
(5605|5625) pub5  70% (45 samples sent)
(5605|5625) pub5  81% (52 samples sent)
(5605|5625) pub5  90% (58 samples sent)
(5605|5625) pub5  100% (64 samples sent)
(5605|5625) pub5->wait_match() before write for 01030000.5577766d.0000000a.00000102(cbb10131)
(5605|5625) pub5<-match found! before write for 01030000.5577766d.0000000a.00000102(cbb10131)
(5605|5625) pub5  waiting for acks
(5605|5625) pub5  waiting for acks returned
(5605|5625) pub5<-delete_contained_entities
(5605|5628) pub14  writer id: 01030000.5577766d.00000010.00000102(e1e18e12)
(5605|5628) pub14->started
(5605|5628) pub14  10% (7 samples sent)
(5605|5628) pub14  20% (13 samples sent)
(5605|5628) pub14  31% (20 samples sent)
(5605|5628) pub14  40% (26 samples sent)
(5605|5628) pub14  50% (32 samples sent)
(5605|5628) pub14  60% (39 samples sent)
(5605|5628) pub14  70% (45 samples sent)
(5605|5628) pub14  81% (52 samples sent)
(5605|5628) pub14  90% (58 samples sent)
(5605|5628) pub14  100% (64 samples sent)
(5605|5628) pub14->wait_match() before write for 01030000.5577766d.00000010.00000102(e1e18e12)
(5605|5628) pub14<-match found! before write for 01030000.5577766d.00000010.00000102(e1e18e12)
(5605|5628) pub14  waiting for acks
(5605|5628) pub14  waiting for acks returned
(5605|5628) pub14<-delete_contained_entities
(5605|5624) pub11  writer id: 01030000.5577766d.0000000d.00000102(7991dd21)
(5605|5624) pub11->started
(5605|5624) pub11  10% (7 samples sent)
(5605|5624) pub11  20% (13 samples sent)
(5605|5624) pub11  31% (20 samples sent)
(5605|5624) pub11  40% (26 samples sent)
(5605|5624) pub11  50% (32 samples sent)
(5605|5624) pub11  60% (39 samples sent)
(5605|5624) pub11  70% (45 samples sent)
(5605|5624) pub11  81% (52 samples sent)
(5605|5624) pub11  90% (58 samples sent)
(5605|5624) pub11  100% (64 samples sent)
(5605|5624) pub11->wait_match() before write for 01030000.5577766d.0000000d.00000102(7991dd21)
(5605|5624) pub11<-match found! before write for 01030000.5577766d.0000000d.00000102(7991dd21)
(5605|5624) pub11  waiting for acks
(5605|5624) pub11  waiting for acks returned
(5605|5624) pub11<-delete_contained_entities
(5605|5623) pub6  writer id: 01030000.5577766d.0000000b.00000102(f6d12881)
(5605|5623) pub6->started
(5605|5623) pub6  10% (7 samples sent)
(5605|5623) pub6  20% (13 samples sent)
(5605|5623) pub6  31% (20 samples sent)
(5605|5623) pub6  40% (26 samples sent)
(5605|5623) pub6  50% (32 samples sent)
(5605|5623) pub6  60% (39 samples sent)
(5605|5623) pub6  70% (45 samples sent)
(5605|5623) pub6  81% (52 samples sent)
(5605|5623) pub6  90% (58 samples sent)
(5605|5623) pub6  100% (64 samples sent)
(5605|5623) pub6->wait_match() before write for 01030000.5577766d.0000000b.00000102(f6d12881)
(5605|5623) pub6<-match found! before write for 01030000.5577766d.0000000b.00000102(f6d12881)
(5605|5623) pub6  waiting for acks
(5605|5623) pub6  waiting for acks returned
(5605|5623) pub6<-delete_contained_entities
(5605|5629) pub15  writer id: 01030000.5577766d.00000012.00000102(9b21dd72)
(5605|5629) pub15->started
(5605|5629) pub15  10% (7 samples sent)
(5605|5629) pub15  20% (13 samples sent)
(5605|5629) pub15  31% (20 samples sent)
(5605|5629) pub15  40% (26 samples sent)
(5605|5629) pub15  50% (32 samples sent)
(5605|5629) pub15  60% (39 samples sent)
(5605|5629) pub15  70% (45 samples sent)
(5605|5629) pub15  81% (52 samples sent)
(5605|5629) pub15  90% (58 samples sent)
(5605|5629) pub15  100% (64 samples sent)
(5605|5629) pub15->wait_match() before write for 01030000.5577766d.00000012.00000102(9b21dd72)
(5605|5629) pub15<-match found! before write for 01030000.5577766d.00000012.00000102(9b21dd72)
(5605|5629) pub15  waiting for acks
(5605|5629) pub15  waiting for acks returned
(5605|5629) pub15<-delete_contained_entities
(5605|5619) pub8  writer id: 01030000.5577766d.00000007.00000102(3321c580)
(5605|5619) pub8->started
(5605|5619) pub8  10% (7 samples sent)
(5605|5619) pub8  20% (13 samples sent)
(5605|5619) pub8  31% (20 samples sent)
(5605|5619) pub8  40% (26 samples sent)
(5605|5619) pub8  50% (32 samples sent)
(5605|5619) pub8  60% (39 samples sent)
(5605|5619) pub8  70% (45 samples sent)
(5605|5619) pub8  81% (52 samples sent)
(5605|5619) pub8  90% (58 samples sent)
(5605|5619) pub8  100% (64 samples sent)
(5605|5619) pub8->wait_match() before write for 01030000.5577766d.00000007.00000102(3321c580)
(5605|5619) pub8<-match found! before write for 01030000.5577766d.00000007.00000102(3321c580)
(5605|5619) pub8  waiting for acks
(5605|5619) pub8  waiting for acks returned
(5605|5619) pub8<-delete_contained_entities
(5605|5626) pub12  writer id: 01030000.5577766d.0000000f.00000102(03518e41)
(5605|5626) pub12->started
(5605|5626) pub12  10% (7 samples sent)
(5605|5626) pub12  20% (13 samples sent)
(5605|5626) pub12  31% (20 samples sent)
(5605|5626) pub12  40% (26 samples sent)
(5605|5626) pub12  50% (32 samples sent)
(5605|5626) pub12  60% (39 samples sent)
(5605|5626) pub12  70% (45 samples sent)
(5605|5626) pub12  81% (52 samples sent)
(5605|5626) pub12  90% (58 samples sent)
(5605|5626) pub12  100% (64 samples sent)
(5605|5626) pub12->wait_match() before write for 01030000.5577766d.0000000f.00000102(03518e41)
(5605|5626) pub12<-match found! before write for 01030000.5577766d.0000000f.00000102(03518e41)
(5605|5626) pub12  waiting for acks
(5605|5626) pub12  waiting for acks returned
(5605|5626) pub12<-delete_contained_entities
(5605|5618) pub4  writer id: 01030000.5577766d.00000006.00000102(0e41ec30)
(5605|5618) pub4->started
(5605|5618) pub4  10% (7 samples sent)
(5605|5618) pub4  20% (13 samples sent)
(5605|5618) pub4  31% (20 samples sent)
(5605|5618) pub4  40% (26 samples sent)
(5605|5618) pub4  50% (32 samples sent)
(5605|5618) pub4  60% (39 samples sent)
(5605|5618) pub4  70% (45 samples sent)
(5605|5618) pub4  81% (52 samples sent)
(5605|5618) pub4  90% (58 samples sent)
(5605|5614) pub0  writer id: 01030000.5577766d.00000008.00000102(b1715251)
(5605|5614) pub0->started
(5605|5614) pub0  10% (7 samples sent)
(5605|5614) pub0  20% (13 samples sent)
(5605|5614) pub0  31% (20 samples sent)
(5605|5614) pub0  40% (26 samples sent)
(5605|5614) pub0  50% (32 samples sent)
(5605|5614) pub0  60% (39 samples sent)
(5605|5614) pub0  70% (45 samples sent)
(5605|5614) pub0  81% (52 samples sent)
(5605|5618) pub4  100% (64 samples sent)
(5605|5618) pub4->wait_match() before write for 01030000.5577766d.00000006.00000102(0e41ec30)
(5605|5618) pub4<-match found! before write for 01030000.5577766d.00000006.00000102(0e41ec30)
(5605|5618) pub4  waiting for acks
(5605|5614) pub0  90% (58 samples sent)
(5605|5614) pub0  100% (64 samples sent)
(5605|5614) pub0->wait_match() before write for 01030000.5577766d.00000008.00000102(b1715251)
(5605|5627) pub13  writer id: 01030000.5577766d.0000000e.00000102(3e31a7f1)
(5605|5627) pub13->started
(5605|5627) pub13  10% (7 samples sent)
(5605|5627) pub13  20% (13 samples sent)
(5605|5627) pub13  31% (20 samples sent)
(5605|5627) pub13  40% (26 samples sent)
(5605|5627) pub13  50% (32 samples sent)
(5605|5627) pub13  60% (39 samples sent)
(5605|5627) pub13  70% (45 samples sent)
(5605|5627) pub13  81% (52 samples sent)
(5605|5627) pub13  90% (58 samples sent)
(5605|5627) pub13  100% (64 samples sent)
(5605|5627) pub13->wait_match() before write for 01030000.5577766d.0000000e.00000102(3e31a7f1)
(5605|5622) pub7  writer id: 01030000.5577766d.00000011.00000102(dc81a7a2)
(5605|5622) pub7->started
(5605|5622) pub7  10% (7 samples sent)
(5605|5622) pub7  20% (13 samples sent)
(5605|5622) pub7  31% (20 samples sent)
(5605|5622) pub7  40% (26 samples sent)
(5605|5622) pub7  50% (32 samples sent)
(5605|5622) pub7  60% (39 samples sent)
(5605|5622) pub7  70% (45 samples sent)
(5605|5622) pub7  81% (52 samples sent)
(5605|5622) pub7  90% (58 samples sent)
(5605|5622) pub7  100% (64 samples sent)
(5605|5622) pub7->wait_match() before write for 01030000.5577766d.00000011.00000102(dc81a7a2)
(5605|5614) pub0<-match found! before write for 01030000.5577766d.00000008.00000102(b1715251)
(5605|5614) pub0  waiting for acks
(5605|5627) pub13<-match found! before write for 01030000.5577766d.0000000e.00000102(3e31a7f1)
(5605|5627) pub13  waiting for acks
(5605|5622) pub7<-match found! before write for 01030000.5577766d.00000011.00000102(dc81a7a2)
(5605|5622) pub7  waiting for acks
(5605|5613)  sub 10% (103 samples received)
(5605|5618) pub4  waiting for acks returned
(5605|5618) pub4<-delete_contained_entities
(5605|5614) pub0  waiting for acks returned
(5605|5614) pub0<-delete_contained_entities
(5605|5627) pub13  waiting for acks returned
(5605|5627) pub13<-delete_contained_entities
(5605|5621) pub10  writer id: 01030000.5577766d.0000000c.00000102(44f1f491)
(5605|5621) pub10->started
(5605|5621) pub10  10% (7 samples sent)
(5605|5621) pub10  20% (13 samples sent)
(5605|5621) pub10  31% (20 samples sent)
(5605|5621) pub10  40% (26 samples sent)
(5605|5621) pub10  50% (32 samples sent)
(5605|5621) pub10  60% (39 samples sent)
(5605|5621) pub10  70% (45 samples sent)
(5605|5621) pub10  81% (52 samples sent)
(5605|5621) pub10  90% (58 samples sent)
(5605|5621) pub10  100% (64 samples sent)
(5605|5621) pub10->wait_match() before write for 01030000.5577766d.0000000c.00000102(44f1f491)
(5605|5617) pub3<-delete_participant
(5605|5622) pub7  waiting for acks returned
(5605|5622) pub7<-delete_contained_entities
(5605|5621) pub10<-match found! before write for 01030000.5577766d.0000000c.00000102(44f1f491)
(5605|5621) pub10  waiting for acks
(5605|5613) sub condition_.notify_all
(5605|5605) sub condition_.wait returned
(5605|5605) sub check_received
(5605|5605) sub check_received returns 0
(5605|5605) <- PublisherService::end
(5605|5621) pub10  waiting for acks returned
(5605|5621) pub10<-delete_contained_entities
(5605|5616) pub2<-delete_participant
(5605|5615) pub1<-delete_participant
(5605|5620) pub9<-delete_participant
(5605|5625) pub5<-delete_participant
(5605|5628) pub14<-delete_participant
(5605|5624) pub11<-delete_participant
(5605|5623) pub6<-delete_participant
(5605|5629) pub15<-delete_participant
(5605|5619) pub8<-delete_participant
(5605|5626) pub12<-delete_participant
(5605|5618) pub4<-delete_participant
(5605|5614) pub0<-delete_participant
(5605|5627) pub13<-delete_participant
(5605|5622) pub7<-delete_participant
(5605|5621) pub10<-delete_participant
(5605|5605) <- PublisherService::~PublisherService
(5605|5605) <- Subscriber delete_contained_entities
(5605|5605) <- Subscriber delete_participant
(5605|5605) <- Subscriber::~Subscriber
(5605|5605) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl medium durable Time:2s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl high durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 5631
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 32 -s 32 -n 1024 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 5638 started at 2023-04-28 16:24:27
(5638|5638) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(5638|5638) -> Thrasher started
(5638|5638) -> Subscriber::Subscriber
(5631|5631) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(5638|5638) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(5638|5638)    Subscriber reader id: 01030000.5aae9167.00000002.00000507(a951aea2)
(5638|5638) -> PublisherService::PublisherService
(5638|5638) -> PublisherService::start (32 threads)
(5638|5638) sub wait_received 0:32
(5638|5647) pub0  writer id: 01030000.5aae9167.00000003.00000102(8037b699)
(5638|5647) pub0->started
(5638|5647) pub0  12% (4 samples sent)
(5638|5647) pub0  21% (7 samples sent)
(5638|5647) pub0  31% (10 samples sent)
(5638|5647) pub0  40% (13 samples sent)
(5638|5647) pub0  50% (16 samples sent)
(5638|5647) pub0  62% (20 samples sent)
(5638|5647) pub0  71% (23 samples sent)
(5638|5647) pub0  81% (26 samples sent)
(5638|5647) pub0  90% (29 samples sent)
(5638|5647) pub0  100% (32 samples sent)
(5638|5647) pub0->wait_match() before write for 01030000.5aae9167.00000003.00000102(8037b699)
(5638|5647) pub0<-match found! before write for 01030000.5aae9167.00000003.00000102(8037b699)
(5638|5647) pub0  waiting for acks
(5638|5647) pub0  waiting for acks returned
(5638|5647) pub0<-delete_contained_entities
(5638|5663) pub16  writer id: 01030000.5aae9167.00000012.00000102(ddb708ab)
(5638|5663) pub16->started
(5638|5663) pub16  12% (4 samples sent)
(5638|5663) pub16  21% (7 samples sent)
(5638|5663) pub16  31% (10 samples sent)
(5638|5663) pub16  40% (13 samples sent)
(5638|5663) pub16  50% (16 samples sent)
(5638|5663) pub16  62% (20 samples sent)
(5638|5663) pub16  71% (23 samples sent)
(5638|5663) pub16  81% (26 samples sent)
(5638|5663) pub16  90% (29 samples sent)
(5638|5663) pub16  100% (32 samples sent)
(5638|5663) pub16->wait_match() before write for 01030000.5aae9167.00000012.00000102(ddb708ab)
(5638|5663) pub16<-match found! before write for 01030000.5aae9167.00000012.00000102(ddb708ab)
(5638|5663) pub16  waiting for acks
(5638|5663) pub16  waiting for acks returned
(5638|5663) pub16<-delete_contained_entities
(5638|5665) pub18  writer id: 01030000.5aae9167.00000015.00000102(6f97d4bb)
(5638|5665) pub18->started
(5638|5665) pub18  12% (4 samples sent)
(5638|5665) pub18  21% (7 samples sent)
(5638|5665) pub18  31% (10 samples sent)
(5638|5665) pub18  40% (13 samples sent)
(5638|5665) pub18  50% (16 samples sent)
(5638|5665) pub18  62% (20 samples sent)
(5638|5665) pub18  71% (23 samples sent)
(5638|5665) pub18  81% (26 samples sent)
(5638|5665) pub18  90% (29 samples sent)
(5638|5665) pub18  100% (32 samples sent)
(5638|5665) pub18->wait_match() before write for 01030000.5aae9167.00000015.00000102(6f97d4bb)
(5638|5665) pub18<-match found! before write for 01030000.5aae9167.00000015.00000102(6f97d4bb)
(5638|5665) pub18  waiting for acks
(5638|5665) pub18  waiting for acks returned
(5638|5665) pub18<-delete_contained_entities
(5638|5658) pub5  writer id: 01030000.5aae9167.00000007.00000102(75b71059)
(5638|5658) pub5->started
(5638|5658) pub5  12% (4 samples sent)
(5638|5658) pub5  21% (7 samples sent)
(5638|5658) pub5  31% (10 samples sent)
(5638|5658) pub5  40% (13 samples sent)
(5638|5658) pub5  50% (16 samples sent)
(5638|5658) pub5  62% (20 samples sent)
(5638|5658) pub5  71% (23 samples sent)
(5638|5658) pub5  81% (26 samples sent)
(5638|5658) pub5  90% (29 samples sent)
(5638|5658) pub5  100% (32 samples sent)
(5638|5658) pub5->wait_match() before write for 01030000.5aae9167.00000007.00000102(75b71059)
(5638|5658) pub5<-match found! before write for 01030000.5aae9167.00000007.00000102(75b71059)
(5638|5658) pub5  waiting for acks
(5638|5658) pub5  waiting for acks returned
(5638|5658) pub5<-delete_contained_entities
(5638|5660) pub15  writer id: 01030000.5aae9167.00000010.00000102(a7775bcb)
(5638|5660) pub15->started
(5638|5660) pub15  12% (4 samples sent)
(5638|5660) pub15  21% (7 samples sent)
(5638|5660) pub15  31% (10 samples sent)
(5638|5660) pub15  40% (13 samples sent)
(5638|5660) pub15  50% (16 samples sent)
(5638|5660) pub15  62% (20 samples sent)
(5638|5660) pub15  71% (23 samples sent)
(5638|5660) pub15  81% (26 samples sent)
(5638|5660) pub15  90% (29 samples sent)
(5638|5660) pub15  100% (32 samples sent)
(5638|5660) pub15->wait_match() before write for 01030000.5aae9167.00000010.00000102(a7775bcb)
(5638|5660) pub15<-match found! before write for 01030000.5aae9167.00000010.00000102(a7775bcb)
(5638|5660) pub15  waiting for acks
(5638|5660) pub15  waiting for acks returned
(5638|5660) pub15<-delete_contained_entities
(5638|5657) pub9  writer id: 01030000.5aae9167.00000009.00000102(ca87ae38)
(5638|5657) pub9->started
(5638|5657) pub9  12% (4 samples sent)
(5638|5657) pub9  21% (7 samples sent)
(5638|5657) pub9  31% (10 samples sent)
(5638|5657) pub9  40% (13 samples sent)
(5638|5657) pub9  50% (16 samples sent)
(5638|5657) pub9  62% (20 samples sent)
(5638|5657) pub9  71% (23 samples sent)
(5638|5657) pub9  81% (26 samples sent)
(5638|5657) pub9  90% (29 samples sent)
(5638|5657) pub9  100% (32 samples sent)
(5638|5657) pub9->wait_match() before write for 01030000.5aae9167.00000009.00000102(ca87ae38)
(5638|5657) pub9<-match found! before write for 01030000.5aae9167.00000009.00000102(ca87ae38)
(5638|5657) pub9  waiting for acks
(5638|5657) pub9  waiting for acks returned
(5638|5657) pub9<-delete_contained_entities
(5638|5652) pub6  writer id: 01030000.5aae9167.0000000d.00000102(3f0708f8)
(5638|5652) pub6->started
(5638|5652) pub6  12% (4 samples sent)
(5638|5652) pub6  21% (7 samples sent)
(5638|5652) pub6  31% (10 samples sent)
(5638|5652) pub6  40% (13 samples sent)
(5638|5652) pub6  50% (16 samples sent)
(5638|5652) pub6  62% (20 samples sent)
(5638|5652) pub6  71% (23 samples sent)
(5638|5652) pub6  81% (26 samples sent)
(5638|5652) pub6  90% (29 samples sent)
(5638|5652) pub6  100% (32 samples sent)
(5638|5652) pub6->wait_match() before write for 01030000.5aae9167.0000000d.00000102(3f0708f8)
(5638|5652) pub6<-match found! before write for 01030000.5aae9167.0000000d.00000102(3f0708f8)
(5638|5652) pub6  waiting for acks
(5638|5652) pub6  waiting for acks returned
(5638|5652) pub6<-delete_contained_entities
(5638|5650) pub12  writer id: 01030000.5aae9167.0000000f.00000102(45c75b98)
(5638|5650) pub12->started
(5638|5650) pub12  12% (4 samples sent)
(5638|5650) pub12  21% (7 samples sent)
(5638|5650) pub12  31% (10 samples sent)
(5638|5650) pub12  40% (13 samples sent)
(5638|5650) pub12  50% (16 samples sent)
(5638|5650) pub12  62% (20 samples sent)
(5638|5650) pub12  71% (23 samples sent)
(5638|5650) pub12  81% (26 samples sent)
(5638|5650) pub12  90% (29 samples sent)
(5638|5650) pub12  100% (32 samples sent)
(5638|5650) pub12->wait_match() before write for 01030000.5aae9167.0000000f.00000102(45c75b98)
(5638|5650) pub12<-match found! before write for 01030000.5aae9167.0000000f.00000102(45c75b98)
(5638|5650) pub12  waiting for acks
(5638|5650) pub12  waiting for acks returned
(5638|5650) pub12<-delete_contained_entities
(5638|5655) pub3  writer id: 01030000.5aae9167.0000000b.00000102(b047fd58)
(5638|5655) pub3->started
(5638|5655) pub3  12% (4 samples sent)
(5638|5655) pub3  21% (7 samples sent)
(5638|5655) pub3  31% (10 samples sent)
(5638|5655) pub3  40% (13 samples sent)
(5638|5655) pub3  50% (16 samples sent)
(5638|5655) pub3  62% (20 samples sent)
(5638|5655) pub3  71% (23 samples sent)
(5638|5655) pub3  81% (26 samples sent)
(5638|5655) pub3  90% (29 samples sent)
(5638|5655) pub3  100% (32 samples sent)
(5638|5655) pub3->wait_match() before write for 01030000.5aae9167.0000000b.00000102(b047fd58)
(5638|5655) pub3<-match found! before write for 01030000.5aae9167.0000000b.00000102(b047fd58)
(5638|5655) pub3  waiting for acks
(5638|5655) pub3  waiting for acks returned
(5638|5655) pub3<-delete_contained_entities
(5638|5676) pub29  writer id: 01030000.5aae9167.0000001c.00000102(6287b6ca)
(5638|5676) pub29->started
(5638|5676) pub29  12% (4 samples sent)
(5638|5676) pub29  21% (7 samples sent)
(5638|5676) pub29  31% (10 samples sent)
(5638|5676) pub29  40% (13 samples sent)
(5638|5676) pub29  50% (16 samples sent)
(5638|5676) pub29  62% (20 samples sent)
(5638|5676) pub29  71% (23 samples sent)
(5638|5676) pub29  81% (26 samples sent)
(5638|5676) pub29  90% (29 samples sent)
(5638|5676) pub29  100% (32 samples sent)
(5638|5676) pub29->wait_match() before write for 01030000.5aae9167.0000001c.00000102(6287b6ca)
(5638|5676) pub29<-match found! before write for 01030000.5aae9167.0000001c.00000102(6287b6ca)
(5638|5676) pub29  waiting for acks
(5638|5676) pub29  waiting for acks returned
(5638|5676) pub29<-delete_contained_entities
(5638|5677) pub26  writer id: 01030000.5aae9167.0000001f.00000102(2527cc1a)
(5638|5677) pub26->started
(5638|5677) pub26  12% (4 samples sent)
(5638|5677) pub26  21% (7 samples sent)
(5638|5677) pub26  31% (10 samples sent)
(5638|5677) pub26  40% (13 samples sent)
(5638|5677) pub26  50% (16 samples sent)
(5638|5677) pub26  62% (20 samples sent)
(5638|5677) pub26  71% (23 samples sent)
(5638|5677) pub26  81% (26 samples sent)
(5638|5677) pub26  90% (29 samples sent)
(5638|5677) pub26  100% (32 samples sent)
(5638|5677) pub26->wait_match() before write for 01030000.5aae9167.0000001f.00000102(2527cc1a)
(5638|5677) pub26<-match found! before write for 01030000.5aae9167.0000001f.00000102(2527cc1a)
(5638|5677) pub26  waiting for acks
(5638|5677) pub26  waiting for acks returned
(5638|5677) pub26<-delete_contained_entities
(5638|5669) pub22  writer id: 01030000.5aae9167.0000001b.00000102(d0a76ada)
(5638|5669) pub22->started
(5638|5669) pub22  12% (4 samples sent)
(5638|5669) pub22  21% (7 samples sent)
(5638|5669) pub22  31% (10 samples sent)
(5638|5669) pub22  40% (13 samples sent)
(5638|5669) pub22  50% (16 samples sent)
(5638|5669) pub22  62% (20 samples sent)
(5638|5669) pub22  71% (23 samples sent)
(5638|5669) pub22  81% (26 samples sent)
(5638|5669) pub22  90% (29 samples sent)
(5638|5669) pub22  100% (32 samples sent)
(5638|5669) pub22->wait_match() before write for 01030000.5aae9167.0000001b.00000102(d0a76ada)
(5638|5669) pub22<-match found! before write for 01030000.5aae9167.0000001b.00000102(d0a76ada)
(5638|5669) pub22  waiting for acks
(5638|5669) pub22  waiting for acks returned
(5638|5669) pub22<-delete_contained_entities
(5638|5672) pub31  writer id: 01030000.5aae9167.00000022.00000102(7c96b02d)
(5638|5672) pub31->started
(5638|5672) pub31  12% (4 samples sent)
(5638|5672) pub31  21% (7 samples sent)
(5638|5672) pub31  31% (10 samples sent)
(5638|5672) pub31  40% (13 samples sent)
(5638|5672) pub31  50% (16 samples sent)
(5638|5672) pub31  62% (20 samples sent)
(5638|5672) pub31  71% (23 samples sent)
(5638|5672) pub31  81% (26 samples sent)
(5638|5672) pub31  90% (29 samples sent)
(5638|5672) pub31  100% (32 samples sent)
(5638|5672) pub31->wait_match() before write for 01030000.5aae9167.00000022.00000102(7c96b02d)
(5638|5672) pub31<-match found! before write for 01030000.5aae9167.00000022.00000102(7c96b02d)
(5638|5672) pub31  waiting for acks
(5638|5672) pub31  waiting for acks returned
(5638|5672) pub31<-delete_contained_entities
(5638|5674) pub24  writer id: 01030000.5aae9167.0000001d.00000102(5fe79f7a)
(5638|5674) pub24->started
(5638|5674) pub24  12% (4 samples sent)
(5638|5674) pub24  21% (7 samples sent)
(5638|5674) pub24  31% (10 samples sent)
(5638|5674) pub24  40% (13 samples sent)
(5638|5674) pub24  50% (16 samples sent)
(5638|5674) pub24  62% (20 samples sent)
(5638|5674) pub24  71% (23 samples sent)
(5638|5674) pub24  81% (26 samples sent)
(5638|5674) pub24  90% (29 samples sent)
(5638|5674) pub24  100% (32 samples sent)
(5638|5674) pub24->wait_match() before write for 01030000.5aae9167.0000001d.00000102(5fe79f7a)
(5638|5674) pub24<-match found! before write for 01030000.5aae9167.0000001d.00000102(5fe79f7a)
(5638|5674) pub24  waiting for acks
(5638|5674) pub24  waiting for acks returned
(5638|5674) pub24<-delete_contained_entities
(5638|5649) pub7  writer id: 01030000.5aae9167.00000008.00000102(f7e78788)
(5638|5649) pub7->started
(5638|5649) pub7  12% (4 samples sent)
(5638|5649) pub7  21% (7 samples sent)
(5638|5649) pub7  31% (10 samples sent)
(5638|5649) pub7  40% (13 samples sent)
(5638|5649) pub7  50% (16 samples sent)
(5638|5649) pub7  62% (20 samples sent)
(5638|5649) pub7  71% (23 samples sent)
(5638|5649) pub7  81% (26 samples sent)
(5638|5649) pub7  90% (29 samples sent)
(5638|5649) pub7  100% (32 samples sent)
(5638|5649) pub7->wait_match() before write for 01030000.5aae9167.00000008.00000102(f7e78788)
(5638|5649) pub7<-match found! before write for 01030000.5aae9167.00000008.00000102(f7e78788)
(5638|5649) pub7  waiting for acks
(5638|5649) pub7  waiting for acks returned
(5638|5649) pub7<-delete_contained_entities
(5638|5656) pub4  writer id: 01030000.5aae9167.0000000c.00000102(02672148)
(5638|5656) pub4->started
(5638|5656) pub4  12% (4 samples sent)
(5638|5656) pub4  21% (7 samples sent)
(5638|5656) pub4  31% (10 samples sent)
(5638|5656) pub4  40% (13 samples sent)
(5638|5656) pub4  50% (16 samples sent)
(5638|5656) pub4  62% (20 samples sent)
(5638|5656) pub4  71% (23 samples sent)
(5638|5656) pub4  81% (26 samples sent)
(5638|5656) pub4  90% (29 samples sent)
(5638|5656) pub4  100% (32 samples sent)
(5638|5656) pub4->wait_match() before write for 01030000.5aae9167.0000000c.00000102(02672148)
(5638|5656) pub4<-match found! before write for 01030000.5aae9167.0000000c.00000102(02672148)
(5638|5656) pub4  waiting for acks
(5638|5656) pub4  waiting for acks returned
(5638|5656) pub4<-delete_contained_entities
(5638|5653) pub11  writer id: 01030000.5aae9167.0000000e.00000102(78a77228)
(5638|5653) pub11->started
(5638|5653) pub11  12% (4 samples sent)
(5638|5653) pub11  21% (7 samples sent)
(5638|5653) pub11  31% (10 samples sent)
(5638|5653) pub11  40% (13 samples sent)
(5638|5653) pub11  50% (16 samples sent)
(5638|5653) pub11  62% (20 samples sent)
(5638|5653) pub11  71% (23 samples sent)
(5638|5653) pub11  81% (26 samples sent)
(5638|5653) pub11  90% (29 samples sent)
(5638|5653) pub11  100% (32 samples sent)
(5638|5653) pub11->wait_match() before write for 01030000.5aae9167.0000000e.00000102(78a77228)
(5638|5653) pub11<-match found! before write for 01030000.5aae9167.0000000e.00000102(78a77228)
(5638|5653) pub11  waiting for acks
(5638|5653) pub11  waiting for acks returned
(5638|5653) pub11<-delete_contained_entities
(5638|5661) pub13  writer id: 01030000.5aae9167.00000013.00000102(e0d7211b)
(5638|5661) pub13->started
(5638|5661) pub13  12% (4 samples sent)
(5638|5661) pub13  21% (7 samples sent)
(5638|5661) pub13  31% (10 samples sent)
(5638|5661) pub13  40% (13 samples sent)
(5638|5661) pub13  50% (16 samples sent)
(5638|5661) pub13  62% (20 samples sent)
(5638|5661) pub13  71% (23 samples sent)
(5638|5661) pub13  81% (26 samples sent)
(5638|5661) pub13  90% (29 samples sent)
(5638|5661) pub13  100% (32 samples sent)
(5638|5661) pub13->wait_match() before write for 01030000.5aae9167.00000013.00000102(e0d7211b)
(5638|5661) pub13<-match found! before write for 01030000.5aae9167.00000013.00000102(e0d7211b)
(5638|5661) pub13  waiting for acks
(5638|5661) pub13  waiting for acks returned
(5638|5661) pub13<-delete_contained_entities
(5638|5648) pub1  writer id: 01030000.5aae9167.00000006.00000102(48d739e9)
(5638|5648) pub1->started
(5638|5648) pub1  12% (4 samples sent)
(5638|5648) pub1  21% (7 samples sent)
(5638|5648) pub1  31% (10 samples sent)
(5638|5648) pub1  40% (13 samples sent)
(5638|5648) pub1  50% (16 samples sent)
(5638|5648) pub1  62% (20 samples sent)
(5638|5648) pub1  71% (23 samples sent)
(5638|5648) pub1  81% (26 samples sent)
(5638|5648) pub1  90% (29 samples sent)
(5638|5648) pub1  100% (32 samples sent)
(5638|5648) pub1->wait_match() before write for 01030000.5aae9167.00000006.00000102(48d739e9)
(5638|5648) pub1<-match found! before write for 01030000.5aae9167.00000006.00000102(48d739e9)
(5638|5648) pub1  waiting for acks
(5638|5675) pub28  writer id: 01030000.5aae9167.00000020.00000102(0656e34d)
(5638|5675) pub28->started
(5638|5675) pub28  12% (4 samples sent)
(5638|5675) pub28  21% (7 samples sent)
(5638|5675) pub28  31% (10 samples sent)
(5638|5675) pub28  40% (13 samples sent)
(5638|5675) pub28  50% (16 samples sent)
(5638|5675) pub28  62% (20 samples sent)
(5638|5675) pub28  71% (23 samples sent)
(5638|5675) pub28  81% (26 samples sent)
(5638|5678) pub30  writer id: 01030000.5aae9167.00000021.00000102(3b36cafd)
(5638|5678) pub30->started
(5638|5678) pub30  12% (4 samples sent)
(5638|5678) pub30  21% (7 samples sent)
(5638|5678) pub30  31% (10 samples sent)
(5638|5678) pub30  40% (13 samples sent)
(5638|5678) pub30  50% (16 samples sent)
(5638|5678) pub30  62% (20 samples sent)
(5638|5678) pub30  71% (23 samples sent)
(5638|5678) pub30  81% (26 samples sent)
(5638|5678) pub30  90% (29 samples sent)
(5638|5678) pub30  100% (32 samples sent)
(5638|5678) pub30->wait_match() before write for 01030000.5aae9167.00000021.00000102(3b36cafd)
(5638|5678) pub30<-match found! before write for 01030000.5aae9167.00000021.00000102(3b36cafd)
(5638|5678) pub30  waiting for acks
(5638|5648) pub1  waiting for acks returned
(5638|5648) pub1<-delete_contained_entities
(5638|5675) pub28  90% (29 samples sent)
(5638|5675) pub28  100% (32 samples sent)
(5638|5675) pub28->wait_match() before write for 01030000.5aae9167.00000020.00000102(0656e34d)
(5638|5675) pub28<-match found! before write for 01030000.5aae9167.00000020.00000102(0656e34d)
(5638|5675) pub28  waiting for acks
(5638|5678) pub30  waiting for acks returned
(5638|5678) pub30<-delete_contained_entities
(5638|5675) pub28  waiting for acks returned
(5638|5675) pub28<-delete_contained_entities
(5638|5651) pub8  writer id: 01030000.5aae9167.00000005.00000102(0f774339)
(5638|5651) pub8->started
(5638|5651) pub8  12% (4 samples sent)
(5638|5651) pub8  21% (7 samples sent)
(5638|5651) pub8  31% (10 samples sent)
(5638|5651) pub8  40% (13 samples sent)
(5638|5651) pub8  50% (16 samples sent)
(5638|5651) pub8  62% (20 samples sent)
(5638|5651) pub8  71% (23 samples sent)
(5638|5651) pub8  81% (26 samples sent)
(5638|5651) pub8  90% (29 samples sent)
(5638|5651) pub8  100% (32 samples sent)
(5638|5651) pub8->wait_match() before write for 01030000.5aae9167.00000005.00000102(0f774339)
(5638|5651) pub8<-match found! before write for 01030000.5aae9167.00000005.00000102(0f774339)
(5638|5651) pub8  waiting for acks
(5638|5651) pub8  waiting for acks returned
(5638|5651) pub8<-delete_contained_entities
(5638|5664) pub17  writer id: 01030000.5aae9167.00000017.00000102(155787db)
(5638|5664) pub17->started
(5638|5664) pub17  12% (4 samples sent)
(5638|5664) pub17  21% (7 samples sent)
(5638|5664) pub17  31% (10 samples sent)
(5638|5664) pub17  40% (13 samples sent)
(5638|5664) pub17  50% (16 samples sent)
(5638|5664) pub17  62% (20 samples sent)
(5638|5664) pub17  71% (23 samples sent)
(5638|5664) pub17  81% (26 samples sent)
(5638|5664) pub17  90% (29 samples sent)
(5638|5664) pub17  100% (32 samples sent)
(5638|5664) pub17->wait_match() before write for 01030000.5aae9167.00000017.00000102(155787db)
(5638|5664) pub17<-match found! before write for 01030000.5aae9167.00000017.00000102(155787db)
(5638|5664) pub17  waiting for acks
(5638|5659) pub10  writer id: 01030000.5aae9167.0000000a.00000102(8d27d4e8)
(5638|5659) pub10->started
(5638|5659) pub10  12% (4 samples sent)
(5638|5659) pub10  21% (7 samples sent)
(5638|5659) pub10  31% (10 samples sent)
(5638|5659) pub10  40% (13 samples sent)
(5638|5659) pub10  50% (16 samples sent)
(5638|5659) pub10  62% (20 samples sent)
(5638|5659) pub10  71% (23 samples sent)
(5638|5659) pub10  81% (26 samples sent)
(5638|5659) pub10  90% (29 samples sent)
(5638|5659) pub10  100% (32 samples sent)
(5638|5659) pub10->wait_match() before write for 01030000.5aae9167.0000000a.00000102(8d27d4e8)
(5638|5659) pub10<-match found! before write for 01030000.5aae9167.0000000a.00000102(8d27d4e8)
(5638|5659) pub10  waiting for acks
(5638|5664) pub17  waiting for acks returned
(5638|5664) pub17<-delete_contained_entities
(5638|5646)  sub 10% (103 samples received)
(5638|5654) pub2  writer id: 01030000.5aae9167.00000004.00000102(32176a89)
(5638|5654) pub2->started
(5638|5654) pub2  12% (4 samples sent)
(5638|5654) pub2  21% (7 samples sent)
(5638|5654) pub2  31% (10 samples sent)
(5638|5654) pub2  40% (13 samples sent)
(5638|5654) pub2  50% (16 samples sent)
(5638|5654) pub2  62% (20 samples sent)
(5638|5654) pub2  71% (23 samples sent)
(5638|5654) pub2  81% (26 samples sent)
(5638|5654) pub2  90% (29 samples sent)
(5638|5654) pub2  100% (32 samples sent)
(5638|5654) pub2->wait_match() before write for 01030000.5aae9167.00000004.00000102(32176a89)
(5638|5659) pub10  waiting for acks returned
(5638|5659) pub10<-delete_contained_entities
(5638|5654) pub2<-match found! before write for 01030000.5aae9167.00000004.00000102(32176a89)
(5638|5654) pub2  waiting for acks
(5638|5654) pub2  waiting for acks returned
(5638|5654) pub2<-delete_contained_entities
(5638|5647) pub0<-delete_participant
(5638|5670) pub23  writer id: 01030000.5aae9167.00000018.00000102(9707100a)
(5638|5670) pub23->started
(5638|5670) pub23  12% (4 samples sent)
(5638|5670) pub23  21% (7 samples sent)
(5638|5670) pub23  31% (10 samples sent)
(5638|5670) pub23  40% (13 samples sent)
(5638|5670) pub23  50% (16 samples sent)
(5638|5670) pub23  62% (20 samples sent)
(5638|5670) pub23  71% (23 samples sent)
(5638|5670) pub23  81% (26 samples sent)
(5638|5670) pub23  90% (29 samples sent)
(5638|5670) pub23  100% (32 samples sent)
(5638|5670) pub23->wait_match() before write for 01030000.5aae9167.00000018.00000102(9707100a)
(5638|5670) pub23<-match found! before write for 01030000.5aae9167.00000018.00000102(9707100a)
(5638|5670) pub23  waiting for acks
(5638|5670) pub23  waiting for acks returned
(5638|5670) pub23<-delete_contained_entities
(5638|5673) pub25  writer id: 01030000.5aae9167.0000001e.00000102(1847e5aa)
(5638|5673) pub25->started
(5638|5673) pub25  12% (4 samples sent)
(5638|5673) pub25  21% (7 samples sent)
(5638|5673) pub25  31% (10 samples sent)
(5638|5673) pub25  40% (13 samples sent)
(5638|5673) pub25  50% (16 samples sent)
(5638|5673) pub25  62% (20 samples sent)
(5638|5673) pub25  71% (23 samples sent)
(5638|5673) pub25  81% (26 samples sent)
(5638|5673) pub25  90% (29 samples sent)
(5638|5673) pub25  100% (32 samples sent)
(5638|5673) pub25->wait_match() before write for 01030000.5aae9167.0000001e.00000102(1847e5aa)
(5638|5673) pub25<-match found! before write for 01030000.5aae9167.0000001e.00000102(1847e5aa)
(5638|5673) pub25  waiting for acks
(5638|5673) pub25  waiting for acks returned
(5638|5673) pub25<-delete_contained_entities
(5638|5662) pub14  writer id: 01030000.5aae9167.00000011.00000102(9a17727b)
(5638|5662) pub14->started
(5638|5662) pub14  12% (4 samples sent)
(5638|5662) pub14  21% (7 samples sent)
(5638|5662) pub14  31% (10 samples sent)
(5638|5662) pub14  40% (13 samples sent)
(5638|5662) pub14  50% (16 samples sent)
(5638|5662) pub14  62% (20 samples sent)
(5638|5662) pub14  71% (23 samples sent)
(5638|5662) pub14  81% (26 samples sent)
(5638|5662) pub14  90% (29 samples sent)
(5638|5662) pub14  100% (32 samples sent)
(5638|5662) pub14->wait_match() before write for 01030000.5aae9167.00000011.00000102(9a17727b)
(5638|5662) pub14<-match found! before write for 01030000.5aae9167.00000011.00000102(9a17727b)
(5638|5662) pub14  waiting for acks
(5638|5662) pub14  waiting for acks returned
(5638|5662) pub14<-delete_contained_entities
(5638|5668) pub21  writer id: 01030000.5aae9167.00000016.00000102(2837ae6b)
(5638|5668) pub21->started
(5638|5668) pub21  12% (4 samples sent)
(5638|5668) pub21  21% (7 samples sent)
(5638|5668) pub21  31% (10 samples sent)
(5638|5668) pub21  40% (13 samples sent)
(5638|5668) pub21  50% (16 samples sent)
(5638|5668) pub21  62% (20 samples sent)
(5638|5668) pub21  71% (23 samples sent)
(5638|5668) pub21  81% (26 samples sent)
(5638|5668) pub21  90% (29 samples sent)
(5638|5668) pub21  100% (32 samples sent)
(5638|5668) pub21->wait_match() before write for 01030000.5aae9167.00000016.00000102(2837ae6b)
(5638|5668) pub21<-match found! before write for 01030000.5aae9167.00000016.00000102(2837ae6b)
(5638|5668) pub21  waiting for acks
(5638|5668) pub21  waiting for acks returned
(5638|5668) pub21<-delete_contained_entities
(5638|5666) pub19  writer id: 01030000.5aae9167.00000014.00000102(52f7fd0b)
(5638|5666) pub19->started
(5638|5666) pub19  12% (4 samples sent)
(5638|5666) pub19  21% (7 samples sent)
(5638|5666) pub19  31% (10 samples sent)
(5638|5666) pub19  40% (13 samples sent)
(5638|5666) pub19  50% (16 samples sent)
(5638|5666) pub19  62% (20 samples sent)
(5638|5666) pub19  71% (23 samples sent)
(5638|5666) pub19  81% (26 samples sent)
(5638|5666) pub19  90% (29 samples sent)
(5638|5666) pub19  100% (32 samples sent)
(5638|5666) pub19->wait_match() before write for 01030000.5aae9167.00000014.00000102(52f7fd0b)
(5638|5666) pub19<-match found! before write for 01030000.5aae9167.00000014.00000102(52f7fd0b)
(5638|5666) pub19  waiting for acks
(5638|5666) pub19  waiting for acks returned
(5638|5666) pub19<-delete_contained_entities
(5638|5671) pub27  writer id: 01030000.5aae9167.0000001a.00000102(edc7436a)
(5638|5671) pub27->started
(5638|5671) pub27  12% (4 samples sent)
(5638|5671) pub27  21% (7 samples sent)
(5638|5671) pub27  31% (10 samples sent)
(5638|5671) pub27  40% (13 samples sent)
(5638|5671) pub27  50% (16 samples sent)
(5638|5671) pub27  62% (20 samples sent)
(5638|5671) pub27  71% (23 samples sent)
(5638|5671) pub27  81% (26 samples sent)
(5638|5671) pub27  90% (29 samples sent)
(5638|5671) pub27  100% (32 samples sent)
(5638|5671) pub27->wait_match() before write for 01030000.5aae9167.0000001a.00000102(edc7436a)
(5638|5671) pub27<-match found! before write for 01030000.5aae9167.0000001a.00000102(edc7436a)
(5638|5671) pub27  waiting for acks
(5638|5671) pub27  waiting for acks returned
(5638|5671) pub27<-delete_contained_entities
(5638|5663) pub16<-delete_participant
(5638|5667) pub20  writer id: 01030000.5aae9167.00000019.00000102(aa6739ba)
(5638|5667) pub20->started
(5638|5667) pub20  12% (4 samples sent)
(5638|5667) pub20  21% (7 samples sent)
(5638|5667) pub20  31% (10 samples sent)
(5638|5667) pub20  40% (13 samples sent)
(5638|5667) pub20  50% (16 samples sent)
(5638|5667) pub20  62% (20 samples sent)
(5638|5667) pub20  71% (23 samples sent)
(5638|5667) pub20  81% (26 samples sent)
(5638|5667) pub20  90% (29 samples sent)
(5638|5667) pub20  100% (32 samples sent)
(5638|5667) pub20->wait_match() before write for 01030000.5aae9167.00000019.00000102(aa6739ba)
(5638|5646) sub condition_.notify_all
(5638|5638) sub condition_.wait returned
(5638|5638) sub check_received
(5638|5638) sub check_received returns 0
(5638|5638) <- PublisherService::end
(5638|5667) pub20<-match found! before write for 01030000.5aae9167.00000019.00000102(aa6739ba)
(5638|5667) pub20  waiting for acks
(5638|5667) pub20  waiting for acks returned
(5638|5667) pub20<-delete_contained_entities
(5638|5665) pub18<-delete_participant
(5638|5658) pub5<-delete_participant
(5638|5660) pub15<-delete_participant
(5638|5657) pub9<-delete_participant
(5638|5652) pub6<-delete_participant
(5638|5650) pub12<-delete_participant
(5638|5655) pub3<-delete_participant
(5638|5676) pub29<-delete_participant
(5638|5677) pub26<-delete_participant
(5638|5669) pub22<-delete_participant
(5638|5672) pub31<-delete_participant
(5638|5674) pub24<-delete_participant
(5638|5649) pub7<-delete_participant
(5638|5656) pub4<-delete_participant
(5638|5653) pub11<-delete_participant
(5638|5661) pub13<-delete_participant
(5638|5648) pub1<-delete_participant
(5638|5678) pub30<-delete_participant
(5638|5675) pub28<-delete_participant
(5638|5651) pub8<-delete_participant
(5638|5664) pub17<-delete_participant
(5638|5659) pub10<-delete_participant
(5638|5654) pub2<-delete_participant
(5638|5670) pub23<-delete_participant
(5638|5673) pub25<-delete_participant
(5638|5662) pub14<-delete_participant
(5638|5668) pub21<-delete_participant
(5638|5666) pub19<-delete_participant
(5638|5671) pub27<-delete_participant
(5638|5667) pub20<-delete_participant
(5638|5638) <- PublisherService::~PublisherService
(5638|5638) <- Subscriber delete_contained_entities
(5638|5644) WARNING: DataReaderImpl::accept_sample_processing - subscription 01030000.5aae9167.00000002.000003c7(646fcb94) failed to find publication data for 01030000.5aae9167.00000001.000004c2(1ce4d30c).
(5638|5638) <- Subscriber delete_participant
(5638|5638) <- Subscriber::~Subscriber
(5638|5638) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl high durable Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl aggressive durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 5680
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 64 -s 16 -n 1024 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 5687 started at 2023-04-28 16:24:29
(5687|5687) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(5687|5687) -> Thrasher started
(5687|5687) -> Subscriber::Subscriber
(5680|5680) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(5687|5687) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(5687|5687)    Subscriber reader id: 01030000.26ddbb9c.00000002.00000507(a30d987b)
(5687|5687) -> PublisherService::PublisherService
(5687|5687) -> PublisherService::start (64 threads)
(5687|5687) sub wait_received 0:64
(5687|5697) pub0  writer id: 01030000.26ddbb9c.00000003.00000102(8a6b8040)
(5687|5697) pub0->started
(5687|5697) pub0  12% (2 samples sent)
(5687|5697) pub0  25% (4 samples sent)
(5687|5697) pub0  31% (5 samples sent)
(5687|5697) pub0  43% (7 samples sent)
(5687|5697) pub0  50% (8 samples sent)
(5687|5697) pub0  62% (10 samples sent)
(5687|5697) pub0  75% (12 samples sent)
(5687|5697) pub0  81% (13 samples sent)
(5687|5697) pub0  93% (15 samples sent)
(5687|5697) pub0  100% (16 samples sent)
(5687|5697) pub0->wait_match() before write for 01030000.26ddbb9c.00000003.00000102(8a6b8040)
(5687|5697) pub0<-match found! before write for 01030000.26ddbb9c.00000003.00000102(8a6b8040)
(5687|5697) pub0  waiting for acks
(5687|5697) pub0  waiting for acks returned
(5687|5697) pub0<-delete_contained_entities
(5687|5700) pub5  writer id: 01030000.26ddbb9c.00000009.00000102(c0db98e1)
(5687|5700) pub5->started
(5687|5700) pub5  12% (2 samples sent)
(5687|5700) pub5  25% (4 samples sent)
(5687|5700) pub5  31% (5 samples sent)
(5687|5700) pub5  43% (7 samples sent)
(5687|5700) pub5  50% (8 samples sent)
(5687|5700) pub5  62% (10 samples sent)
(5687|5700) pub5  75% (12 samples sent)
(5687|5700) pub5  81% (13 samples sent)
(5687|5700) pub5  93% (15 samples sent)
(5687|5700) pub5  100% (16 samples sent)
(5687|5700) pub5->wait_match() before write for 01030000.26ddbb9c.00000009.00000102(c0db98e1)
(5687|5700) pub5<-match found! before write for 01030000.26ddbb9c.00000009.00000102(c0db98e1)
(5687|5700) pub5  waiting for acks
(5687|5700) pub5  waiting for acks returned
(5687|5700) pub5<-delete_contained_entities
(5687|5748) pub62  writer id: 01030000.26ddbb9c.00000018.00000102(9d5b26d3)
(5687|5748) pub62->started
(5687|5748) pub62  12% (2 samples sent)
(5687|5748) pub62  25% (4 samples sent)
(5687|5748) pub62  31% (5 samples sent)
(5687|5748) pub62  43% (7 samples sent)
(5687|5748) pub62  50% (8 samples sent)
(5687|5748) pub62  62% (10 samples sent)
(5687|5748) pub62  75% (12 samples sent)
(5687|5748) pub62  81% (13 samples sent)
(5687|5748) pub62  93% (15 samples sent)
(5687|5748) pub62  100% (16 samples sent)
(5687|5748) pub62->wait_match() before write for 01030000.26ddbb9c.00000018.00000102(9d5b26d3)
(5687|5748) pub62<-match found! before write for 01030000.26ddbb9c.00000018.00000102(9d5b26d3)
(5687|5748) pub62  waiting for acks
(5687|5748) pub62  waiting for acks returned
(5687|5748) pub62<-delete_contained_entities
(5687|5699) pub3  writer id: 01030000.26ddbb9c.00000006.00000102(428b0f30)
(5687|5699) pub3->started
(5687|5699) pub3  12% (2 samples sent)
(5687|5699) pub3  25% (4 samples sent)
(5687|5699) pub3  31% (5 samples sent)
(5687|5699) pub3  43% (7 samples sent)
(5687|5699) pub3  50% (8 samples sent)
(5687|5699) pub3  62% (10 samples sent)
(5687|5699) pub3  75% (12 samples sent)
(5687|5699) pub3  81% (13 samples sent)
(5687|5699) pub3  93% (15 samples sent)
(5687|5699) pub3  100% (16 samples sent)
(5687|5699) pub3->wait_match() before write for 01030000.26ddbb9c.00000006.00000102(428b0f30)
(5687|5699) pub3<-match found! before write for 01030000.26ddbb9c.00000006.00000102(428b0f30)
(5687|5699) pub3  waiting for acks
(5687|5699) pub3  waiting for acks returned
(5687|5699) pub3<-delete_contained_entities
(5687|5703) pub8  writer id: 01030000.26ddbb9c.0000000d.00000102(355b3e21)
(5687|5703) pub8->started
(5687|5703) pub8  12% (2 samples sent)
(5687|5703) pub8  25% (4 samples sent)
(5687|5703) pub8  31% (5 samples sent)
(5687|5703) pub8  43% (7 samples sent)
(5687|5703) pub8  50% (8 samples sent)
(5687|5703) pub8  62% (10 samples sent)
(5687|5703) pub8  75% (12 samples sent)
(5687|5703) pub8  81% (13 samples sent)
(5687|5703) pub8  93% (15 samples sent)
(5687|5703) pub8  100% (16 samples sent)
(5687|5703) pub8->wait_match() before write for 01030000.26ddbb9c.0000000d.00000102(355b3e21)
(5687|5703) pub8<-match found! before write for 01030000.26ddbb9c.0000000d.00000102(355b3e21)
(5687|5703) pub8  waiting for acks
(5687|5703) pub8  waiting for acks returned
(5687|5703) pub8<-delete_contained_entities
(5687|5698) pub2  writer id: 01030000.26ddbb9c.00000004.00000102(384b5c50)
(5687|5698) pub2->started
(5687|5698) pub2  12% (2 samples sent)
(5687|5698) pub2  25% (4 samples sent)
(5687|5698) pub2  31% (5 samples sent)
(5687|5698) pub2  43% (7 samples sent)
(5687|5698) pub2  50% (8 samples sent)
(5687|5698) pub2  62% (10 samples sent)
(5687|5698) pub2  75% (12 samples sent)
(5687|5698) pub2  81% (13 samples sent)
(5687|5698) pub2  93% (15 samples sent)
(5687|5698) pub2  100% (16 samples sent)
(5687|5698) pub2->wait_match() before write for 01030000.26ddbb9c.00000004.00000102(384b5c50)
(5687|5698) pub2<-match found! before write for 01030000.26ddbb9c.00000004.00000102(384b5c50)
(5687|5698) pub2  waiting for acks
(5687|5698) pub2  waiting for acks returned
(5687|5698) pub2<-delete_contained_entities
(5687|5720) pub21  writer id: 01030000.26ddbb9c.0000000e.00000102(72fb44f1)
(5687|5720) pub21->started
(5687|5720) pub21  12% (2 samples sent)
(5687|5720) pub21  25% (4 samples sent)
(5687|5720) pub21  31% (5 samples sent)
(5687|5720) pub21  43% (7 samples sent)
(5687|5720) pub21  50% (8 samples sent)
(5687|5720) pub21  62% (10 samples sent)
(5687|5720) pub21  75% (12 samples sent)
(5687|5720) pub21  81% (13 samples sent)
(5687|5720) pub21  93% (15 samples sent)
(5687|5720) pub21  100% (16 samples sent)
(5687|5720) pub21->wait_match() before write for 01030000.26ddbb9c.0000000e.00000102(72fb44f1)
(5687|5720) pub21<-match found! before write for 01030000.26ddbb9c.0000000e.00000102(72fb44f1)
(5687|5720) pub21  waiting for acks
(5687|5720) pub21  waiting for acks returned
(5687|5720) pub21<-delete_contained_entities
(5687|5754) pub59  writer id: 01030000.26ddbb9c.0000003d.00000102(947a86a7)
(5687|5754) pub59->started
(5687|5754) pub59  12% (2 samples sent)
(5687|5754) pub59  25% (4 samples sent)
(5687|5754) pub59  31% (5 samples sent)
(5687|5754) pub59  43% (7 samples sent)
(5687|5754) pub59  50% (8 samples sent)
(5687|5754) pub59  62% (10 samples sent)
(5687|5754) pub59  75% (12 samples sent)
(5687|5754) pub59  81% (13 samples sent)
(5687|5754) pub59  93% (15 samples sent)
(5687|5754) pub59  100% (16 samples sent)
(5687|5754) pub59->wait_match() before write for 01030000.26ddbb9c.0000003d.00000102(947a86a7)
(5687|5754) pub59<-match found! before write for 01030000.26ddbb9c.0000003d.00000102(947a86a7)
(5687|5754) pub59  waiting for acks
(5687|5754) pub59  waiting for acks returned
(5687|5754) pub59<-delete_contained_entities
(5687|5702) pub6  writer id: 01030000.26ddbb9c.00000007.00000102(7feb2680)
(5687|5702) pub6->started
(5687|5702) pub6  12% (2 samples sent)
(5687|5702) pub6  25% (4 samples sent)
(5687|5702) pub6  31% (5 samples sent)
(5687|5702) pub6  43% (7 samples sent)
(5687|5702) pub6  50% (8 samples sent)
(5687|5702) pub6  62% (10 samples sent)
(5687|5702) pub6  75% (12 samples sent)
(5687|5702) pub6  81% (13 samples sent)
(5687|5702) pub6  93% (15 samples sent)
(5687|5702) pub6  100% (16 samples sent)
(5687|5702) pub6->wait_match() before write for 01030000.26ddbb9c.00000007.00000102(7feb2680)
(5687|5702) pub6<-match found! before write for 01030000.26ddbb9c.00000007.00000102(7feb2680)
(5687|5702) pub6  waiting for acks
(5687|5702) pub6  waiting for acks returned
(5687|5702) pub6<-delete_contained_entities
(5687|5708) pub12  writer id: 01030000.26ddbb9c.00000008.00000102(fdbbb151)
(5687|5708) pub12->started
(5687|5708) pub12  12% (2 samples sent)
(5687|5708) pub12  25% (4 samples sent)
(5687|5708) pub12  31% (5 samples sent)
(5687|5708) pub12  43% (7 samples sent)
(5687|5708) pub12  50% (8 samples sent)
(5687|5708) pub12  62% (10 samples sent)
(5687|5708) pub12  75% (12 samples sent)
(5687|5708) pub12  81% (13 samples sent)
(5687|5708) pub12  93% (15 samples sent)
(5687|5708) pub12  100% (16 samples sent)
(5687|5708) pub12->wait_match() before write for 01030000.26ddbb9c.00000008.00000102(fdbbb151)
(5687|5708) pub12<-match found! before write for 01030000.26ddbb9c.00000008.00000102(fdbbb151)
(5687|5708) pub12  waiting for acks
(5687|5708) pub12  waiting for acks returned
(5687|5708) pub12<-delete_contained_entities
(5687|5713) pub15  writer id: 01030000.26ddbb9c.0000001a.00000102(e79b75b3)
(5687|5713) pub15->started
(5687|5713) pub15  12% (2 samples sent)
(5687|5713) pub15  25% (4 samples sent)
(5687|5713) pub15  31% (5 samples sent)
(5687|5713) pub15  43% (7 samples sent)
(5687|5713) pub15  50% (8 samples sent)
(5687|5713) pub15  62% (10 samples sent)
(5687|5713) pub15  75% (12 samples sent)
(5687|5713) pub15  81% (13 samples sent)
(5687|5713) pub15  93% (15 samples sent)
(5687|5713) pub15  100% (16 samples sent)
(5687|5713) pub15->wait_match() before write for 01030000.26ddbb9c.0000001a.00000102(e79b75b3)
(5687|5713) pub15<-match found! before write for 01030000.26ddbb9c.0000001a.00000102(e79b75b3)
(5687|5713) pub15  waiting for acks
(5687|5713) pub15  waiting for acks returned
(5687|5713) pub15<-delete_contained_entities
(5687|5706) pub10  writer id: 01030000.26ddbb9c.00000012.00000102(d7eb3e72)
(5687|5706) pub10->started
(5687|5706) pub10  12% (2 samples sent)
(5687|5706) pub10  25% (4 samples sent)
(5687|5706) pub10  31% (5 samples sent)
(5687|5706) pub10  43% (7 samples sent)
(5687|5706) pub10  50% (8 samples sent)
(5687|5706) pub10  62% (10 samples sent)
(5687|5706) pub10  75% (12 samples sent)
(5687|5706) pub10  81% (13 samples sent)
(5687|5706) pub10  93% (15 samples sent)
(5687|5706) pub10  100% (16 samples sent)
(5687|5706) pub10->wait_match() before write for 01030000.26ddbb9c.00000012.00000102(d7eb3e72)
(5687|5706) pub10<-match found! before write for 01030000.26ddbb9c.00000012.00000102(d7eb3e72)
(5687|5706) pub10  waiting for acks
(5687|5706) pub10  waiting for acks returned
(5687|5706) pub10<-delete_contained_entities
(5687|5701) pub4  writer id: 01030000.26ddbb9c.0000000a.00000102(877be231)
(5687|5701) pub4->started
(5687|5701) pub4  12% (2 samples sent)
(5687|5701) pub4  25% (4 samples sent)
(5687|5701) pub4  31% (5 samples sent)
(5687|5701) pub4  43% (7 samples sent)
(5687|5701) pub4  50% (8 samples sent)
(5687|5701) pub4  62% (10 samples sent)
(5687|5701) pub4  75% (12 samples sent)
(5687|5701) pub4  81% (13 samples sent)
(5687|5701) pub4  93% (15 samples sent)
(5687|5701) pub4  100% (16 samples sent)
(5687|5701) pub4->wait_match() before write for 01030000.26ddbb9c.0000000a.00000102(877be231)
(5687|5701) pub4<-match found! before write for 01030000.26ddbb9c.0000000a.00000102(877be231)
(5687|5701) pub4  waiting for acks
(5687|5701) pub4  waiting for acks returned
(5687|5701) pub4<-delete_contained_entities
(5687|5741) pub42  writer id: 01030000.26ddbb9c.0000002c.00000102(c9fa3895)
(5687|5741) pub42->started
(5687|5741) pub42  12% (2 samples sent)
(5687|5741) pub42  25% (4 samples sent)
(5687|5741) pub42  31% (5 samples sent)
(5687|5741) pub42  43% (7 samples sent)
(5687|5741) pub42  50% (8 samples sent)
(5687|5741) pub42  62% (10 samples sent)
(5687|5741) pub42  75% (12 samples sent)
(5687|5741) pub42  81% (13 samples sent)
(5687|5741) pub42  93% (15 samples sent)
(5687|5741) pub42  100% (16 samples sent)
(5687|5741) pub42->wait_match() before write for 01030000.26ddbb9c.0000002c.00000102(c9fa3895)
(5687|5741) pub42<-match found! before write for 01030000.26ddbb9c.0000002c.00000102(c9fa3895)
(5687|5741) pub42  waiting for acks
(5687|5741) pub42  waiting for acks returned
(5687|5741) pub42<-delete_contained_entities
(5687|5696) pub1  writer id: 01030000.26ddbb9c.00000005.00000102(052b75e0)
(5687|5696) pub1->started
(5687|5696) pub1  12% (2 samples sent)
(5687|5696) pub1  25% (4 samples sent)
(5687|5696) pub1  31% (5 samples sent)
(5687|5696) pub1  43% (7 samples sent)
(5687|5696) pub1  50% (8 samples sent)
(5687|5696) pub1  62% (10 samples sent)
(5687|5696) pub1  75% (12 samples sent)
(5687|5696) pub1  81% (13 samples sent)
(5687|5696) pub1  93% (15 samples sent)
(5687|5696) pub1  100% (16 samples sent)
(5687|5696) pub1->wait_match() before write for 01030000.26ddbb9c.00000005.00000102(052b75e0)
(5687|5696) pub1<-match found! before write for 01030000.26ddbb9c.00000005.00000102(052b75e0)
(5687|5696) pub1  waiting for acks
(5687|5696) pub1  waiting for acks returned
(5687|5696) pub1<-delete_contained_entities
(5687|5739) pub40  writer id: 01030000.26ddbb9c.0000000b.00000102(ba1bcb81)
(5687|5739) pub40->started
(5687|5739) pub40  12% (2 samples sent)
(5687|5739) pub40  25% (4 samples sent)
(5687|5739) pub40  31% (5 samples sent)
(5687|5739) pub40  43% (7 samples sent)
(5687|5739) pub40  50% (8 samples sent)
(5687|5739) pub40  62% (10 samples sent)
(5687|5739) pub40  75% (12 samples sent)
(5687|5739) pub40  81% (13 samples sent)
(5687|5739) pub40  93% (15 samples sent)
(5687|5739) pub40  100% (16 samples sent)
(5687|5739) pub40->wait_match() before write for 01030000.26ddbb9c.0000000b.00000102(ba1bcb81)
(5687|5739) pub40<-match found! before write for 01030000.26ddbb9c.0000000b.00000102(ba1bcb81)
(5687|5739) pub40  waiting for acks
(5687|5739) pub40  waiting for acks returned
(5687|5739) pub40<-delete_contained_entities
(5687|5732) pub31  writer id: 01030000.26ddbb9c.00000010.00000102(ad2b6d12)
(5687|5732) pub31->started
(5687|5732) pub31  12% (2 samples sent)
(5687|5732) pub31  25% (4 samples sent)
(5687|5732) pub31  31% (5 samples sent)
(5687|5732) pub31  43% (7 samples sent)
(5687|5732) pub31  50% (8 samples sent)
(5687|5732) pub31  62% (10 samples sent)
(5687|5732) pub31  75% (12 samples sent)
(5687|5732) pub31  81% (13 samples sent)
(5687|5732) pub31  93% (15 samples sent)
(5687|5732) pub31  100% (16 samples sent)
(5687|5732) pub31->wait_match() before write for 01030000.26ddbb9c.00000010.00000102(ad2b6d12)
(5687|5732) pub31<-match found! before write for 01030000.26ddbb9c.00000010.00000102(ad2b6d12)
(5687|5732) pub31  waiting for acks
(5687|5732) pub31  waiting for acks returned
(5687|5732) pub31<-delete_contained_entities
(5687|5705) pub7  writer id: 01030000.26ddbb9c.00000014.00000102(58abcbd2)
(5687|5705) pub7->started
(5687|5705) pub7  12% (2 samples sent)
(5687|5705) pub7  25% (4 samples sent)
(5687|5705) pub7  31% (5 samples sent)
(5687|5705) pub7  43% (7 samples sent)
(5687|5705) pub7  50% (8 samples sent)
(5687|5705) pub7  62% (10 samples sent)
(5687|5705) pub7  75% (12 samples sent)
(5687|5705) pub7  81% (13 samples sent)
(5687|5705) pub7  93% (15 samples sent)
(5687|5705) pub7  100% (16 samples sent)
(5687|5705) pub7->wait_match() before write for 01030000.26ddbb9c.00000014.00000102(58abcbd2)
(5687|5705) pub7<-match found! before write for 01030000.26ddbb9c.00000014.00000102(58abcbd2)
(5687|5705) pub7  waiting for acks
(5687|5705) pub7  waiting for acks returned
(5687|5705) pub7<-delete_contained_entities
(5687|5719) pub26  writer id: 01030000.26ddbb9c.00000028.00000102(3c7a9e55)
(5687|5719) pub26->started
(5687|5719) pub26  12% (2 samples sent)
(5687|5719) pub26  25% (4 samples sent)
(5687|5719) pub26  31% (5 samples sent)
(5687|5719) pub26  43% (7 samples sent)
(5687|5719) pub26  50% (8 samples sent)
(5687|5719) pub26  62% (10 samples sent)
(5687|5719) pub26  75% (12 samples sent)
(5687|5719) pub26  81% (13 samples sent)
(5687|5719) pub26  93% (15 samples sent)
(5687|5719) pub26  100% (16 samples sent)
(5687|5719) pub26->wait_match() before write for 01030000.26ddbb9c.00000028.00000102(3c7a9e55)
(5687|5719) pub26<-match found! before write for 01030000.26ddbb9c.00000028.00000102(3c7a9e55)
(5687|5719) pub26  waiting for acks
(5687|5719) pub26  waiting for acks returned
(5687|5719) pub26<-delete_contained_entities
(5687|5718) pub23  writer id: 01030000.26ddbb9c.0000000c.00000102(083b1791)
(5687|5718) pub23->started
(5687|5718) pub23  12% (2 samples sent)
(5687|5718) pub23  25% (4 samples sent)
(5687|5718) pub23  31% (5 samples sent)
(5687|5718) pub23  43% (7 samples sent)
(5687|5718) pub23  50% (8 samples sent)
(5687|5718) pub23  62% (10 samples sent)
(5687|5718) pub23  75% (12 samples sent)
(5687|5718) pub23  81% (13 samples sent)
(5687|5718) pub23  93% (15 samples sent)
(5687|5718) pub23  100% (16 samples sent)
(5687|5718) pub23->wait_match() before write for 01030000.26ddbb9c.0000000c.00000102(083b1791)
(5687|5718) pub23<-match found! before write for 01030000.26ddbb9c.0000000c.00000102(083b1791)
(5687|5718) pub23  waiting for acks
(5687|5718) pub23  waiting for acks returned
(5687|5718) pub23<-delete_contained_entities
(5687|5712) pub13  writer id: 01030000.26ddbb9c.00000017.00000102(1f0bb102)
(5687|5712) pub13->started
(5687|5712) pub13  12% (2 samples sent)
(5687|5712) pub13  25% (4 samples sent)
(5687|5712) pub13  31% (5 samples sent)
(5687|5712) pub13  43% (7 samples sent)
(5687|5712) pub13  50% (8 samples sent)
(5687|5712) pub13  62% (10 samples sent)
(5687|5712) pub13  75% (12 samples sent)
(5687|5712) pub13  81% (13 samples sent)
(5687|5712) pub13  93% (15 samples sent)
(5687|5712) pub13  100% (16 samples sent)
(5687|5712) pub13->wait_match() before write for 01030000.26ddbb9c.00000017.00000102(1f0bb102)
(5687|5712) pub13<-match found! before write for 01030000.26ddbb9c.00000017.00000102(1f0bb102)
(5687|5712) pub13  waiting for acks
(5687|5712) pub13  waiting for acks returned
(5687|5712) pub13<-delete_contained_entities
(5687|5707) pub11  writer id: 01030000.26ddbb9c.00000016.00000102(226b98b2)
(5687|5707) pub11->started
(5687|5707) pub11  12% (2 samples sent)
(5687|5707) pub11  25% (4 samples sent)
(5687|5707) pub11  31% (5 samples sent)
(5687|5707) pub11  43% (7 samples sent)
(5687|5707) pub11  50% (8 samples sent)
(5687|5707) pub11  62% (10 samples sent)
(5687|5707) pub11  75% (12 samples sent)
(5687|5707) pub11  81% (13 samples sent)
(5687|5707) pub11  93% (15 samples sent)
(5687|5707) pub11  100% (16 samples sent)
(5687|5707) pub11->wait_match() before write for 01030000.26ddbb9c.00000016.00000102(226b98b2)
(5687|5707) pub11<-match found! before write for 01030000.26ddbb9c.00000016.00000102(226b98b2)
(5687|5707) pub11  waiting for acks
(5687|5707) pub11  waiting for acks returned
(5687|5707) pub11<-delete_contained_entities
(5687|5716) pub24  writer id: 01030000.26ddbb9c.0000001f.00000102(2f7bfac3)
(5687|5716) pub24->started
(5687|5716) pub24  12% (2 samples sent)
(5687|5716) pub24  25% (4 samples sent)
(5687|5716) pub24  31% (5 samples sent)
(5687|5716) pub24  43% (7 samples sent)
(5687|5716) pub24  50% (8 samples sent)
(5687|5716) pub24  62% (10 samples sent)
(5687|5716) pub24  75% (12 samples sent)
(5687|5716) pub24  81% (13 samples sent)
(5687|5716) pub24  93% (15 samples sent)
(5687|5716) pub24  100% (16 samples sent)
(5687|5716) pub24->wait_match() before write for 01030000.26ddbb9c.0000001f.00000102(2f7bfac3)
(5687|5716) pub24<-match found! before write for 01030000.26ddbb9c.0000001f.00000102(2f7bfac3)
(5687|5716) pub24  waiting for acks
(5687|5716) pub24  waiting for acks returned
(5687|5716) pub24<-delete_contained_entities
(5687|5710) pub16  writer id: 01030000.26ddbb9c.0000001c.00000102(68db8013)
(5687|5710) pub16->started
(5687|5710) pub16  12% (2 samples sent)
(5687|5710) pub16  25% (4 samples sent)
(5687|5710) pub16  31% (5 samples sent)
(5687|5710) pub16  43% (7 samples sent)
(5687|5710) pub16  50% (8 samples sent)
(5687|5710) pub16  62% (10 samples sent)
(5687|5710) pub16  75% (12 samples sent)
(5687|5710) pub16  81% (13 samples sent)
(5687|5710) pub16  93% (15 samples sent)
(5687|5710) pub16  100% (16 samples sent)
(5687|5710) pub16->wait_match() before write for 01030000.26ddbb9c.0000001c.00000102(68db8013)
(5687|5710) pub16<-match found! before write for 01030000.26ddbb9c.0000001c.00000102(68db8013)
(5687|5710) pub16  waiting for acks
(5687|5710) pub16  waiting for acks returned
(5687|5710) pub16<-delete_contained_entities
(5687|5731) pub35  writer id: 01030000.26ddbb9c.00000011.00000102(904b44a2)
(5687|5731) pub35->started
(5687|5731) pub35  12% (2 samples sent)
(5687|5731) pub35  25% (4 samples sent)
(5687|5731) pub35  31% (5 samples sent)
(5687|5731) pub35  43% (7 samples sent)
(5687|5731) pub35  50% (8 samples sent)
(5687|5731) pub35  62% (10 samples sent)
(5687|5731) pub35  75% (12 samples sent)
(5687|5731) pub35  81% (13 samples sent)
(5687|5731) pub35  93% (15 samples sent)
(5687|5731) pub35  100% (16 samples sent)
(5687|5731) pub35->wait_match() before write for 01030000.26ddbb9c.00000011.00000102(904b44a2)
(5687|5731) pub35<-match found! before write for 01030000.26ddbb9c.00000011.00000102(904b44a2)
(5687|5731) pub35  waiting for acks
(5687|5731) pub35  waiting for acks returned
(5687|5731) pub35<-delete_contained_entities
(5687|5715) pub18  writer id: 01030000.26ddbb9c.0000001d.00000102(55bba9a3)
(5687|5715) pub18->started
(5687|5715) pub18  12% (2 samples sent)
(5687|5715) pub18  25% (4 samples sent)
(5687|5715) pub18  31% (5 samples sent)
(5687|5715) pub18  43% (7 samples sent)
(5687|5715) pub18  50% (8 samples sent)
(5687|5715) pub18  62% (10 samples sent)
(5687|5715) pub18  75% (12 samples sent)
(5687|5715) pub18  81% (13 samples sent)
(5687|5715) pub18  93% (15 samples sent)
(5687|5715) pub18  100% (16 samples sent)
(5687|5715) pub18->wait_match() before write for 01030000.26ddbb9c.0000001d.00000102(55bba9a3)
(5687|5715) pub18<-match found! before write for 01030000.26ddbb9c.0000001d.00000102(55bba9a3)
(5687|5715) pub18  waiting for acks
(5687|5715) pub18  waiting for acks returned
(5687|5715) pub18<-delete_contained_entities
(5687|5711) pub14  writer id: 01030000.26ddbb9c.00000019.00000102(a03b0f63)
(5687|5711) pub14->started
(5687|5711) pub14  12% (2 samples sent)
(5687|5711) pub14  25% (4 samples sent)
(5687|5711) pub14  31% (5 samples sent)
(5687|5711) pub14  43% (7 samples sent)
(5687|5711) pub14  50% (8 samples sent)
(5687|5711) pub14  62% (10 samples sent)
(5687|5711) pub14  75% (12 samples sent)
(5687|5711) pub14  81% (13 samples sent)
(5687|5711) pub14  93% (15 samples sent)
(5687|5711) pub14  100% (16 samples sent)
(5687|5711) pub14->wait_match() before write for 01030000.26ddbb9c.00000019.00000102(a03b0f63)
(5687|5711) pub14<-match found! before write for 01030000.26ddbb9c.00000019.00000102(a03b0f63)
(5687|5711) pub14  waiting for acks
(5687|5711) pub14  waiting for acks returned
(5687|5711) pub14<-delete_contained_entities
(5687|5714) pub17  writer id: 01030000.26ddbb9c.0000001b.00000102(dafb5c03)
(5687|5714) pub17->started
(5687|5714) pub17  12% (2 samples sent)
(5687|5714) pub17  25% (4 samples sent)
(5687|5714) pub17  31% (5 samples sent)
(5687|5714) pub17  43% (7 samples sent)
(5687|5714) pub17  50% (8 samples sent)
(5687|5714) pub17  62% (10 samples sent)
(5687|5714) pub17  75% (12 samples sent)
(5687|5714) pub17  81% (13 samples sent)
(5687|5714) pub17  93% (15 samples sent)
(5687|5714) pub17  100% (16 samples sent)
(5687|5714) pub17->wait_match() before write for 01030000.26ddbb9c.0000001b.00000102(dafb5c03)
(5687|5714) pub17<-match found! before write for 01030000.26ddbb9c.0000001b.00000102(dafb5c03)
(5687|5714) pub17  waiting for acks
(5687|5714) pub17  waiting for acks returned
(5687|5714) pub17<-delete_contained_entities
(5687|5724) pub22  writer id: 01030000.26ddbb9c.0000001e.00000102(121bd373)
(5687|5724) pub22->started
(5687|5724) pub22  12% (2 samples sent)
(5687|5724) pub22  25% (4 samples sent)
(5687|5724) pub22  31% (5 samples sent)
(5687|5724) pub22  43% (7 samples sent)
(5687|5724) pub22  50% (8 samples sent)
(5687|5724) pub22  62% (10 samples sent)
(5687|5724) pub22  75% (12 samples sent)
(5687|5724) pub22  81% (13 samples sent)
(5687|5724) pub22  93% (15 samples sent)
(5687|5724) pub22  100% (16 samples sent)
(5687|5724) pub22->wait_match() before write for 01030000.26ddbb9c.0000001e.00000102(121bd373)
(5687|5724) pub22<-match found! before write for 01030000.26ddbb9c.0000001e.00000102(121bd373)
(5687|5724) pub22  waiting for acks
(5687|5724) pub22  waiting for acks returned
(5687|5724) pub22<-delete_contained_entities
(5687|5729) pub28  writer id: 01030000.26ddbb9c.00000024.00000102(f98a7354)
(5687|5729) pub28->started
(5687|5729) pub28  12% (2 samples sent)
(5687|5729) pub28  25% (4 samples sent)
(5687|5729) pub28  31% (5 samples sent)
(5687|5729) pub28  43% (7 samples sent)
(5687|5729) pub28  50% (8 samples sent)
(5687|5729) pub28  62% (10 samples sent)
(5687|5729) pub28  75% (12 samples sent)
(5687|5729) pub28  81% (13 samples sent)
(5687|5729) pub28  93% (15 samples sent)
(5687|5729) pub28  100% (16 samples sent)
(5687|5729) pub28->wait_match() before write for 01030000.26ddbb9c.00000024.00000102(f98a7354)
(5687|5729) pub28<-match found! before write for 01030000.26ddbb9c.00000024.00000102(f98a7354)
(5687|5729) pub28  waiting for acks
(5687|5729) pub28  waiting for acks returned
(5687|5729) pub28<-delete_contained_entities
(5687|5734) pub33  writer id: 01030000.26ddbb9c.00000029.00000102(011ab7e5)
(5687|5734) pub33->started
(5687|5734) pub33  12% (2 samples sent)
(5687|5734) pub33  25% (4 samples sent)
(5687|5734) pub33  31% (5 samples sent)
(5687|5734) pub33  43% (7 samples sent)
(5687|5734) pub33  50% (8 samples sent)
(5687|5734) pub33  62% (10 samples sent)
(5687|5734) pub33  75% (12 samples sent)
(5687|5734) pub33  81% (13 samples sent)
(5687|5734) pub33  93% (15 samples sent)
(5687|5734) pub33  100% (16 samples sent)
(5687|5734) pub33->wait_match() before write for 01030000.26ddbb9c.00000029.00000102(011ab7e5)
(5687|5734) pub33<-match found! before write for 01030000.26ddbb9c.00000029.00000102(011ab7e5)
(5687|5734) pub33  waiting for acks
(5687|5734) pub33  waiting for acks returned
(5687|5734) pub33<-delete_contained_entities
(5687|5726) pub27  writer id: 01030000.26ddbb9c.00000023.00000102(4baaaf44)
(5687|5726) pub27->started
(5687|5726) pub27  12% (2 samples sent)
(5687|5726) pub27  25% (4 samples sent)
(5687|5726) pub27  31% (5 samples sent)
(5687|5726) pub27  43% (7 samples sent)
(5687|5726) pub27  50% (8 samples sent)
(5687|5726) pub27  62% (10 samples sent)
(5687|5726) pub27  75% (12 samples sent)
(5687|5726) pub27  81% (13 samples sent)
(5687|5726) pub27  93% (15 samples sent)
(5687|5726) pub27  100% (16 samples sent)
(5687|5726) pub27->wait_match() before write for 01030000.26ddbb9c.00000023.00000102(4baaaf44)
(5687|5726) pub27<-match found! before write for 01030000.26ddbb9c.00000023.00000102(4baaaf44)
(5687|5726) pub27  waiting for acks
(5687|5726) pub27  waiting for acks returned
(5687|5726) pub27<-delete_contained_entities
(5687|5721) pub20  writer id: 01030000.26ddbb9c.00000020.00000102(0c0ad594)
(5687|5721) pub20->started
(5687|5721) pub20  12% (2 samples sent)
(5687|5721) pub20  25% (4 samples sent)
(5687|5721) pub20  31% (5 samples sent)
(5687|5721) pub20  43% (7 samples sent)
(5687|5721) pub20  50% (8 samples sent)
(5687|5721) pub20  62% (10 samples sent)
(5687|5721) pub20  75% (12 samples sent)
(5687|5721) pub20  81% (13 samples sent)
(5687|5721) pub20  93% (15 samples sent)
(5687|5721) pub20  100% (16 samples sent)
(5687|5721) pub20->wait_match() before write for 01030000.26ddbb9c.00000020.00000102(0c0ad594)
(5687|5721) pub20<-match found! before write for 01030000.26ddbb9c.00000020.00000102(0c0ad594)
(5687|5721) pub20  waiting for acks
(5687|5721) pub20  waiting for acks returned
(5687|5721) pub20<-delete_contained_entities
(5687|5722) pub25  writer id: 01030000.26ddbb9c.00000022.00000102(76ca86f4)
(5687|5722) pub25->started
(5687|5722) pub25  12% (2 samples sent)
(5687|5722) pub25  25% (4 samples sent)
(5687|5722) pub25  31% (5 samples sent)
(5687|5722) pub25  43% (7 samples sent)
(5687|5722) pub25  50% (8 samples sent)
(5687|5722) pub25  62% (10 samples sent)
(5687|5722) pub25  75% (12 samples sent)
(5687|5722) pub25  81% (13 samples sent)
(5687|5722) pub25  93% (15 samples sent)
(5687|5722) pub25  100% (16 samples sent)
(5687|5722) pub25->wait_match() before write for 01030000.26ddbb9c.00000022.00000102(76ca86f4)
(5687|5722) pub25<-match found! before write for 01030000.26ddbb9c.00000022.00000102(76ca86f4)
(5687|5722) pub25  waiting for acks
(5687|5722) pub25  waiting for acks returned
(5687|5722) pub25<-delete_contained_entities
(5687|5747) pub46  writer id: 01030000.26ddbb9c.00000030.00000102(6cea4216)
(5687|5747) pub46->started
(5687|5747) pub46  12% (2 samples sent)
(5687|5747) pub46  25% (4 samples sent)
(5687|5747) pub46  31% (5 samples sent)
(5687|5747) pub46  43% (7 samples sent)
(5687|5747) pub46  50% (8 samples sent)
(5687|5747) pub46  62% (10 samples sent)
(5687|5747) pub46  75% (12 samples sent)
(5687|5747) pub46  81% (13 samples sent)
(5687|5747) pub46  93% (15 samples sent)
(5687|5747) pub46  100% (16 samples sent)
(5687|5747) pub46->wait_match() before write for 01030000.26ddbb9c.00000030.00000102(6cea4216)
(5687|5747) pub46<-match found! before write for 01030000.26ddbb9c.00000030.00000102(6cea4216)
(5687|5747) pub46  waiting for acks
(5687|5747) pub46  waiting for acks returned
(5687|5747) pub46<-delete_contained_entities
(5687|5755) pub50  writer id: 01030000.26ddbb9c.00000034.00000102(996ae4d6)
(5687|5755) pub50->started
(5687|5755) pub50  12% (2 samples sent)
(5687|5755) pub50  25% (4 samples sent)
(5687|5755) pub50  31% (5 samples sent)
(5687|5755) pub50  43% (7 samples sent)
(5687|5755) pub50  50% (8 samples sent)
(5687|5755) pub50  62% (10 samples sent)
(5687|5755) pub50  75% (12 samples sent)
(5687|5755) pub50  81% (13 samples sent)
(5687|5755) pub50  93% (15 samples sent)
(5687|5755) pub50  100% (16 samples sent)
(5687|5755) pub50->wait_match() before write for 01030000.26ddbb9c.00000034.00000102(996ae4d6)
(5687|5755) pub50<-match found! before write for 01030000.26ddbb9c.00000034.00000102(996ae4d6)
(5687|5755) pub50  waiting for acks
(5687|5755) pub50  waiting for acks returned
(5687|5755) pub50<-delete_contained_entities
(5687|5751) pub48  writer id: 01030000.26ddbb9c.00000032.00000102(162a1176)
(5687|5751) pub48->started
(5687|5751) pub48  12% (2 samples sent)
(5687|5751) pub48  25% (4 samples sent)
(5687|5751) pub48  31% (5 samples sent)
(5687|5751) pub48  43% (7 samples sent)
(5687|5751) pub48  50% (8 samples sent)
(5687|5751) pub48  62% (10 samples sent)
(5687|5751) pub48  75% (12 samples sent)
(5687|5751) pub48  81% (13 samples sent)
(5687|5751) pub48  93% (15 samples sent)
(5687|5751) pub48  100% (16 samples sent)
(5687|5751) pub48->wait_match() before write for 01030000.26ddbb9c.00000032.00000102(162a1176)
(5687|5751) pub48<-match found! before write for 01030000.26ddbb9c.00000032.00000102(162a1176)
(5687|5751) pub48  waiting for acks
(5687|5751) pub48  waiting for acks returned
(5687|5751) pub48<-delete_contained_entities
(5687|5752) pub58  writer id: 01030000.26ddbb9c.0000003c.00000102(a91aaf17)
(5687|5752) pub58->started
(5687|5752) pub58  12% (2 samples sent)
(5687|5752) pub58  25% (4 samples sent)
(5687|5752) pub58  31% (5 samples sent)
(5687|5752) pub58  43% (7 samples sent)
(5687|5752) pub58  50% (8 samples sent)
(5687|5752) pub58  62% (10 samples sent)
(5687|5752) pub58  75% (12 samples sent)
(5687|5752) pub58  81% (13 samples sent)
(5687|5752) pub58  93% (15 samples sent)
(5687|5752) pub58  100% (16 samples sent)
(5687|5752) pub58->wait_match() before write for 01030000.26ddbb9c.0000003c.00000102(a91aaf17)
(5687|5752) pub58<-match found! before write for 01030000.26ddbb9c.0000003c.00000102(a91aaf17)
(5687|5752) pub58  waiting for acks
(5687|5752) pub58  waiting for acks returned
(5687|5752) pub58<-delete_contained_entities
(5687|5738) pub54  writer id: 01030000.26ddbb9c.00000039.00000102(61fa2067)
(5687|5738) pub54->started
(5687|5738) pub54  12% (2 samples sent)
(5687|5738) pub54  25% (4 samples sent)
(5687|5738) pub54  31% (5 samples sent)
(5687|5738) pub54  43% (7 samples sent)
(5687|5738) pub54  50% (8 samples sent)
(5687|5738) pub54  62% (10 samples sent)
(5687|5738) pub54  75% (12 samples sent)
(5687|5738) pub54  81% (13 samples sent)
(5687|5738) pub54  93% (15 samples sent)
(5687|5738) pub54  100% (16 samples sent)
(5687|5738) pub54->wait_match() before write for 01030000.26ddbb9c.00000039.00000102(61fa2067)
(5687|5738) pub54<-match found! before write for 01030000.26ddbb9c.00000039.00000102(61fa2067)
(5687|5738) pub54  waiting for acks
(5687|5738) pub54  waiting for acks returned
(5687|5738) pub54<-delete_contained_entities
(5687|5753) pub49  writer id: 01030000.26ddbb9c.00000033.00000102(2b4a38c6)
(5687|5753) pub49->started
(5687|5753) pub49  12% (2 samples sent)
(5687|5753) pub49  25% (4 samples sent)
(5687|5753) pub49  31% (5 samples sent)
(5687|5753) pub49  43% (7 samples sent)
(5687|5753) pub49  50% (8 samples sent)
(5687|5753) pub49  62% (10 samples sent)
(5687|5753) pub49  75% (12 samples sent)
(5687|5753) pub49  81% (13 samples sent)
(5687|5753) pub49  93% (15 samples sent)
(5687|5753) pub49  100% (16 samples sent)
(5687|5753) pub49->wait_match() before write for 01030000.26ddbb9c.00000033.00000102(2b4a38c6)
(5687|5753) pub49<-match found! before write for 01030000.26ddbb9c.00000033.00000102(2b4a38c6)
(5687|5753) pub49  waiting for acks
(5687|5753) pub49  waiting for acks returned
(5687|5753) pub49<-delete_contained_entities
(5687|5750) pub63  writer id: 01030000.26ddbb9c.00000041.00000102(a8588b69)
(5687|5750) pub63->started
(5687|5750) pub63  12% (2 samples sent)
(5687|5750) pub63  25% (4 samples sent)
(5687|5750) pub63  31% (5 samples sent)
(5687|5750) pub63  43% (7 samples sent)
(5687|5750) pub63  50% (8 samples sent)
(5687|5750) pub63  62% (10 samples sent)
(5687|5750) pub63  75% (12 samples sent)
(5687|5750) pub63  81% (13 samples sent)
(5687|5750) pub63  93% (15 samples sent)
(5687|5750) pub63  100% (16 samples sent)
(5687|5750) pub63->wait_match() before write for 01030000.26ddbb9c.00000041.00000102(a8588b69)
(5687|5750) pub63<-match found! before write for 01030000.26ddbb9c.00000041.00000102(a8588b69)
(5687|5750) pub63  waiting for acks
(5687|5750) pub63  waiting for acks returned
(5687|5750) pub63<-delete_contained_entities
(5687|5735) pub41  writer id: 01030000.26ddbb9c.00000038.00000102(5c9a09d7)
(5687|5735) pub41->started
(5687|5735) pub41  12% (2 samples sent)
(5687|5735) pub41  25% (4 samples sent)
(5687|5735) pub41  31% (5 samples sent)
(5687|5735) pub41  43% (7 samples sent)
(5687|5735) pub41  50% (8 samples sent)
(5687|5735) pub41  62% (10 samples sent)
(5687|5735) pub41  75% (12 samples sent)
(5687|5735) pub41  81% (13 samples sent)
(5687|5735) pub41  93% (15 samples sent)
(5687|5735) pub41  100% (16 samples sent)
(5687|5735) pub41->wait_match() before write for 01030000.26ddbb9c.00000038.00000102(5c9a09d7)
(5687|5735) pub41<-match found! before write for 01030000.26ddbb9c.00000038.00000102(5c9a09d7)
(5687|5735) pub41  waiting for acks
(5687|5735) pub41  waiting for acks returned
(5687|5735) pub41<-delete_contained_entities
(5687|5704) pub9  writer id: 01030000.26ddbb9c.0000000f.00000102(4f9b6d41)
(5687|5704) pub9->started
(5687|5704) pub9  12% (2 samples sent)
(5687|5704) pub9  25% (4 samples sent)
(5687|5704) pub9  31% (5 samples sent)
(5687|5704) pub9  43% (7 samples sent)
(5687|5704) pub9  50% (8 samples sent)
(5687|5704) pub9  62% (10 samples sent)
(5687|5704) pub9  75% (12 samples sent)
(5687|5704) pub9  81% (13 samples sent)
(5687|5704) pub9  93% (15 samples sent)
(5687|5704) pub9  100% (16 samples sent)
(5687|5704) pub9->wait_match() before write for 01030000.26ddbb9c.0000000f.00000102(4f9b6d41)
(5687|5704) pub9<-match found! before write for 01030000.26ddbb9c.0000000f.00000102(4f9b6d41)
(5687|5704) pub9  waiting for acks
(5687|5704) pub9  waiting for acks returned
(5687|5704) pub9<-delete_contained_entities
(5687|5737) pub39  writer id: 01030000.26ddbb9c.00000040.00000102(9538a2d9)
(5687|5737) pub39->started
(5687|5737) pub39  12% (2 samples sent)
(5687|5737) pub39  25% (4 samples sent)
(5687|5737) pub39  31% (5 samples sent)
(5687|5737) pub39  43% (7 samples sent)
(5687|5737) pub39  50% (8 samples sent)
(5687|5737) pub39  62% (10 samples sent)
(5687|5737) pub39  75% (12 samples sent)
(5687|5737) pub39  81% (13 samples sent)
(5687|5737) pub39  93% (15 samples sent)
(5687|5737) pub39  100% (16 samples sent)
(5687|5737) pub39->wait_match() before write for 01030000.26ddbb9c.00000040.00000102(9538a2d9)
(5687|5737) pub39<-match found! before write for 01030000.26ddbb9c.00000040.00000102(9538a2d9)
(5687|5737) pub39  waiting for acks
(5687|5737) pub39  waiting for acks returned
(5687|5737) pub39<-delete_contained_entities
(5687|5744) pub44  writer id: 01030000.26ddbb9c.0000002e.00000102(b33a6bf5)
(5687|5744) pub44->started
(5687|5744) pub44  12% (2 samples sent)
(5687|5744) pub44  25% (4 samples sent)
(5687|5744) pub44  31% (5 samples sent)
(5687|5744) pub44  43% (7 samples sent)
(5687|5744) pub44  50% (8 samples sent)
(5687|5744) pub44  62% (10 samples sent)
(5687|5744) pub44  75% (12 samples sent)
(5687|5744) pub44  81% (13 samples sent)
(5687|5744) pub44  93% (15 samples sent)
(5687|5744) pub44  100% (16 samples sent)
(5687|5744) pub44->wait_match() before write for 01030000.26ddbb9c.0000002e.00000102(b33a6bf5)
(5687|5744) pub44<-match found! before write for 01030000.26ddbb9c.0000002e.00000102(b33a6bf5)
(5687|5744) pub44  waiting for acks
(5687|5744) pub44  waiting for acks returned
(5687|5744) pub44<-delete_contained_entities
(5687|5725) pub36  writer id: 01030000.26ddbb9c.0000002b.00000102(7bdae485)
(5687|5725) pub36->started
(5687|5725) pub36  12% (2 samples sent)
(5687|5725) pub36  25% (4 samples sent)
(5687|5725) pub36  31% (5 samples sent)
(5687|5725) pub36  43% (7 samples sent)
(5687|5725) pub36  50% (8 samples sent)
(5687|5725) pub36  62% (10 samples sent)
(5687|5725) pub36  75% (12 samples sent)
(5687|5725) pub36  81% (13 samples sent)
(5687|5725) pub36  93% (15 samples sent)
(5687|5725) pub36  100% (16 samples sent)
(5687|5725) pub36->wait_match() before write for 01030000.26ddbb9c.0000002b.00000102(7bdae485)
(5687|5725) pub36<-match found! before write for 01030000.26ddbb9c.0000002b.00000102(7bdae485)
(5687|5725) pub36  waiting for acks
(5687|5725) pub36  waiting for acks returned
(5687|5725) pub36<-delete_contained_entities
(5687|5740) pub55  writer id: 01030000.26ddbb9c.0000003a.00000102(265a5ab7)
(5687|5740) pub55->started
(5687|5740) pub55  12% (2 samples sent)
(5687|5740) pub55  25% (4 samples sent)
(5687|5740) pub55  31% (5 samples sent)
(5687|5740) pub55  43% (7 samples sent)
(5687|5740) pub55  50% (8 samples sent)
(5687|5740) pub55  62% (10 samples sent)
(5687|5740) pub55  75% (12 samples sent)
(5687|5740) pub55  81% (13 samples sent)
(5687|5740) pub55  93% (15 samples sent)
(5687|5740) pub55  100% (16 samples sent)
(5687|5740) pub55->wait_match() before write for 01030000.26ddbb9c.0000003a.00000102(265a5ab7)
(5687|5740) pub55<-match found! before write for 01030000.26ddbb9c.0000003a.00000102(265a5ab7)
(5687|5740) pub55  waiting for acks
(5687|5740) pub55  waiting for acks returned
(5687|5740) pub55<-delete_contained_entities
(5687|5727) pub38  writer id: 01030000.26ddbb9c.00000015.00000102(65cbe262)
(5687|5727) pub38->started
(5687|5727) pub38  12% (2 samples sent)
(5687|5727) pub38  25% (4 samples sent)
(5687|5727) pub38  31% (5 samples sent)
(5687|5727) pub38  43% (7 samples sent)
(5687|5727) pub38  50% (8 samples sent)
(5687|5727) pub38  62% (10 samples sent)
(5687|5727) pub38  75% (12 samples sent)
(5687|5727) pub38  81% (13 samples sent)
(5687|5727) pub38  93% (15 samples sent)
(5687|5727) pub38  100% (16 samples sent)
(5687|5727) pub38->wait_match() before write for 01030000.26ddbb9c.00000015.00000102(65cbe262)
(5687|5727) pub38<-match found! before write for 01030000.26ddbb9c.00000015.00000102(65cbe262)
(5687|5727) pub38  waiting for acks
(5687|5727) pub38  waiting for acks returned
(5687|5727) pub38<-delete_contained_entities
(5687|5758) pub53  writer id: 01030000.26ddbb9c.00000036.00000102(e3aab7b6)
(5687|5758) pub53->started
(5687|5758) pub53  12% (2 samples sent)
(5687|5758) pub53  25% (4 samples sent)
(5687|5758) pub53  31% (5 samples sent)
(5687|5758) pub53  43% (7 samples sent)
(5687|5758) pub53  50% (8 samples sent)
(5687|5758) pub53  62% (10 samples sent)
(5687|5758) pub53  75% (12 samples sent)
(5687|5758) pub53  81% (13 samples sent)
(5687|5758) pub53  93% (15 samples sent)
(5687|5758) pub53  100% (16 samples sent)
(5687|5758) pub53->wait_match() before write for 01030000.26ddbb9c.00000036.00000102(e3aab7b6)
(5687|5758) pub53<-match found! before write for 01030000.26ddbb9c.00000036.00000102(e3aab7b6)
(5687|5758) pub53  waiting for acks
(5687|5758) pub53  waiting for acks returned
(5687|5758) pub53<-delete_contained_entities
(5687|5743) pub56  writer id: 01030000.26ddbb9c.0000003b.00000102(1b3a7307)
(5687|5743) pub56->started
(5687|5743) pub56  12% (2 samples sent)
(5687|5743) pub56  25% (4 samples sent)
(5687|5743) pub56  31% (5 samples sent)
(5687|5743) pub56  43% (7 samples sent)
(5687|5743) pub56  50% (8 samples sent)
(5687|5743) pub56  62% (10 samples sent)
(5687|5743) pub56  75% (12 samples sent)
(5687|5743) pub56  81% (13 samples sent)
(5687|5743) pub56  93% (15 samples sent)
(5687|5743) pub56  100% (16 samples sent)
(5687|5743) pub56->wait_match() before write for 01030000.26ddbb9c.0000003b.00000102(1b3a7307)
(5687|5743) pub56<-match found! before write for 01030000.26ddbb9c.0000003b.00000102(1b3a7307)
(5687|5743) pub56  waiting for acks
(5687|5743) pub56  waiting for acks returned
(5687|5743) pub56<-delete_contained_entities
(5687|5759) pub61  writer id: 01030000.26ddbb9c.0000003f.00000102(eebad5c7)
(5687|5759) pub61->started
(5687|5759) pub61  12% (2 samples sent)
(5687|5759) pub61  25% (4 samples sent)
(5687|5759) pub61  31% (5 samples sent)
(5687|5759) pub61  43% (7 samples sent)
(5687|5759) pub61  50% (8 samples sent)
(5687|5759) pub61  62% (10 samples sent)
(5687|5759) pub61  75% (12 samples sent)
(5687|5759) pub61  81% (13 samples sent)
(5687|5759) pub61  93% (15 samples sent)
(5687|5759) pub61  100% (16 samples sent)
(5687|5759) pub61->wait_match() before write for 01030000.26ddbb9c.0000003f.00000102(eebad5c7)
(5687|5759) pub61<-match found! before write for 01030000.26ddbb9c.0000003f.00000102(eebad5c7)
(5687|5759) pub61  waiting for acks
(5687|5759) pub61  waiting for acks returned
(5687|5759) pub61<-delete_contained_entities
(5687|5745) pub57  writer id: 01030000.26ddbb9c.00000042.00000102(eff8f1b9)
(5687|5745) pub57->started
(5687|5745) pub57  12% (2 samples sent)
(5687|5745) pub57  25% (4 samples sent)
(5687|5745) pub57  31% (5 samples sent)
(5687|5745) pub57  43% (7 samples sent)
(5687|5745) pub57  50% (8 samples sent)
(5687|5745) pub57  62% (10 samples sent)
(5687|5745) pub57  75% (12 samples sent)
(5687|5745) pub57  81% (13 samples sent)
(5687|5745) pub57  93% (15 samples sent)
(5687|5745) pub57  100% (16 samples sent)
(5687|5745) pub57->wait_match() before write for 01030000.26ddbb9c.00000042.00000102(eff8f1b9)
(5687|5745) pub57<-match found! before write for 01030000.26ddbb9c.00000042.00000102(eff8f1b9)
(5687|5745) pub57  waiting for acks
(5687|5745) pub57  waiting for acks returned
(5687|5745) pub57<-delete_contained_entities
(5687|5746) pub45  writer id: 01030000.26ddbb9c.0000002f.00000102(8e5a4245)
(5687|5746) pub45->started
(5687|5746) pub45  12% (2 samples sent)
(5687|5746) pub45  25% (4 samples sent)
(5687|5746) pub45  31% (5 samples sent)
(5687|5746) pub45  43% (7 samples sent)
(5687|5746) pub45  50% (8 samples sent)
(5687|5746) pub45  62% (10 samples sent)
(5687|5746) pub45  75% (12 samples sent)
(5687|5746) pub45  81% (13 samples sent)
(5687|5746) pub45  93% (15 samples sent)
(5687|5746) pub45  100% (16 samples sent)
(5687|5746) pub45->wait_match() before write for 01030000.26ddbb9c.0000002f.00000102(8e5a4245)
(5687|5746) pub45<-match found! before write for 01030000.26ddbb9c.0000002f.00000102(8e5a4245)
(5687|5746) pub45  waiting for acks
(5687|5746) pub45  waiting for acks returned
(5687|5746) pub45<-delete_contained_entities
(5687|5749) pub47  writer id: 01030000.26ddbb9c.00000031.00000102(518a6ba6)
(5687|5749) pub47->started
(5687|5749) pub47  12% (2 samples sent)
(5687|5749) pub47  25% (4 samples sent)
(5687|5749) pub47  31% (5 samples sent)
(5687|5749) pub47  43% (7 samples sent)
(5687|5749) pub47  50% (8 samples sent)
(5687|5749) pub47  62% (10 samples sent)
(5687|5749) pub47  75% (12 samples sent)
(5687|5749) pub47  81% (13 samples sent)
(5687|5749) pub47  93% (15 samples sent)
(5687|5749) pub47  100% (16 samples sent)
(5687|5749) pub47->wait_match() before write for 01030000.26ddbb9c.00000031.00000102(518a6ba6)
(5687|5749) pub47<-match found! before write for 01030000.26ddbb9c.00000031.00000102(518a6ba6)
(5687|5749) pub47  waiting for acks
(5687|5749) pub47  waiting for acks returned
(5687|5749) pub47<-delete_contained_entities
(5687|5757) pub51  writer id: 01030000.26ddbb9c.00000035.00000102(a40acd66)
(5687|5757) pub51->started
(5687|5757) pub51  12% (2 samples sent)
(5687|5757) pub51  25% (4 samples sent)
(5687|5757) pub51  31% (5 samples sent)
(5687|5757) pub51  43% (7 samples sent)
(5687|5757) pub51  50% (8 samples sent)
(5687|5757) pub51  62% (10 samples sent)
(5687|5757) pub51  75% (12 samples sent)
(5687|5757) pub51  81% (13 samples sent)
(5687|5757) pub51  93% (15 samples sent)
(5687|5757) pub51  100% (16 samples sent)
(5687|5757) pub51->wait_match() before write for 01030000.26ddbb9c.00000035.00000102(a40acd66)
(5687|5757) pub51<-match found! before write for 01030000.26ddbb9c.00000035.00000102(a40acd66)
(5687|5757) pub51  waiting for acks
(5687|5757) pub51  waiting for acks returned
(5687|5757) pub51<-delete_contained_entities
(5687|5717) pub34  writer id: 01030000.26ddbb9c.0000002a.00000102(46bacd35)
(5687|5717) pub34->started
(5687|5717) pub34  12% (2 samples sent)
(5687|5717) pub34  25% (4 samples sent)
(5687|5717) pub34  31% (5 samples sent)
(5687|5717) pub34  43% (7 samples sent)
(5687|5717) pub34  50% (8 samples sent)
(5687|5717) pub34  62% (10 samples sent)
(5687|5717) pub34  75% (12 samples sent)
(5687|5717) pub34  81% (13 samples sent)
(5687|5717) pub34  93% (15 samples sent)
(5687|5717) pub34  100% (16 samples sent)
(5687|5717) pub34->wait_match() before write for 01030000.26ddbb9c.0000002a.00000102(46bacd35)
(5687|5717) pub34<-match found! before write for 01030000.26ddbb9c.0000002a.00000102(46bacd35)
(5687|5717) pub34  waiting for acks
(5687|5717) pub34  waiting for acks returned
(5687|5717) pub34<-delete_contained_entities
(5687|5736) pub37  writer id: 01030000.26ddbb9c.00000013.00000102(ea8b17c2)
(5687|5736) pub37->started
(5687|5736) pub37  12% (2 samples sent)
(5687|5736) pub37  25% (4 samples sent)
(5687|5736) pub37  31% (5 samples sent)
(5687|5736) pub37  43% (7 samples sent)
(5687|5736) pub37  50% (8 samples sent)
(5687|5736) pub37  62% (10 samples sent)
(5687|5736) pub37  75% (12 samples sent)
(5687|5736) pub37  81% (13 samples sent)
(5687|5736) pub37  93% (15 samples sent)
(5687|5736) pub37  100% (16 samples sent)
(5687|5736) pub37->wait_match() before write for 01030000.26ddbb9c.00000013.00000102(ea8b17c2)
(5687|5736) pub37<-match found! before write for 01030000.26ddbb9c.00000013.00000102(ea8b17c2)
(5687|5736) pub37  waiting for acks
(5687|5736) pub37  waiting for acks returned
(5687|5736) pub37<-delete_contained_entities
(5687|5733) pub32  writer id: 01030000.26ddbb9c.00000027.00000102(be2a0984)
(5687|5733) pub32->started
(5687|5733) pub32  12% (2 samples sent)
(5687|5733) pub32  25% (4 samples sent)
(5687|5733) pub32  31% (5 samples sent)
(5687|5733) pub32  43% (7 samples sent)
(5687|5733) pub32  50% (8 samples sent)
(5687|5733) pub32  62% (10 samples sent)
(5687|5733) pub32  75% (12 samples sent)
(5687|5733) pub32  81% (13 samples sent)
(5687|5733) pub32  93% (15 samples sent)
(5687|5733) pub32  100% (16 samples sent)
(5687|5733) pub32->wait_match() before write for 01030000.26ddbb9c.00000027.00000102(be2a0984)
(5687|5733) pub32<-match found! before write for 01030000.26ddbb9c.00000027.00000102(be2a0984)
(5687|5733) pub32  waiting for acks
(5687|5733) pub32  waiting for acks returned
(5687|5733) pub32<-delete_contained_entities
(5687|5742) pub43  writer id: 01030000.26ddbb9c.0000002d.00000102(f49a1125)
(5687|5742) pub43->started
(5687|5742) pub43  12% (2 samples sent)
(5687|5742) pub43  25% (4 samples sent)
(5687|5742) pub43  31% (5 samples sent)
(5687|5742) pub43  43% (7 samples sent)
(5687|5742) pub43  50% (8 samples sent)
(5687|5742) pub43  62% (10 samples sent)
(5687|5742) pub43  75% (12 samples sent)
(5687|5742) pub43  81% (13 samples sent)
(5687|5742) pub43  93% (15 samples sent)
(5687|5742) pub43  100% (16 samples sent)
(5687|5742) pub43->wait_match() before write for 01030000.26ddbb9c.0000002d.00000102(f49a1125)
(5687|5742) pub43<-match found! before write for 01030000.26ddbb9c.0000002d.00000102(f49a1125)
(5687|5742) pub43  waiting for acks
(5687|5742) pub43  waiting for acks returned
(5687|5742) pub43<-delete_contained_entities
(5687|5728) pub29  writer id: 01030000.26ddbb9c.00000025.00000102(c4ea5ae4)
(5687|5728) pub29->started
(5687|5728) pub29  12% (2 samples sent)
(5687|5728) pub29  25% (4 samples sent)
(5687|5728) pub29  31% (5 samples sent)
(5687|5728) pub29  43% (7 samples sent)
(5687|5728) pub29  50% (8 samples sent)
(5687|5728) pub29  62% (10 samples sent)
(5687|5728) pub29  75% (12 samples sent)
(5687|5728) pub29  81% (13 samples sent)
(5687|5728) pub29  93% (15 samples sent)
(5687|5728) pub29  100% (16 samples sent)
(5687|5728) pub29->wait_match() before write for 01030000.26ddbb9c.00000025.00000102(c4ea5ae4)
(5687|5728) pub29<-match found! before write for 01030000.26ddbb9c.00000025.00000102(c4ea5ae4)
(5687|5728) pub29  waiting for acks
(5687|5728) pub29  waiting for acks returned
(5687|5728) pub29<-delete_contained_entities
(5687|5756) pub60  writer id: 01030000.26ddbb9c.0000003e.00000102(d3dafc77)
(5687|5756) pub60->started
(5687|5756) pub60  12% (2 samples sent)
(5687|5756) pub60  25% (4 samples sent)
(5687|5756) pub60  31% (5 samples sent)
(5687|5756) pub60  43% (7 samples sent)
(5687|5756) pub60  50% (8 samples sent)
(5687|5756) pub60  62% (10 samples sent)
(5687|5756) pub60  75% (12 samples sent)
(5687|5756) pub60  81% (13 samples sent)
(5687|5756) pub60  93% (15 samples sent)
(5687|5756) pub60  100% (16 samples sent)
(5687|5756) pub60->wait_match() before write for 01030000.26ddbb9c.0000003e.00000102(d3dafc77)
(5687|5756) pub60<-match found! before write for 01030000.26ddbb9c.0000003e.00000102(d3dafc77)
(5687|5756) pub60  waiting for acks
(5687|5756) pub60  waiting for acks returned
(5687|5756) pub60<-delete_contained_entities
(5687|5760) pub52  writer id: 01030000.26ddbb9c.00000037.00000102(deca9e06)
(5687|5760) pub52->started
(5687|5760) pub52  12% (2 samples sent)
(5687|5760) pub52  25% (4 samples sent)
(5687|5760) pub52  31% (5 samples sent)
(5687|5760) pub52  43% (7 samples sent)
(5687|5760) pub52  50% (8 samples sent)
(5687|5760) pub52  62% (10 samples sent)
(5687|5760) pub52  75% (12 samples sent)
(5687|5760) pub52  81% (13 samples sent)
(5687|5760) pub52  93% (15 samples sent)
(5687|5760) pub52  100% (16 samples sent)
(5687|5760) pub52->wait_match() before write for 01030000.26ddbb9c.00000037.00000102(deca9e06)
(5687|5760) pub52<-match found! before write for 01030000.26ddbb9c.00000037.00000102(deca9e06)
(5687|5760) pub52  waiting for acks
(5687|5760) pub52  waiting for acks returned
(5687|5760) pub52<-delete_contained_entities
(5687|5723) pub19  writer id: 01030000.26ddbb9c.00000021.00000102(316afc24)
(5687|5723) pub19->started
(5687|5723) pub19  12% (2 samples sent)
(5687|5723) pub19  25% (4 samples sent)
(5687|5723) pub19  31% (5 samples sent)
(5687|5723) pub19  43% (7 samples sent)
(5687|5723) pub19  50% (8 samples sent)
(5687|5723) pub19  62% (10 samples sent)
(5687|5723) pub19  75% (12 samples sent)
(5687|5723) pub19  81% (13 samples sent)
(5687|5723) pub19  93% (15 samples sent)
(5687|5723) pub19  100% (16 samples sent)
(5687|5723) pub19->wait_match() before write for 01030000.26ddbb9c.00000021.00000102(316afc24)
(5687|5723) pub19<-match found! before write for 01030000.26ddbb9c.00000021.00000102(316afc24)
(5687|5723) pub19  waiting for acks
(5687|5723) pub19  waiting for acks returned
(5687|5723) pub19<-delete_contained_entities
(5687|5730) pub30  writer id: 01030000.26ddbb9c.00000026.00000102(834a2034)
(5687|5730) pub30->started
(5687|5730) pub30  12% (2 samples sent)
(5687|5730) pub30  25% (4 samples sent)
(5687|5730) pub30  31% (5 samples sent)
(5687|5730) pub30  43% (7 samples sent)
(5687|5730) pub30  50% (8 samples sent)
(5687|5730) pub30  62% (10 samples sent)
(5687|5730) pub30  75% (12 samples sent)
(5687|5730) pub30  81% (13 samples sent)
(5687|5730) pub30  93% (15 samples sent)
(5687|5730) pub30  100% (16 samples sent)
(5687|5730) pub30->wait_match() before write for 01030000.26ddbb9c.00000026.00000102(834a2034)
(5687|5695) sub condition_.notify_all
(5687|5687) sub condition_.wait returned
(5687|5687) sub check_received
(5687|5687) sub check_received returns 0
(5687|5730) pub30<-match found! before write for 01030000.26ddbb9c.00000026.00000102(834a2034)
(5687|5687) <- PublisherService::end
(5687|5730) pub30  waiting for acks
(5687|5730) pub30  waiting for acks returned
(5687|5730) pub30<-delete_contained_entities
(5687|5697) pub0<-delete_participant
(5687|5700) pub5<-delete_participant
(5687|5748) pub62<-delete_participant
(5687|5699) pub3<-delete_participant
(5687|5703) pub8<-delete_participant
(5687|5698) pub2<-delete_participant
(5687|5720) pub21<-delete_participant
(5687|5754) pub59<-delete_participant
(5687|5702) pub6<-delete_participant
(5687|5708) pub12<-delete_participant
(5687|5713) pub15<-delete_participant
(5687|5706) pub10<-delete_participant
(5687|5701) pub4<-delete_participant
(5687|5741) pub42<-delete_participant
(5687|5696) pub1<-delete_participant
(5687|5739) pub40<-delete_participant
(5687|5732) pub31<-delete_participant
(5687|5705) pub7<-delete_participant
(5687|5719) pub26<-delete_participant
(5687|5718) pub23<-delete_participant
(5687|5712) pub13<-delete_participant
(5687|5707) pub11<-delete_participant
(5687|5716) pub24<-delete_participant
(5687|5710) pub16<-delete_participant
(5687|5731) pub35<-delete_participant
(5687|5715) pub18<-delete_participant
(5687|5711) pub14<-delete_participant
(5687|5714) pub17<-delete_participant
(5687|5724) pub22<-delete_participant
(5687|5729) pub28<-delete_participant
(5687|5734) pub33<-delete_participant
(5687|5726) pub27<-delete_participant
(5687|5721) pub20<-delete_participant
(5687|5722) pub25<-delete_participant
(5687|5747) pub46<-delete_participant
(5687|5755) pub50<-delete_participant
(5687|5751) pub48<-delete_participant
(5687|5752) pub58<-delete_participant
(5687|5738) pub54<-delete_participant
(5687|5753) pub49<-delete_participant
(5687|5750) pub63<-delete_participant
(5687|5735) pub41<-delete_participant
(5687|5704) pub9<-delete_participant
(5687|5737) pub39<-delete_participant
(5687|5744) pub44<-delete_participant
(5687|5725) pub36<-delete_participant
(5687|5740) pub55<-delete_participant
(5687|5727) pub38<-delete_participant
(5687|5758) pub53<-delete_participant
(5687|5743) pub56<-delete_participant
(5687|5759) pub61<-delete_participant
(5687|5745) pub57<-delete_participant
(5687|5746) pub45<-delete_participant
(5687|5749) pub47<-delete_participant
(5687|5757) pub51<-delete_participant
(5687|5717) pub34<-delete_participant
(5687|5736) pub37<-delete_participant
(5687|5733) pub32<-delete_participant
(5687|5742) pub43<-delete_participant
(5687|5728) pub29<-delete_participant
(5687|5756) pub60<-delete_participant
(5687|5760) pub52<-delete_participant
(5687|5723) pub19<-delete_participant
(5687|5730) pub30<-delete_participant
(5687|5687) <- PublisherService::~PublisherService
(5687|5687) <- Subscriber delete_contained_entities
(5687|5687) <- Subscriber delete_participant
(5687|5693) WARNING: TransportReceiveStrategy::~TransportReceiveStrategy() - terminating with 16 unprocessed bytes.
(5687|5687) <- Subscriber::~Subscriber
(5687|5687) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl aggressive durable Time:3s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl single rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1 -n 1 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 5762 started at 2023-04-28 16:24:32
(5762|5762) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(5762|5762) -> Thrasher started
(5762|5762) -> Subscriber::Subscriber
(5762|5762)    Subscriber reader id: 0103000c.297a35f2.1682b324.00000007(f5fdfef3)
(5762|5762) -> PublisherService::PublisherService
(5762|5762) -> PublisherService::start (1 threads)
(5762|5762) sub wait_received 0:1
(5762|5768) pub0->transport cfg_0
(5762|5768) pub0  writer id: 0103000c.297a35f2.1682b325.00000002(b8f723cc)
(5762|5768) pub0->started
(5762|5768) pub0  100% (1 samples sent)
(5762|5768) pub0->wait_match() before write for 0103000c.297a35f2.1682b325.00000002(b8f723cc)
(5762|5768) pub0<-match found! before write for 0103000c.297a35f2.1682b325.00000002(b8f723cc)
(5762|5768) pub0  waiting for acks
(5762|5767)  sub 100% (1 samples received)
(5762|5767) sub condition_.notify_all
(5762|5762) sub condition_.wait returned
(5762|5762) sub check_received
(5762|5762) sub check_received returns 0
(5762|5762) <- PublisherService::end
(5762|5768) pub0  waiting for acks returned
(5762|5768) pub0<-delete_contained_entities
(5762|5768) pub0<-delete_participant
(5762|5762) <- PublisherService::~PublisherService
(5762|5762) <- Subscriber delete_contained_entities
(5762|5762) <- Subscriber delete_participant
(5762|5762) <- Subscriber::~Subscriber
(5762|5762) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl single rtps durable Time:2s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl double rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 2 -s 1 -n 2 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 5774 started at 2023-04-28 16:24:33
(5774|5774) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(5774|5774) -> Thrasher started
(5774|5774) -> Subscriber::Subscriber
(5774|5774)    Subscriber reader id: 0103000c.297a35f2.168e3b22.00000007(4ffef792)
(5774|5774) -> PublisherService::PublisherService
(5774|5774) -> PublisherService::start (2 threads)
(5774|5774) sub wait_received 0:2
(5774|5780) pub0->transport cfg_0
(5774|5780) pub0  writer id: 0103000c.297a35f2.168e3b23.00000002(02f42aad)
(5774|5780) pub0->started
(5774|5780) pub0  100% (1 samples sent)
(5774|5780) pub0->wait_match() before write for 0103000c.297a35f2.168e3b23.00000002(02f42aad)
(5774|5781) pub1->transport cfg_1
(5774|5781) pub1  writer id: 0103000c.297a35f2.168e3b24.00000002(b0d4f6bd)
(5774|5781) pub1->started
(5774|5781) pub1  100% (1 samples sent)
(5774|5781) pub1->wait_match() before write for 0103000c.297a35f2.168e3b24.00000002(b0d4f6bd)
(5774|5780) pub0<-match found! before write for 0103000c.297a35f2.168e3b23.00000002(02f42aad)
(5774|5780) pub0  waiting for acks
(5774|5781) pub1<-match found! before write for 0103000c.297a35f2.168e3b24.00000002(b0d4f6bd)
(5774|5781) pub1  waiting for acks
(5774|5779)  sub 50% (1 samples received)
(5774|5779)  sub 100% (2 samples received)
(5774|5779) sub condition_.notify_all
(5774|5774) sub condition_.wait returned
(5774|5774) sub check_received
(5774|5774) sub check_received returns 0
(5774|5774) <- PublisherService::end
(5774|5780) pub0  waiting for acks returned
(5774|5781) pub1  waiting for acks returned
(5774|5780) pub0<-delete_contained_entities
(5774|5781) pub1<-delete_contained_entities
(5774|5780) pub0<-delete_participant
(5774|5781) pub1<-delete_participant
(5774|5774) <- PublisherService::~PublisherService
(5774|5774) <- Subscriber delete_contained_entities
(5774|5774) <- Subscriber delete_participant
(5774|5774) <- Subscriber::~Subscriber
(5774|5774) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl double rtps durable Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl triangle rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 3 -s 3 -n 9 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 5792 started at 2023-04-28 16:24:34
(5792|5792) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(5792|5792) -> Thrasher started
(5792|5792) -> Subscriber::Subscriber
(5792|5792)    Subscriber reader id: 0103000c.297a35f2.16a05349.00000007(da397e38)
(5792|5792) -> PublisherService::PublisherService
(5792|5792) -> PublisherService::start (3 threads)
(5792|5792) sub wait_received 0:3
(5792|5798) pub0->transport cfg_0
(5792|5800) pub2->transport cfg_2
(5792|5799) pub1->transport cfg_1
(5792|5800) pub2  writer id: 0103000c.297a35f2.16a0534c.00000002(62b305c7)
(5792|5800) pub2->started
(5792|5800) pub2  33% (1 samples sent)
(5792|5800) pub2  66% (2 samples sent)
(5792|5800) pub2  100% (3 samples sent)
(5792|5800) pub2->wait_match() before write for 0103000c.297a35f2.16a0534c.00000002(62b305c7)
(5792|5799) pub1  writer id: 0103000c.297a35f2.16a0534b.00000002(d093d9d7)
(5792|5799) pub1->started
(5792|5799) pub1  33% (1 samples sent)
(5792|5799) pub1  66% (2 samples sent)
(5792|5799) pub1  100% (3 samples sent)
(5792|5799) pub1->wait_match() before write for 0103000c.297a35f2.16a0534b.00000002(d093d9d7)
(5792|5798) pub0  writer id: 0103000c.297a35f2.16a0534a.00000002(edf3f067)
(5792|5798) pub0->started
(5792|5798) pub0  33% (1 samples sent)
(5792|5798) pub0  66% (2 samples sent)
(5792|5798) pub0  100% (3 samples sent)
(5792|5798) pub0->wait_match() before write for 0103000c.297a35f2.16a0534a.00000002(edf3f067)
(5792|5799) pub1<-match found! before write for 0103000c.297a35f2.16a0534b.00000002(d093d9d7)
(5792|5799) pub1  waiting for acks
(5792|5798) pub0<-match found! before write for 0103000c.297a35f2.16a0534a.00000002(edf3f067)
(5792|5798) pub0  waiting for acks
(5792|5800) pub2<-match found! before write for 0103000c.297a35f2.16a0534c.00000002(62b305c7)
(5792|5800) pub2  waiting for acks
(5792|5797)  sub 11% (1 samples received)
(5792|5797)  sub 22% (2 samples received)
(5792|5797)  sub 33% (3 samples received)
(5792|5797) sub condition_.notify_all
(5792|5792) sub condition_.wait returned
(5792|5792) sub check_received
(5792|5792) sub check_received returns 0
(5792|5792) <- PublisherService::end
(5792|5799) pub1  waiting for acks returned
(5792|5799) pub1<-delete_contained_entities
(5792|5798) pub0  waiting for acks returned
(5792|5798) pub0<-delete_contained_entities
(5792|5800) pub2  waiting for acks returned
(5792|5800) pub2<-delete_contained_entities
(5792|5798) pub0<-delete_participant
(5792|5799) pub1<-delete_participant
(5792|5800) pub2<-delete_participant
(5792|5792) <- PublisherService::~PublisherService
(5792|5792) <- Subscriber delete_contained_entities
(5792|5792) <- Subscriber delete_participant
(5792|5792) <- Subscriber::~Subscriber
(5792|5792) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl triangle rtps durable Time:2s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl default rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1024 -n 1024 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 5814 started at 2023-04-28 16:24:36
(5814|5814) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(5814|5814) -> Thrasher started
(5814|5814) -> Subscriber::Subscriber
(5814|5814)    Subscriber reader id: 0103000c.297a35f2.16b629f1.00000007(5439babe)
(5814|5814) -> PublisherService::PublisherService
(5814|5814) -> PublisherService::start (1 threads)
(5814|5814) sub wait_received 0:1
(5814|5820) pub0->transport cfg_0
(5814|5820) pub0  writer id: 0103000c.297a35f2.16b629f2.00000002(63f334e1)
(5814|5820) pub0->started
(5814|5820) pub0  10% (103 samples sent)
(5814|5820) pub0  20% (205 samples sent)
(5814|5820) pub0  30% (308 samples sent)
(5814|5820) pub0  40% (410 samples sent)
(5814|5820) pub0  50% (512 samples sent)
(5814|5820) pub0  60% (615 samples sent)
(5814|5820) pub0  70% (717 samples sent)
(5814|5820) pub0  80% (820 samples sent)
(5814|5820) pub0  90% (922 samples sent)
(5814|5820) pub0  100% (1024 samples sent)
(5814|5820) pub0->wait_match() before write for 0103000c.297a35f2.16b629f2.00000002(63f334e1)
(5814|5820) pub0<-match found! before write for 0103000c.297a35f2.16b629f2.00000002(63f334e1)
(5814|5820) pub0  waiting for acks
(5814|5819) sub condition_.notify_all
(5814|5814) sub condition_.wait returned
(5814|5814) sub check_received
(5814|5814) sub check_received returns 0
(5814|5814) <- PublisherService::end
(5814|5820) pub0  waiting for acks returned
(5814|5820) pub0<-delete_contained_entities
(5814|5820) pub0<-delete_participant
(5814|5814) <- PublisherService::~PublisherService
(5814|5814) <- Subscriber delete_contained_entities
(5814|5814) <- Subscriber delete_participant
(5814|5814) <- Subscriber::~Subscriber
(5814|5814) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl default rtps durable Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl low rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 8 -s 128 -n 1024 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 5826 started at 2023-04-28 16:24:38
(5826|5826) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(5826|5826) -> Thrasher started
(5826|5826) -> Subscriber::Subscriber
(5826|5826)    Subscriber reader id: 0103000c.297a35f2.16c270b8.00000007(327c2d81)
(5826|5826) -> PublisherService::PublisherService
(5826|5826) -> PublisherService::start (8 threads)
(5826|5826) sub wait_received 0:8
(5826|5832) pub0->transport cfg_0
(5826|5833) pub1->transport cfg_1
(5826|5834) pub4->transport cfg_4
(5826|5835) pub7->transport cfg_7
(5826|5836) pub2->transport cfg_2
(5826|5838) pub3->transport cfg_3
(5826|5837) pub6->transport cfg_6
(5826|5839) pub5->transport cfg_5
(5826|5838) pub3  writer id: 0103000c.297a35f2.16c270bc.00000002(b7967fce)
(5826|5838) pub3->started
(5826|5832) pub0  writer id: 0103000c.297a35f2.16c270b9.00000002(7f76f0be)
(5826|5832) pub0->started
(5826|5832) pub0  10% (13 samples sent)
(5826|5835) pub7  writer id: 0103000c.297a35f2.16c270c0.00000002(8bb47200)
(5826|5835) pub7->started
(5826|5835) pub7  10% (13 samples sent)
(5826|5834) pub4  writer id: 0103000c.297a35f2.16c270bd.00000002(8af6567e)
(5826|5834) pub4->started
(5826|5835) pub7  20% (26 samples sent)
(5826|5832) pub0  20% (26 samples sent)
(5826|5833) pub1  writer id: 0103000c.297a35f2.16c270ba.00000002(38d68a6e)
(5826|5839) pub5  writer id: 0103000c.297a35f2.16c270be.00000002(cd562cae)
(5826|5837) pub6  writer id: 0103000c.297a35f2.16c270bf.00000002(f036051e)
(5826|5837) pub6->started
(5826|5832) pub0  30% (39 samples sent)
(5826|5837) pub6  10% (13 samples sent)
(5826|5832) pub0  40% (52 samples sent)
(5826|5838) pub3  10% (13 samples sent)
(5826|5833) pub1->started
(5826|5838) pub3  20% (26 samples sent)
(5826|5833) pub1  10% (13 samples sent)
(5826|5839) pub5->started
(5826|5838) pub3  30% (39 samples sent)
(5826|5839) pub5  10% (13 samples sent)
(5826|5832) pub0  50% (64 samples sent)
(5826|5839) pub5  20% (26 samples sent)
(5826|5832) pub0  60% (77 samples sent)
(5826|5833) pub1  20% (26 samples sent)
(5826|5833) pub1  30% (39 samples sent)
(5826|5838) pub3  40% (52 samples sent)
(5826|5833) pub1  40% (52 samples sent)
(5826|5838) pub3  50% (64 samples sent)
(5826|5833) pub1  50% (64 samples sent)
(5826|5834) pub4  10% (13 samples sent)
(5826|5838) pub3  60% (77 samples sent)
(5826|5834) pub4  20% (26 samples sent)
(5826|5838) pub3  70% (90 samples sent)
(5826|5834) pub4  30% (39 samples sent)
(5826|5834) pub4  40% (52 samples sent)
(5826|5834) pub4  50% (64 samples sent)
(5826|5836) pub2  writer id: 0103000c.297a35f2.16c270bb.00000002(05b6a3de)
(5826|5836) pub2->started
(5826|5836) pub2  10% (13 samples sent)
(5826|5836) pub2  20% (26 samples sent)
(5826|5836) pub2  30% (39 samples sent)
(5826|5836) pub2  40% (52 samples sent)
(5826|5837) pub6  20% (26 samples sent)
(5826|5835) pub7  30% (39 samples sent)
(5826|5837) pub6  30% (39 samples sent)
(5826|5835) pub7  40% (52 samples sent)
(5826|5837) pub6  40% (52 samples sent)
(5826|5835) pub7  50% (64 samples sent)
(5826|5837) pub6  50% (64 samples sent)
(5826|5835) pub7  60% (77 samples sent)
(5826|5837) pub6  60% (77 samples sent)
(5826|5835) pub7  70% (90 samples sent)
(5826|5835) pub7  80% (103 samples sent)
(5826|5839) pub5  30% (39 samples sent)
(5826|5839) pub5  40% (52 samples sent)
(5826|5839) pub5  50% (64 samples sent)
(5826|5839) pub5  60% (77 samples sent)
(5826|5836) pub2  50% (64 samples sent)
(5826|5836) pub2  60% (77 samples sent)
(5826|5836) pub2  70% (90 samples sent)
(5826|5833) pub1  60% (77 samples sent)
(5826|5833) pub1  70% (90 samples sent)
(5826|5833) pub1  80% (103 samples sent)
(5826|5833) pub1  90% (116 samples sent)
(5826|5833) pub1  100% (128 samples sent)
(5826|5833) pub1->wait_match() before write for 0103000c.297a35f2.16c270ba.00000002(38d68a6e)
(5826|5837) pub6  70% (90 samples sent)
(5826|5837) pub6  80% (103 samples sent)
(5826|5837) pub6  90% (116 samples sent)
(5826|5838) pub3  80% (103 samples sent)
(5826|5837) pub6  100% (128 samples sent)
(5826|5837) pub6->wait_match() before write for 0103000c.297a35f2.16c270bf.00000002(f036051e)
(5826|5834) pub4  60% (77 samples sent)
(5826|5838) pub3  90% (116 samples sent)
(5826|5834) pub4  70% (90 samples sent)
(5826|5838) pub3  100% (128 samples sent)
(5826|5838) pub3->wait_match() before write for 0103000c.297a35f2.16c270bc.00000002(b7967fce)
(5826|5834) pub4  80% (103 samples sent)
(5826|5835) pub7  90% (116 samples sent)
(5826|5834) pub4  90% (116 samples sent)
(5826|5835) pub7  100% (128 samples sent)
(5826|5835) pub7->wait_match() before write for 0103000c.297a35f2.16c270c0.00000002(8bb47200)
(5826|5832) pub0  70% (90 samples sent)
(5826|5834) pub4  100% (128 samples sent)
(5826|5834) pub4->wait_match() before write for 0103000c.297a35f2.16c270bd.00000002(8af6567e)
(5826|5839) pub5  70% (90 samples sent)
(5826|5832) pub0  80% (103 samples sent)
(5826|5839) pub5  80% (103 samples sent)
(5826|5832) pub0  90% (116 samples sent)
(5826|5832) pub0  100% (128 samples sent)
(5826|5839) pub5  90% (116 samples sent)
(5826|5836) pub2  80% (103 samples sent)
(5826|5836) pub2  90% (116 samples sent)
(5826|5836) pub2  100% (128 samples sent)
(5826|5836) pub2->wait_match() before write for 0103000c.297a35f2.16c270bb.00000002(05b6a3de)
(5826|5832) pub0->wait_match() before write for 0103000c.297a35f2.16c270b9.00000002(7f76f0be)
(5826|5839) pub5  100% (128 samples sent)
(5826|5839) pub5->wait_match() before write for 0103000c.297a35f2.16c270be.00000002(cd562cae)
(5826|5835) pub7<-match found! before write for 0103000c.297a35f2.16c270c0.00000002(8bb47200)
(5826|5835) pub7  waiting for acks
(5826|5836) pub2<-match found! before write for 0103000c.297a35f2.16c270bb.00000002(05b6a3de)
(5826|5836) pub2  waiting for acks
(5826|5832) pub0<-match found! before write for 0103000c.297a35f2.16c270b9.00000002(7f76f0be)
(5826|5832) pub0  waiting for acks
(5826|5838) pub3<-match found! before write for 0103000c.297a35f2.16c270bc.00000002(b7967fce)
(5826|5838) pub3  waiting for acks
(5826|5834) pub4<-match found! before write for 0103000c.297a35f2.16c270bd.00000002(8af6567e)
(5826|5834) pub4  waiting for acks
(5826|5839) pub5<-match found! before write for 0103000c.297a35f2.16c270be.00000002(cd562cae)
(5826|5839) pub5  waiting for acks
(5826|5833) pub1<-match found! before write for 0103000c.297a35f2.16c270ba.00000002(38d68a6e)
(5826|5833) pub1  waiting for acks
(5826|5837) pub6<-match found! before write for 0103000c.297a35f2.16c270bf.00000002(f036051e)
(5826|5837) pub6  waiting for acks
(5826|5831) sub condition_.notify_all
(5826|5826) sub condition_.wait returned
(5826|5826) sub check_received
(5826|5826) sub check_received returns 0
(5826|5826) <- PublisherService::end
(5826|5835) pub7  waiting for acks returned
(5826|5835) pub7<-delete_contained_entities
(5826|5838) pub3  waiting for acks returned
(5826|5838) pub3<-delete_contained_entities
(5826|5832) pub0  waiting for acks returned
(5826|5832) pub0<-delete_contained_entities
(5826|5836) pub2  waiting for acks returned
(5826|5836) pub2<-delete_contained_entities
(5826|5839) pub5  waiting for acks returned
(5826|5839) pub5<-delete_contained_entities
(5826|5834) pub4  waiting for acks returned
(5826|5834) pub4<-delete_contained_entities
(5826|5833) pub1  waiting for acks returned
(5826|5833) pub1<-delete_contained_entities
(5826|5837) pub6  waiting for acks returned
(5826|5837) pub6<-delete_contained_entities
(5826|5835) pub7<-delete_participant
(5826|5838) pub3<-delete_participant
(5826|5832) pub0<-delete_participant
(5826|5836) pub2<-delete_participant
(5826|5839) pub5<-delete_participant
(5826|5834) pub4<-delete_participant
(5826|5833) pub1<-delete_participant
(5826|5837) pub6<-delete_participant
(5826|5826) <- PublisherService::~PublisherService
(5826|5826) <- Subscriber delete_contained_entities
(5826|5826) <- Subscriber delete_participant
(5826|5826) <- Subscriber::~Subscriber
(5826|5826) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl low rtps durable Time:2s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl medium rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 16 -s 64 -n 1024 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 5873 started at 2023-04-28 16:24:39
(5873|5873) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(5873|5873) -> Thrasher started
(5873|5873) -> Subscriber::Subscriber
(5873|5873)    Subscriber reader id: 0103000c.297a35f2.16f179ee.00000007(3babf9ed)
(5873|5873) -> PublisherService::PublisherService
(5873|5873) -> PublisherService::start (16 threads)
(5873|5873) sub wait_received 0:16
(5873|5879) pub0->transport cfg_0
(5873|5881) pub1->transport cfg_1
(5873|5889) pub10->transport cfg_10
(5873|5880) pub2->transport cfg_2
(5873|5886) pub7->transport cfg_7
(5873|5883) pub3->transport cfg_3
(5873|5882) pub5->transport cfg_5
(5873|5884) pub4->transport cfg_4
(5873|5885) pub6->transport cfg_6
(5873|5892) pub12->transport cfg_12
(5873|5890) pub11->transport cfg_11
(5873|5891) pub13->transport cfg_13
(5873|5894) pub14->transport cfg_14
(5873|5888) pub9->transport cfg_9
(5873|5887) pub8->transport cfg_8
(5873|5893) pub15->transport cfg_15
(5873|5881) pub1  writer id: 0103000c.297a35f2.16f179f0.00000002(94112481)
(5873|5881) pub1->started
(5873|5894) pub14  writer id: 0103000c.297a35f2.16f179fd.00000002(6c81e030)
(5873|5894) pub14->started
(5873|5891) pub13  writer id: 0103000c.297a35f2.16f179fc.00000002(51e1c980)
(5873|5891) pub13->started
(5873|5883) pub3  writer id: 0103000c.297a35f2.16f179f2.00000002(eed177e1)
(5873|5883) pub3->started
(5873|5891) pub13  10% (7 samples sent)
(5873|5883) pub3  10% (7 samples sent)
(5873|5891) pub13  20% (13 samples sent)
(5873|5883) pub3  20% (13 samples sent)
(5873|5891) pub13  31% (20 samples sent)
(5873|5883) pub3  31% (20 samples sent)
(5873|5891) pub13  40% (26 samples sent)
(5873|5883) pub3  40% (26 samples sent)
(5873|5885) pub6  writer id: 0103000c.297a35f2.16f179f6.00000002(1b51d121)
(5873|5885) pub6->started
(5873|5892) pub12  writer id: 0103000c.297a35f2.16f179fb.00000002(e3c11590)
(5873|5892) pub12->started
(5873|5892) pub12  10% (7 samples sent)
(5873|5892) pub12  20% (13 samples sent)
(5873|5892) pub12  31% (20 samples sent)
(5873|5892) pub12  40% (26 samples sent)
(5873|5892) pub12  50% (32 samples sent)
(5873|5881) pub1  10% (7 samples sent)
(5873|5881) pub1  20% (13 samples sent)
(5873|5881) pub1  31% (20 samples sent)
(5873|5881) pub1  40% (26 samples sent)
(5873|5881) pub1  50% (32 samples sent)
(5873|5881) pub1  60% (39 samples sent)
(5873|5881) pub1  70% (45 samples sent)
(5873|5881) pub1  81% (52 samples sent)
(5873|5881) pub1  90% (58 samples sent)
(5873|5881) pub1  100% (64 samples sent)
(5873|5891) pub13  50% (32 samples sent)
(5873|5891) pub13  60% (39 samples sent)
(5873|5891) pub13  70% (45 samples sent)
(5873|5891) pub13  81% (52 samples sent)
(5873|5891) pub13  90% (58 samples sent)
(5873|5891) pub13  100% (64 samples sent)
(5873|5891) pub13->wait_match() before write for 0103000c.297a35f2.16f179fc.00000002(51e1c980)
(5873|5883) pub3  50% (32 samples sent)
(5873|5884) pub4  writer id: 0103000c.297a35f2.16f179f3.00000002(d3b15e51)
(5873|5884) pub4->started
(5873|5884) pub4  10% (7 samples sent)
(5873|5884) pub4  20% (13 samples sent)
(5873|5884) pub4  31% (20 samples sent)
(5873|5884) pub4  40% (26 samples sent)
(5873|5886) pub7  writer id: 0103000c.297a35f2.16f179f5.00000002(5cf1abf1)
(5873|5886) pub7->started
(5873|5882) pub5  writer id: 0103000c.297a35f2.16f179f4.00000002(61918241)
(5873|5882) pub5->started
(5873|5882) pub5  10% (7 samples sent)
(5873|5890) pub11  writer id: 0103000c.297a35f2.16f179fa.00000002(dea13c20)
(5873|5890) pub11->started
(5873|5890) pub11  10% (7 samples sent)
(5873|5890) pub11  20% (13 samples sent)
(5873|5890) pub11  31% (20 samples sent)
(5873|5888) pub9  writer id: 0103000c.297a35f2.16f179f9.00000002(990146f0)
(5873|5894) pub14  10% (7 samples sent)
(5873|5889) pub10  writer id: 0103000c.297a35f2.16f179f7.00000002(2631f891)
(5873|5889) pub10->started
(5873|5889) pub10  10% (7 samples sent)
(5873|5889) pub10  20% (13 samples sent)
(5873|5889) pub10  31% (20 samples sent)
(5873|5892) pub12  60% (39 samples sent)
(5873|5883) pub3  60% (39 samples sent)
(5873|5881) pub1->wait_match() before write for 0103000c.297a35f2.16f179f0.00000002(94112481)
(5873|5885) pub6  10% (7 samples sent)
(5873|5884) pub4  50% (32 samples sent)
(5873|5886) pub7  10% (7 samples sent)
(5873|5887) pub8  writer id: 0103000c.297a35f2.16f179f8.00000002(a4616f40)
(5873|5887) pub8->started
(5873|5887) pub8  10% (7 samples sent)
(5873|5882) pub5  20% (13 samples sent)
(5873|5888) pub9->started
(5873|5890) pub11  40% (26 samples sent)
(5873|5888) pub9  10% (7 samples sent)
(5873|5890) pub11  50% (32 samples sent)
(5873|5888) pub9  20% (13 samples sent)
(5873|5890) pub11  60% (39 samples sent)
(5873|5888) pub9  31% (20 samples sent)
(5873|5890) pub11  70% (45 samples sent)
(5873|5890) pub11  81% (52 samples sent)
(5873|5888) pub9  40% (26 samples sent)
(5873|5890) pub11  90% (58 samples sent)
(5873|5888) pub9  50% (32 samples sent)
(5873|5890) pub11  100% (64 samples sent)
(5873|5890) pub11->wait_match() before write for 0103000c.297a35f2.16f179fa.00000002(dea13c20)
(5873|5894) pub14  20% (13 samples sent)
(5873|5889) pub10  40% (26 samples sent)
(5873|5889) pub10  50% (32 samples sent)
(5873|5889) pub10  60% (39 samples sent)
(5873|5889) pub10  70% (45 samples sent)
(5873|5892) pub12  70% (45 samples sent)
(5873|5892) pub12  81% (52 samples sent)
(5873|5892) pub12  90% (58 samples sent)
(5873|5892) pub12  100% (64 samples sent)
(5873|5892) pub12->wait_match() before write for 0103000c.297a35f2.16f179fb.00000002(e3c11590)
(5873|5885) pub6  20% (13 samples sent)
(5873|5884) pub4  60% (39 samples sent)
(5873|5886) pub7  20% (13 samples sent)
(5873|5886) pub7  31% (20 samples sent)
(5873|5886) pub7  40% (26 samples sent)
(5873|5886) pub7  50% (32 samples sent)
(5873|5886) pub7  60% (39 samples sent)
(5873|5880) pub2  writer id: 0103000c.297a35f2.16f179f1.00000002(a9710d31)
(5873|5880) pub2->started
(5873|5880) pub2  10% (7 samples sent)
(5873|5880) pub2  20% (13 samples sent)
(5873|5880) pub2  31% (20 samples sent)
(5873|5880) pub2  40% (26 samples sent)
(5873|5880) pub2  50% (32 samples sent)
(5873|5882) pub5  31% (20 samples sent)
(5873|5882) pub5  40% (26 samples sent)
(5873|5882) pub5  50% (32 samples sent)
(5873|5882) pub5  60% (39 samples sent)
(5873|5888) pub9  60% (39 samples sent)
(5873|5882) pub5  70% (45 samples sent)
(5873|5888) pub9  70% (45 samples sent)
(5873|5888) pub9  81% (52 samples sent)
(5873|5882) pub5  81% (52 samples sent)
(5873|5888) pub9  90% (58 samples sent)
(5873|5882) pub5  90% (58 samples sent)
(5873|5888) pub9  100% (64 samples sent)
(5873|5888) pub9->wait_match() before write for 0103000c.297a35f2.16f179f9.00000002(990146f0)
(5873|5894) pub14  31% (20 samples sent)
(5873|5894) pub14  40% (26 samples sent)
(5873|5894) pub14  50% (32 samples sent)
(5873|5894) pub14  60% (39 samples sent)
(5873|5894) pub14  70% (45 samples sent)
(5873|5889) pub10  81% (52 samples sent)
(5873|5889) pub10  90% (58 samples sent)
(5873|5889) pub10  100% (64 samples sent)
(5873|5889) pub10->wait_match() before write for 0103000c.297a35f2.16f179f7.00000002(2631f891)
(5873|5893) pub15  writer id: 0103000c.297a35f2.16f179fe.00000002(2b219ae0)
(5873|5893) pub15->started
(5873|5893) pub15  10% (7 samples sent)
(5873|5893) pub15  20% (13 samples sent)
(5873|5883) pub3  70% (45 samples sent)
(5873|5893) pub15  31% (20 samples sent)
(5873|5883) pub3  81% (52 samples sent)
(5873|5893) pub15  40% (26 samples sent)
(5873|5883) pub3  90% (58 samples sent)
(5873|5893) pub15  50% (32 samples sent)
(5873|5883) pub3  100% (64 samples sent)
(5873|5883) pub3->wait_match() before write for 0103000c.297a35f2.16f179f2.00000002(eed177e1)
(5873|5885) pub6  31% (20 samples sent)
(5873|5885) pub6  40% (26 samples sent)
(5873|5885) pub6  50% (32 samples sent)
(5873|5885) pub6  60% (39 samples sent)
(5873|5885) pub6  70% (45 samples sent)
(5873|5885) pub6  81% (52 samples sent)
(5873|5887) pub8  20% (13 samples sent)
(5873|5886) pub7  70% (45 samples sent)
(5873|5886) pub7  81% (52 samples sent)
(5873|5882) pub5  100% (64 samples sent)
(5873|5882) pub5->wait_match() before write for 0103000c.297a35f2.16f179f4.00000002(61918241)
(5873|5894) pub14  81% (52 samples sent)
(5873|5894) pub14  90% (58 samples sent)
(5873|5894) pub14  100% (64 samples sent)
(5873|5894) pub14->wait_match() before write for 0103000c.297a35f2.16f179fd.00000002(6c81e030)
(5873|5884) pub4  70% (45 samples sent)
(5873|5884) pub4  81% (52 samples sent)
(5873|5884) pub4  90% (58 samples sent)
(5873|5884) pub4  100% (64 samples sent)
(5873|5884) pub4->wait_match() before write for 0103000c.297a35f2.16f179f3.00000002(d3b15e51)
(5873|5885) pub6  90% (58 samples sent)
(5873|5885) pub6  100% (64 samples sent)
(5873|5885) pub6->wait_match() before write for 0103000c.297a35f2.16f179f6.00000002(1b51d121)
(5873|5893) pub15  60% (39 samples sent)
(5873|5893) pub15  70% (45 samples sent)
(5873|5893) pub15  81% (52 samples sent)
(5873|5893) pub15  90% (58 samples sent)
(5873|5893) pub15  100% (64 samples sent)
(5873|5893) pub15->wait_match() before write for 0103000c.297a35f2.16f179fe.00000002(2b219ae0)
(5873|5887) pub8  31% (20 samples sent)
(5873|5887) pub8  40% (26 samples sent)
(5873|5887) pub8  50% (32 samples sent)
(5873|5887) pub8  60% (39 samples sent)
(5873|5880) pub2  60% (39 samples sent)
(5873|5886) pub7  90% (58 samples sent)
(5873|5886) pub7  100% (64 samples sent)
(5873|5886) pub7->wait_match() before write for 0103000c.297a35f2.16f179f5.00000002(5cf1abf1)
(5873|5879) pub0  writer id: 0103000c.297a35f2.16f179ef.00000002(76a124d2)
(5873|5879) pub0->started
(5873|5879) pub0  10% (7 samples sent)
(5873|5880) pub2  70% (45 samples sent)
(5873|5880) pub2  81% (52 samples sent)
(5873|5880) pub2  90% (58 samples sent)
(5873|5880) pub2  100% (64 samples sent)
(5873|5880) pub2->wait_match() before write for 0103000c.297a35f2.16f179f1.00000002(a9710d31)
(5873|5879) pub0  20% (13 samples sent)
(5873|5887) pub8  70% (45 samples sent)
(5873|5887) pub8  81% (52 samples sent)
(5873|5887) pub8  90% (58 samples sent)
(5873|5887) pub8  100% (64 samples sent)
(5873|5887) pub8->wait_match() before write for 0103000c.297a35f2.16f179f8.00000002(a4616f40)
(5873|5879) pub0  31% (20 samples sent)
(5873|5879) pub0  40% (26 samples sent)
(5873|5879) pub0  50% (32 samples sent)
(5873|5879) pub0  60% (39 samples sent)
(5873|5879) pub0  70% (45 samples sent)
(5873|5879) pub0  81% (52 samples sent)
(5873|5879) pub0  90% (58 samples sent)
(5873|5879) pub0  100% (64 samples sent)
(5873|5879) pub0->wait_match() before write for 0103000c.297a35f2.16f179ef.00000002(76a124d2)
(5873|5890) pub11<-match found! before write for 0103000c.297a35f2.16f179fa.00000002(dea13c20)
(5873|5890) pub11  waiting for acks
(5873|5881) pub1<-match found! before write for 0103000c.297a35f2.16f179f0.00000002(94112481)
(5873|5881) pub1  waiting for acks
(5873|5891) pub13<-match found! before write for 0103000c.297a35f2.16f179fc.00000002(51e1c980)
(5873|5891) pub13  waiting for acks
(5873|5888) pub9<-match found! before write for 0103000c.297a35f2.16f179f9.00000002(990146f0)
(5873|5888) pub9  waiting for acks
(5873|5882) pub5<-match found! before write for 0103000c.297a35f2.16f179f4.00000002(61918241)
(5873|5882) pub5  waiting for acks
(5873|5883) pub3<-match found! before write for 0103000c.297a35f2.16f179f2.00000002(eed177e1)
(5873|5883) pub3  waiting for acks
(5873|5892) pub12<-match found! before write for 0103000c.297a35f2.16f179fb.00000002(e3c11590)
(5873|5892) pub12  waiting for acks
(5873|5893) pub15<-match found! before write for 0103000c.297a35f2.16f179fe.00000002(2b219ae0)
(5873|5893) pub15  waiting for acks
(5873|5884) pub4<-match found! before write for 0103000c.297a35f2.16f179f3.00000002(d3b15e51)
(5873|5884) pub4  waiting for acks
(5873|5887) pub8<-match found! before write for 0103000c.297a35f2.16f179f8.00000002(a4616f40)
(5873|5887) pub8  waiting for acks
(5873|5894) pub14<-match found! before write for 0103000c.297a35f2.16f179fd.00000002(6c81e030)
(5873|5894) pub14  waiting for acks
(5873|5889) pub10<-match found! before write for 0103000c.297a35f2.16f179f7.00000002(2631f891)
(5873|5889) pub10  waiting for acks
(5873|5886) pub7<-match found! before write for 0103000c.297a35f2.16f179f5.00000002(5cf1abf1)
(5873|5886) pub7  waiting for acks
(5873|5885) pub6<-match found! before write for 0103000c.297a35f2.16f179f6.00000002(1b51d121)
(5873|5885) pub6  waiting for acks
(5873|5880) pub2<-match found! before write for 0103000c.297a35f2.16f179f1.00000002(a9710d31)
(5873|5880) pub2  waiting for acks
(5873|5879) pub0<-match found! before write for 0103000c.297a35f2.16f179ef.00000002(76a124d2)
(5873|5879) pub0  waiting for acks
(5873|5890) pub11  waiting for acks returned
(5873|5890) pub11<-delete_contained_entities
(5873|5881) pub1  waiting for acks returned
(5873|5881) pub1<-delete_contained_entities
(5873|5891) pub13  waiting for acks returned
(5873|5891) pub13<-delete_contained_entities
(5873|5878) sub condition_.notify_all
(5873|5873) sub condition_.wait returned
(5873|5873) sub check_received
(5873|5873) sub check_received returns 0
(5873|5873) <- PublisherService::end
(5873|5888) pub9  waiting for acks returned
(5873|5888) pub9<-delete_contained_entities
(5873|5883) pub3  waiting for acks returned
(5873|5883) pub3<-delete_contained_entities
(5873|5882) pub5  waiting for acks returned
(5873|5882) pub5<-delete_contained_entities
(5873|5893) pub15  waiting for acks returned
(5873|5893) pub15<-delete_contained_entities
(5873|5892) pub12  waiting for acks returned
(5873|5892) pub12<-delete_contained_entities
(5873|5884) pub4  waiting for acks returned
(5873|5884) pub4<-delete_contained_entities
(5873|5887) pub8  waiting for acks returned
(5873|5887) pub8<-delete_contained_entities
(5873|5894) pub14  waiting for acks returned
(5873|5894) pub14<-delete_contained_entities
(5873|5886) pub7  waiting for acks returned
(5873|5886) pub7<-delete_contained_entities
(5873|5889) pub10  waiting for acks returned
(5873|5889) pub10<-delete_contained_entities
(5873|5885) pub6  waiting for acks returned
(5873|5885) pub6<-delete_contained_entities
(5873|5880) pub2  waiting for acks returned
(5873|5880) pub2<-delete_contained_entities
(5873|5879) pub0  waiting for acks returned
(5873|5879) pub0<-delete_contained_entities
(5873|5890) pub11<-delete_participant
(5873|5881) pub1<-delete_participant
(5873|5891) pub13<-delete_participant
(5873|5888) pub9<-delete_participant
(5873|5883) pub3<-delete_participant
(5873|5882) pub5<-delete_participant
(5873|5893) pub15<-delete_participant
(5873|5892) pub12<-delete_participant
(5873|5884) pub4<-delete_participant
(5873|5887) pub8<-delete_participant
(5873|5894) pub14<-delete_participant
(5873|5886) pub7<-delete_participant
(5873|5889) pub10<-delete_participant
(5873|5885) pub6<-delete_participant
(5873|5880) pub2<-delete_participant
(5873|5879) pub0<-delete_participant
(5873|5873) <- PublisherService::~PublisherService
(5873|5873) <- Subscriber delete_contained_entities
(5873|5873) <- Subscriber delete_participant
(5873|5873) <- Subscriber::~Subscriber
(5873|5873) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl medium rtps durable Time:4s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl high rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 32 -s 32 -n 1024 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 5960 started at 2023-04-28 16:24:43
(5960|5960) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(5960|5960) -> Thrasher started
(5960|5960) -> Subscriber::Subscriber
(5960|5960)    Subscriber reader id: 0103000c.297a35f2.174848d5.00000007(5b3bae9f)
(5960|5960) -> PublisherService::PublisherService
(5960|5960) -> PublisherService::start (32 threads)
(5960|5960) sub wait_received 0:32
(5960|5970) pub5->transport cfg_5
(5960|5966) pub0->transport cfg_0
(5960|5969) pub4->transport cfg_4
(5960|5968) pub1->transport cfg_1
(5960|5982) pub14->transport cfg_14
(5960|5972) pub6->transport cfg_6
(5960|5967) pub2->transport cfg_2
(5960|5987) pub21->transport cfg_21
(5960|5975) pub10->transport cfg_10
(5960|5966) pub0  writer id: 0103000c.297a35f2.174848d6.00000002(6cf120c0)
(5960|5974) pub8->transport cfg_8
(5960|5970) pub5  writer id: 0103000c.297a35f2.174848db.00000002(9461e471)
(5960|5973) pub7->transport cfg_7
(5960|5978) pub12->transport cfg_12
(5960|5996) pub30->transport cfg_30
(5960|5983) pub17->transport cfg_17
(5960|5966) pub0->started
(5960|5976) pub9->transport cfg_9
(5960|5971) pub3->transport cfg_3
(5960|5970) pub5->started
(5960|5993) pub26->transport cfg_26
(5960|5985) pub19->transport cfg_19
(5960|5979) pub16->transport cfg_16
(5960|5980) pub13->transport cfg_13
(5960|5991) pub25->transport cfg_25
(5960|5977) pub11->transport cfg_11
(5960|5990) pub24->transport cfg_24
(5960|5986) pub20->transport cfg_20
(5960|5994) pub28->transport cfg_28
(5960|5970) pub5  12% (4 samples sent)
(5960|5970) pub5  21% (7 samples sent)
(5960|5970) pub5  31% (10 samples sent)
(5960|5970) pub5  40% (13 samples sent)
(5960|5970) pub5  50% (16 samples sent)
(5960|5970) pub5  62% (20 samples sent)
(5960|5970) pub5  71% (23 samples sent)
(5960|5970) pub5  81% (26 samples sent)
(5960|5970) pub5  90% (29 samples sent)
(5960|5970) pub5  100% (32 samples sent)
(5960|5970) pub5->wait_match() before write for 0103000c.297a35f2.174848db.00000002(9461e471)
(5960|5984) pub18->transport cfg_18
(5960|5969) pub4  writer id: 0103000c.297a35f2.174848da.00000002(a901cdc1)
(5960|5969) pub4->started
(5960|5969) pub4  12% (4 samples sent)
(5960|5969) pub4  21% (7 samples sent)
(5960|5969) pub4  31% (10 samples sent)
(5960|5969) pub4  40% (13 samples sent)
(5960|5969) pub4  50% (16 samples sent)
(5960|5969) pub4  62% (20 samples sent)
(5960|5969) pub4  71% (23 samples sent)
(5960|5969) pub4  81% (26 samples sent)
(5960|5969) pub4  90% (29 samples sent)
(5960|5969) pub4  100% (32 samples sent)
(5960|5969) pub4->wait_match() before write for 0103000c.297a35f2.174848da.00000002(a901cdc1)
(5960|5997) pub31->transport cfg_31
(5960|5988) pub22->transport cfg_22
(5960|5992) pub27->transport cfg_27
(5960|5982) pub14  writer id: 0103000c.297a35f2.174848e5.00000002(8a70e296)
(5960|5982) pub14->started
(5960|5982) pub14  12% (4 samples sent)
(5960|5982) pub14  21% (7 samples sent)
(5960|5982) pub14  31% (10 samples sent)
(5960|5982) pub14  40% (13 samples sent)
(5960|5982) pub14  50% (16 samples sent)
(5960|5982) pub14  62% (20 samples sent)
(5960|5982) pub14  71% (23 samples sent)
(5960|5982) pub14  81% (26 samples sent)
(5960|5982) pub14  90% (29 samples sent)
(5960|5982) pub14  100% (32 samples sent)
(5960|5982) pub14->wait_match() before write for 0103000c.297a35f2.174848e5.00000002(8a70e296)
(5960|5989) pub23->transport cfg_23
(5960|5972) pub6  writer id: 0103000c.297a35f2.174848dc.00000002(26413861)
(5960|5972) pub6->started
(5960|5972) pub6  12% (4 samples sent)
(5960|5972) pub6  21% (7 samples sent)
(5960|5972) pub6  31% (10 samples sent)
(5960|5972) pub6  40% (13 samples sent)
(5960|5972) pub6  50% (16 samples sent)
(5960|5972) pub6  62% (20 samples sent)
(5960|5972) pub6  71% (23 samples sent)
(5960|5972) pub6  81% (26 samples sent)
(5960|5972) pub6  90% (29 samples sent)
(5960|5972) pub6  100% (32 samples sent)
(5960|5972) pub6->wait_match() before write for 0103000c.297a35f2.174848dc.00000002(26413861)
(5960|5966) pub0  12% (4 samples sent)
(5960|5966) pub0  21% (7 samples sent)
(5960|5966) pub0  31% (10 samples sent)
(5960|5966) pub0  40% (13 samples sent)
(5960|5966) pub0  50% (16 samples sent)
(5960|5966) pub0  62% (20 samples sent)
(5960|5966) pub0  71% (23 samples sent)
(5960|5966) pub0  81% (26 samples sent)
(5960|5966) pub0  90% (29 samples sent)
(5960|5966) pub0  100% (32 samples sent)
(5960|5966) pub0->wait_match() before write for 0103000c.297a35f2.174848d6.00000002(6cf120c0)
(5960|5995) pub29->transport cfg_29
(5960|5974) pub8  writer id: 0103000c.297a35f2.174848de.00000002(5c816b01)
(5960|5974) pub8->started
(5960|5974) pub8  12% (4 samples sent)
(5960|5974) pub8  21% (7 samples sent)
(5960|5974) pub8  31% (10 samples sent)
(5960|5974) pub8  40% (13 samples sent)
(5960|5974) pub8  50% (16 samples sent)
(5960|5974) pub8  62% (20 samples sent)
(5960|5974) pub8  71% (23 samples sent)
(5960|5974) pub8  81% (26 samples sent)
(5960|5974) pub8  90% (29 samples sent)
(5960|5974) pub8  100% (32 samples sent)
(5960|5974) pub8->wait_match() before write for 0103000c.297a35f2.174848de.00000002(5c816b01)
(5960|5981) pub15->transport cfg_15
(5960|5967) pub2  writer id: 0103000c.297a35f2.174848d8.00000002(d3c19ea1)
(5960|5968) pub1  writer id: 0103000c.297a35f2.174848d7.00000002(51910970)
(5960|5968) pub1->started
(5960|5968) pub1  12% (4 samples sent)
(5960|5968) pub1  21% (7 samples sent)
(5960|5968) pub1  31% (10 samples sent)
(5960|5968) pub1  40% (13 samples sent)
(5960|5968) pub1  50% (16 samples sent)
(5960|5968) pub1  62% (20 samples sent)
(5960|5968) pub1  71% (23 samples sent)
(5960|5978) pub12  writer id: 0103000c.297a35f2.174848e2.00000002(38503e86)
(5960|5978) pub12->started
(5960|5976) pub9  writer id: 0103000c.297a35f2.174848e0.00000002(42906de6)
(5960|5976) pub9->started
(5960|5976) pub9  12% (4 samples sent)
(5960|5976) pub9  21% (7 samples sent)
(5960|5976) pub9  31% (10 samples sent)
(5960|5976) pub9  40% (13 samples sent)
(5960|5976) pub9  50% (16 samples sent)
(5960|5976) pub9  62% (20 samples sent)
(5960|5976) pub9  71% (23 samples sent)
(5960|5976) pub9  81% (26 samples sent)
(5960|5976) pub9  90% (29 samples sent)
(5960|5976) pub9  100% (32 samples sent)
(5960|5976) pub9->wait_match() before write for 0103000c.297a35f2.174848e0.00000002(42906de6)
(5960|5993) pub26  writer id: 0103000c.297a35f2.174848ee.00000002(fda0d387)
(5960|5993) pub26->started
(5960|5993) pub26  12% (4 samples sent)
(5960|5993) pub26  21% (7 samples sent)
(5960|5993) pub26  31% (10 samples sent)
(5960|5993) pub26  40% (13 samples sent)
(5960|5993) pub26  50% (16 samples sent)
(5960|5993) pub26  62% (20 samples sent)
(5960|5993) pub26  71% (23 samples sent)
(5960|5993) pub26  81% (26 samples sent)
(5960|5968) pub1  81% (26 samples sent)
(5960|5968) pub1  90% (29 samples sent)
(5960|5968) pub1  100% (32 samples sent)
(5960|5968) pub1->wait_match() before write for 0103000c.297a35f2.174848d7.00000002(51910970)
(5960|5977) pub11  writer id: 0103000c.297a35f2.174848e1.00000002(7ff04456)
(5960|5977) pub11->started
(5960|5977) pub11  12% (4 samples sent)
(5960|5977) pub11  21% (7 samples sent)
(5960|5977) pub11  31% (10 samples sent)
(5960|5977) pub11  40% (13 samples sent)
(5960|5977) pub11  50% (16 samples sent)
(5960|5977) pub11  62% (20 samples sent)
(5960|5977) pub11  71% (23 samples sent)
(5960|5977) pub11  81% (26 samples sent)
(5960|5977) pub11  90% (29 samples sent)
(5960|5977) pub11  100% (32 samples sent)
(5960|5977) pub11->wait_match() before write for 0103000c.297a35f2.174848e1.00000002(7ff04456)
(5960|5975) pub10  writer id: 0103000c.297a35f2.174848df.00000002(61e142b1)
(5960|5975) pub10->started
(5960|5975) pub10  12% (4 samples sent)
(5960|5975) pub10  21% (7 samples sent)
(5960|5973) pub7  writer id: 0103000c.297a35f2.174848dd.00000002(1b2111d1)
(5960|5973) pub7->started
(5960|5973) pub7  12% (4 samples sent)
(5960|5973) pub7  21% (7 samples sent)
(5960|5973) pub7  31% (10 samples sent)
(5960|5973) pub7  40% (13 samples sent)
(5960|5973) pub7  50% (16 samples sent)
(5960|5973) pub7  62% (20 samples sent)
(5960|5973) pub7  71% (23 samples sent)
(5960|5973) pub7  81% (26 samples sent)
(5960|5973) pub7  90% (29 samples sent)
(5960|5973) pub7  100% (32 samples sent)
(5960|5973) pub7->wait_match() before write for 0103000c.297a35f2.174848dd.00000002(1b2111d1)
(5960|5990) pub24  writer id: 0103000c.297a35f2.174848ef.00000002(c0c0fa37)
(5960|5980) pub13  writer id: 0103000c.297a35f2.174848e3.00000002(05301736)
(5960|5980) pub13->started
(5960|5987) pub21  writer id: 0103000c.297a35f2.174848ed.00000002(ba00a957)
(5960|5987) pub21->started
(5960|5971) pub3  writer id: 0103000c.297a35f2.174848d9.00000002(eea1b711)
(5960|5978) pub12  12% (4 samples sent)
(5960|5978) pub12  21% (7 samples sent)
(5960|5978) pub12  31% (10 samples sent)
(5960|5978) pub12  40% (13 samples sent)
(5960|5978) pub12  50% (16 samples sent)
(5960|5978) pub12  62% (20 samples sent)
(5960|5978) pub12  71% (23 samples sent)
(5960|5978) pub12  81% (26 samples sent)
(5960|5978) pub12  90% (29 samples sent)
(5960|5978) pub12  100% (32 samples sent)
(5960|5978) pub12->wait_match() before write for 0103000c.297a35f2.174848e2.00000002(38503e86)
(5960|5967) pub2->started
(5960|5967) pub2  12% (4 samples sent)
(5960|5967) pub2  21% (7 samples sent)
(5960|5967) pub2  31% (10 samples sent)
(5960|5967) pub2  40% (13 samples sent)
(5960|5967) pub2  50% (16 samples sent)
(5960|5967) pub2  62% (20 samples sent)
(5960|5967) pub2  71% (23 samples sent)
(5960|5967) pub2  81% (26 samples sent)
(5960|5967) pub2  90% (29 samples sent)
(5960|5967) pub2  100% (32 samples sent)
(5960|5967) pub2->wait_match() before write for 0103000c.297a35f2.174848d8.00000002(d3c19ea1)
(5960|5994) pub28  writer id: 0103000c.297a35f2.174848f0.00000002(2270fa64)
(5960|5994) pub28->started
(5960|5994) pub28  12% (4 samples sent)
(5960|5994) pub28  21% (7 samples sent)
(5960|5994) pub28  31% (10 samples sent)
(5960|5994) pub28  40% (13 samples sent)
(5960|5994) pub28  50% (16 samples sent)
(5960|5994) pub28  62% (20 samples sent)
(5960|5994) pub28  71% (23 samples sent)
(5960|5994) pub28  81% (26 samples sent)
(5960|5994) pub28  90% (29 samples sent)
(5960|5994) pub28  100% (32 samples sent)
(5960|5994) pub28->wait_match() before write for 0103000c.297a35f2.174848f0.00000002(2270fa64)
(5960|5996) pub30  writer id: 0103000c.297a35f2.174848ec.00000002(876080e7)
(5960|5993) pub26  90% (29 samples sent)
(5960|5993) pub26  100% (32 samples sent)
(5960|5993) pub26->wait_match() before write for 0103000c.297a35f2.174848ee.00000002(fda0d387)
(5960|5979) pub16  writer id: 0103000c.297a35f2.174848e6.00000002(cdd09846)
(5960|5979) pub16->started
(5960|5979) pub16  12% (4 samples sent)
(5960|5979) pub16  21% (7 samples sent)
(5960|5979) pub16  31% (10 samples sent)
(5960|5986) pub20  writer id: 0103000c.297a35f2.174848ea.00000002(08207547)
(5960|5986) pub20->started
(5960|5986) pub20  12% (4 samples sent)
(5960|5986) pub20  21% (7 samples sent)
(5960|5986) pub20  31% (10 samples sent)
(5960|5986) pub20  40% (13 samples sent)
(5960|5986) pub20  50% (16 samples sent)
(5960|5986) pub20  62% (20 samples sent)
(5960|5990) pub24->started
(5960|5990) pub24  12% (4 samples sent)
(5960|5990) pub24  21% (7 samples sent)
(5960|5990) pub24  31% (10 samples sent)
(5960|5990) pub24  40% (13 samples sent)
(5960|5990) pub24  50% (16 samples sent)
(5960|5990) pub24  62% (20 samples sent)
(5960|5990) pub24  71% (23 samples sent)
(5960|5990) pub24  81% (26 samples sent)
(5960|5990) pub24  90% (29 samples sent)
(5960|5990) pub24  100% (32 samples sent)
(5960|5990) pub24->wait_match() before write for 0103000c.297a35f2.174848ef.00000002(c0c0fa37)
(5960|5980) pub13  12% (4 samples sent)
(5960|5980) pub13  21% (7 samples sent)
(5960|5980) pub13  31% (10 samples sent)
(5960|5980) pub13  40% (13 samples sent)
(5960|5980) pub13  50% (16 samples sent)
(5960|5980) pub13  62% (20 samples sent)
(5960|5980) pub13  71% (23 samples sent)
(5960|5980) pub13  81% (26 samples sent)
(5960|5980) pub13  90% (29 samples sent)
(5960|5980) pub13  100% (32 samples sent)
(5960|5980) pub13->wait_match() before write for 0103000c.297a35f2.174848e3.00000002(05301736)
(5960|5987) pub21  12% (4 samples sent)
(5960|5987) pub21  21% (7 samples sent)
(5960|5987) pub21  31% (10 samples sent)
(5960|5987) pub21  40% (13 samples sent)
(5960|5987) pub21  50% (16 samples sent)
(5960|5987) pub21  62% (20 samples sent)
(5960|5987) pub21  71% (23 samples sent)
(5960|5971) pub3->started
(5960|5971) pub3  12% (4 samples sent)
(5960|5971) pub3  21% (7 samples sent)
(5960|5971) pub3  31% (10 samples sent)
(5960|5971) pub3  40% (13 samples sent)
(5960|5971) pub3  50% (16 samples sent)
(5960|5971) pub3  62% (20 samples sent)
(5960|5971) pub3  71% (23 samples sent)
(5960|5987) pub21  81% (26 samples sent)
(5960|5987) pub21  90% (29 samples sent)
(5960|5987) pub21  100% (32 samples sent)
(5960|5987) pub21->wait_match() before write for 0103000c.297a35f2.174848ed.00000002(ba00a957)
(5960|5984) pub18  writer id: 0103000c.297a35f2.174848e8.00000002(72e02627)
(5960|5984) pub18->started
(5960|5984) pub18  12% (4 samples sent)
(5960|5984) pub18  21% (7 samples sent)
(5960|5984) pub18  31% (10 samples sent)
(5960|5984) pub18  40% (13 samples sent)
(5960|5984) pub18  50% (16 samples sent)
(5960|5984) pub18  62% (20 samples sent)
(5960|5984) pub18  71% (23 samples sent)
(5960|5984) pub18  81% (26 samples sent)
(5960|5984) pub18  90% (29 samples sent)
(5960|5984) pub18  100% (32 samples sent)
(5960|5984) pub18->wait_match() before write for 0103000c.297a35f2.174848e8.00000002(72e02627)
(5960|5997) pub31  writer id: 0103000c.297a35f2.174848f5.00000002(ea907514)
(5960|5997) pub31->started
(5960|5997) pub31  12% (4 samples sent)
(5960|5997) pub31  21% (7 samples sent)
(5960|5997) pub31  31% (10 samples sent)
(5960|5997) pub31  40% (13 samples sent)
(5960|5997) pub31  50% (16 samples sent)
(5960|5997) pub31  62% (20 samples sent)
(5960|5997) pub31  71% (23 samples sent)
(5960|5997) pub31  81% (26 samples sent)
(5960|5997) pub31  90% (29 samples sent)
(5960|5997) pub31  100% (32 samples sent)
(5960|5997) pub31->wait_match() before write for 0103000c.297a35f2.174848f5.00000002(ea907514)
(5960|5979) pub16  40% (13 samples sent)
(5960|5979) pub16  50% (16 samples sent)
(5960|5979) pub16  62% (20 samples sent)
(5960|5979) pub16  71% (23 samples sent)
(5960|5979) pub16  81% (26 samples sent)
(5960|5979) pub16  90% (29 samples sent)
(5960|5979) pub16  100% (32 samples sent)
(5960|5979) pub16->wait_match() before write for 0103000c.297a35f2.174848e6.00000002(cdd09846)
(5960|5975) pub10  31% (10 samples sent)
(5960|5975) pub10  40% (13 samples sent)
(5960|5983) pub17  writer id: 0103000c.297a35f2.174848e7.00000002(f0b0b1f6)
(5960|5983) pub17->started
(5960|5983) pub17  12% (4 samples sent)
(5960|5983) pub17  21% (7 samples sent)
(5960|5983) pub17  31% (10 samples sent)
(5960|5983) pub17  40% (13 samples sent)
(5960|5983) pub17  50% (16 samples sent)
(5960|5983) pub17  62% (20 samples sent)
(5960|5983) pub17  71% (23 samples sent)
(5960|5983) pub17  81% (26 samples sent)
(5960|5983) pub17  90% (29 samples sent)
(5960|5983) pub17  100% (32 samples sent)
(5960|5983) pub17->wait_match() before write for 0103000c.297a35f2.174848e7.00000002(f0b0b1f6)
(5960|5992) pub27  writer id: 0103000c.297a35f2.174848f2.00000002(58b0a904)
(5960|5992) pub27->started
(5960|5992) pub27  12% (4 samples sent)
(5960|5992) pub27  21% (7 samples sent)
(5960|5992) pub27  31% (10 samples sent)
(5960|5992) pub27  40% (13 samples sent)
(5960|5992) pub27  50% (16 samples sent)
(5960|5992) pub27  62% (20 samples sent)
(5960|5992) pub27  71% (23 samples sent)
(5960|5992) pub27  81% (26 samples sent)
(5960|5992) pub27  90% (29 samples sent)
(5960|5992) pub27  100% (32 samples sent)
(5960|5992) pub27->wait_match() before write for 0103000c.297a35f2.174848f2.00000002(58b0a904)
(5960|5985) pub19  writer id: 0103000c.297a35f2.174848e9.00000002(4f800f97)
(5960|5996) pub30->started
(5960|5996) pub30  12% (4 samples sent)
(5960|5996) pub30  21% (7 samples sent)
(5960|5996) pub30  31% (10 samples sent)
(5960|5996) pub30  40% (13 samples sent)
(5960|5996) pub30  50% (16 samples sent)
(5960|5996) pub30  62% (20 samples sent)
(5960|5996) pub30  71% (23 samples sent)
(5960|5996) pub30  81% (26 samples sent)
(5960|5996) pub30  90% (29 samples sent)
(5960|5996) pub30  100% (32 samples sent)
(5960|5996) pub30->wait_match() before write for 0103000c.297a35f2.174848ec.00000002(876080e7)
(5960|5989) pub23  writer id: 0103000c.297a35f2.174848f1.00000002(1f10d3d4)
(5960|5989) pub23->started
(5960|5989) pub23  12% (4 samples sent)
(5960|5989) pub23  21% (7 samples sent)
(5960|5989) pub23  31% (10 samples sent)
(5960|5989) pub23  40% (13 samples sent)
(5960|5989) pub23  50% (16 samples sent)
(5960|5989) pub23  62% (20 samples sent)
(5960|5989) pub23  71% (23 samples sent)
(5960|5989) pub23  81% (26 samples sent)
(5960|5989) pub23  90% (29 samples sent)
(5960|5989) pub23  100% (32 samples sent)
(5960|5989) pub23->wait_match() before write for 0103000c.297a35f2.174848f1.00000002(1f10d3d4)
(5960|5975) pub10  50% (16 samples sent)
(5960|5995) pub29  writer id: 0103000c.297a35f2.174848f3.00000002(65d080b4)
(5960|5995) pub29->started
(5960|5995) pub29  12% (4 samples sent)
(5960|5995) pub29  21% (7 samples sent)
(5960|5995) pub29  31% (10 samples sent)
(5960|5995) pub29  40% (13 samples sent)
(5960|5995) pub29  50% (16 samples sent)
(5960|5971) pub3  81% (26 samples sent)
(5960|5985) pub19->started
(5960|5985) pub19  12% (4 samples sent)
(5960|5985) pub19  21% (7 samples sent)
(5960|5985) pub19  31% (10 samples sent)
(5960|5985) pub19  40% (13 samples sent)
(5960|5985) pub19  50% (16 samples sent)
(5960|5985) pub19  62% (20 samples sent)
(5960|5985) pub19  71% (23 samples sent)
(5960|5985) pub19  81% (26 samples sent)
(5960|5985) pub19  90% (29 samples sent)
(5960|5985) pub19  100% (32 samples sent)
(5960|5985) pub19->wait_match() before write for 0103000c.297a35f2.174848e9.00000002(4f800f97)
(5960|5991) pub25  writer id: 0103000c.297a35f2.174848f4.00000002(d7f05ca4)
(5960|5991) pub25->started
(5960|5991) pub25  12% (4 samples sent)
(5960|5986) pub20  71% (23 samples sent)
(5960|5986) pub20  81% (26 samples sent)
(5960|5986) pub20  90% (29 samples sent)
(5960|5986) pub20  100% (32 samples sent)
(5960|5986) pub20->wait_match() before write for 0103000c.297a35f2.174848ea.00000002(08207547)
(5960|5971) pub3  90% (29 samples sent)
(5960|5988) pub22  writer id: 0103000c.297a35f2.174848eb.00000002(35405cf7)
(5960|5988) pub22->started
(5960|5971) pub3  100% (32 samples sent)
(5960|5971) pub3->wait_match() before write for 0103000c.297a35f2.174848d9.00000002(eea1b711)
(5960|5981) pub15  writer id: 0103000c.297a35f2.174848e4.00000002(b710cb26)
(5960|5981) pub15->started
(5960|5981) pub15  12% (4 samples sent)
(5960|5981) pub15  21% (7 samples sent)
(5960|5981) pub15  31% (10 samples sent)
(5960|5981) pub15  40% (13 samples sent)
(5960|5981) pub15  50% (16 samples sent)
(5960|5981) pub15  62% (20 samples sent)
(5960|5981) pub15  71% (23 samples sent)
(5960|5981) pub15  81% (26 samples sent)
(5960|5981) pub15  90% (29 samples sent)
(5960|5981) pub15  100% (32 samples sent)
(5960|5981) pub15->wait_match() before write for 0103000c.297a35f2.174848e4.00000002(b710cb26)
(5960|5991) pub25  21% (7 samples sent)
(5960|5991) pub25  31% (10 samples sent)
(5960|5991) pub25  40% (13 samples sent)
(5960|5995) pub29  62% (20 samples sent)
(5960|5995) pub29  71% (23 samples sent)
(5960|5995) pub29  81% (26 samples sent)
(5960|5995) pub29  90% (29 samples sent)
(5960|5988) pub22  12% (4 samples sent)
(5960|5995) pub29  100% (32 samples sent)
(5960|5995) pub29->wait_match() before write for 0103000c.297a35f2.174848f3.00000002(65d080b4)
(5960|5988) pub22  21% (7 samples sent)
(5960|5988) pub22  31% (10 samples sent)
(5960|5988) pub22  40% (13 samples sent)
(5960|5988) pub22  50% (16 samples sent)
(5960|5988) pub22  62% (20 samples sent)
(5960|5988) pub22  71% (23 samples sent)
(5960|5988) pub22  81% (26 samples sent)
(5960|5988) pub22  90% (29 samples sent)
(5960|5988) pub22  100% (32 samples sent)
(5960|5988) pub22->wait_match() before write for 0103000c.297a35f2.174848eb.00000002(35405cf7)
(5960|5975) pub10  62% (20 samples sent)
(5960|5991) pub25  50% (16 samples sent)
(5960|5991) pub25  62% (20 samples sent)
(5960|5991) pub25  71% (23 samples sent)
(5960|5991) pub25  81% (26 samples sent)
(5960|5991) pub25  90% (29 samples sent)
(5960|5991) pub25  100% (32 samples sent)
(5960|5991) pub25->wait_match() before write for 0103000c.297a35f2.174848f4.00000002(d7f05ca4)
(5960|5975) pub10  71% (23 samples sent)
(5960|5975) pub10  81% (26 samples sent)
(5960|5975) pub10  90% (29 samples sent)
(5960|5975) pub10  100% (32 samples sent)
(5960|5975) pub10->wait_match() before write for 0103000c.297a35f2.174848df.00000002(61e142b1)
(5960|5984) pub18<-match found! before write for 0103000c.297a35f2.174848e8.00000002(72e02627)
(5960|5984) pub18  waiting for acks
(5960|5984) pub18  waiting for acks returned
(5960|5984) pub18<-delete_contained_entities
(5960|5977) pub11<-match found! before write for 0103000c.297a35f2.174848e1.00000002(7ff04456)
(5960|5977) pub11  waiting for acks
(5960|5984) pub18<-delete_participant
(5960|5995) pub29<-match found! before write for 0103000c.297a35f2.174848f3.00000002(65d080b4)
(5960|5995) pub29  waiting for acks
(5960|5987) pub21<-match found! before write for 0103000c.297a35f2.174848ed.00000002(ba00a957)
(5960|5987) pub21  waiting for acks
(5960|5991) pub25<-match found! before write for 0103000c.297a35f2.174848f4.00000002(d7f05ca4)
(5960|5991) pub25  waiting for acks
(5960|5989) pub23<-match found! before write for 0103000c.297a35f2.174848f1.00000002(1f10d3d4)
(5960|5989) pub23  waiting for acks
(5960|5971) pub3<-match found! before write for 0103000c.297a35f2.174848d9.00000002(eea1b711)
(5960|5971) pub3  waiting for acks
(5960|5985) pub19<-match found! before write for 0103000c.297a35f2.174848e9.00000002(4f800f97)
(5960|5985) pub19  waiting for acks
(5960|5981) pub15<-match found! before write for 0103000c.297a35f2.174848e4.00000002(b710cb26)
(5960|5981) pub15  waiting for acks
(5960|5976) pub9<-match found! before write for 0103000c.297a35f2.174848e0.00000002(42906de6)
(5960|5976) pub9  waiting for acks
(5960|5972) pub6<-match found! before write for 0103000c.297a35f2.174848dc.00000002(26413861)
(5960|5972) pub6  waiting for acks
(5960|5971) pub3  waiting for acks returned
(5960|5971) pub3<-delete_contained_entities
(5960|5974) pub8<-match found! before write for 0103000c.297a35f2.174848de.00000002(5c816b01)
(5960|5974) pub8  waiting for acks
(5960|5986) pub20<-match found! before write for 0103000c.297a35f2.174848ea.00000002(08207547)
(5960|5986) pub20  waiting for acks
(5960|5993) pub26<-match found! before write for 0103000c.297a35f2.174848ee.00000002(fda0d387)
(5960|5993) pub26  waiting for acks
(5960|5969) pub4<-match found! before write for 0103000c.297a35f2.174848da.00000002(a901cdc1)
(5960|5969) pub4  waiting for acks
(5960|5990) pub24<-match found! before write for 0103000c.297a35f2.174848ef.00000002(c0c0fa37)
(5960|5990) pub24  waiting for acks
(5960|5966) pub0<-match found! before write for 0103000c.297a35f2.174848d6.00000002(6cf120c0)
(5960|5966) pub0  waiting for acks
(5960|5977) pub11  waiting for acks returned
(5960|5977) pub11<-delete_contained_entities
(5960|5983) pub17<-match found! before write for 0103000c.297a35f2.174848e7.00000002(f0b0b1f6)
(5960|5983) pub17  waiting for acks
(5960|5979) pub16<-match found! before write for 0103000c.297a35f2.174848e6.00000002(cdd09846)
(5960|5979) pub16  waiting for acks
(5960|5971) pub3<-delete_participant
(5960|5970) pub5<-match found! before write for 0103000c.297a35f2.174848db.00000002(9461e471)
(5960|5970) pub5  waiting for acks
(5960|5980) pub13<-match found! before write for 0103000c.297a35f2.174848e3.00000002(05301736)
(5960|5980) pub13  waiting for acks
(5960|5988) pub22<-match found! before write for 0103000c.297a35f2.174848eb.00000002(35405cf7)
(5960|5988) pub22  waiting for acks
(5960|5969) pub4  waiting for acks returned
(5960|5969) pub4<-delete_contained_entities
(5960|5997) pub31<-match found! before write for 0103000c.297a35f2.174848f5.00000002(ea907514)
(5960|5997) pub31  waiting for acks
(5960|5978) pub12<-match found! before write for 0103000c.297a35f2.174848e2.00000002(38503e86)
(5960|5978) pub12  waiting for acks
(5960|5994) pub28<-match found! before write for 0103000c.297a35f2.174848f0.00000002(2270fa64)
(5960|5994) pub28  waiting for acks
(5960|5977) pub11<-delete_participant
(5960|5983) pub17  waiting for acks returned
(5960|5983) pub17<-delete_contained_entities
(5960|5995) pub29  waiting for acks returned
(5960|5995) pub29<-delete_contained_entities
(5960|5975) pub10<-match found! before write for 0103000c.297a35f2.174848df.00000002(61e142b1)
(5960|5975) pub10  waiting for acks
(5960|5970) pub5  waiting for acks returned
(5960|5970) pub5<-delete_contained_entities
(5960|5992) pub27<-match found! before write for 0103000c.297a35f2.174848f2.00000002(58b0a904)
(5960|5992) pub27  waiting for acks
(5960|5988) pub22  waiting for acks returned
(5960|5988) pub22<-delete_contained_entities
(5960|5972) pub6  waiting for acks returned
(5960|5972) pub6<-delete_contained_entities
(5960|5989) pub23  waiting for acks returned
(5960|5989) pub23<-delete_contained_entities
(5960|5985) pub19  waiting for acks returned
(5960|5985) pub19<-delete_contained_entities
(5960|5974) pub8  waiting for acks returned
(5960|5974) pub8<-delete_contained_entities
(5960|5982) pub14<-match found! before write for 0103000c.297a35f2.174848e5.00000002(8a70e296)
(5960|5982) pub14  waiting for acks
(5960|5987) pub21  waiting for acks returned
(5960|5987) pub21<-delete_contained_entities
(5960|5968) pub1<-match found! before write for 0103000c.297a35f2.174848d7.00000002(51910970)
(5960|5968) pub1  waiting for acks
(5960|5966) pub0  waiting for acks returned
(5960|5966) pub0<-delete_contained_entities
(5960|5986) pub20  waiting for acks returned
(5960|5986) pub20<-delete_contained_entities
(5960|5969) pub4<-delete_participant
(5960|5991) pub25  waiting for acks returned
(5960|5991) pub25<-delete_contained_entities
(5960|5981) pub15  waiting for acks returned
(5960|5981) pub15<-delete_contained_entities
(5960|5976) pub9  waiting for acks returned
(5960|5976) pub9<-delete_contained_entities
(5960|5975) pub10  waiting for acks returned
(5960|5975) pub10<-delete_contained_entities
(5960|5994) pub28  waiting for acks returned
(5960|5994) pub28<-delete_contained_entities
(5960|5967) pub2<-match found! before write for 0103000c.297a35f2.174848d8.00000002(d3c19ea1)
(5960|5967) pub2  waiting for acks
(5960|5996) pub30<-match found! before write for 0103000c.297a35f2.174848ec.00000002(876080e7)
(5960|5996) pub30  waiting for acks
(5960|5983) pub17<-delete_participant
(5960|5995) pub29<-delete_participant
(5960|5973) pub7<-match found! before write for 0103000c.297a35f2.174848dd.00000002(1b2111d1)
(5960|5973) pub7  waiting for acks
(5960|5990) pub24  waiting for acks returned
(5960|5990) pub24<-delete_contained_entities
(5960|5970) pub5<-delete_participant
(5960|5988) pub22<-delete_participant
(5960|5972) pub6<-delete_participant
(5960|5968) pub1  waiting for acks returned
(5960|5968) pub1<-delete_contained_entities
(5960|5993) pub26  waiting for acks returned
(5960|5993) pub26<-delete_contained_entities
(5960|5989) pub23<-delete_participant
(5960|5985) pub19<-delete_participant
(5960|5979) pub16  waiting for acks returned
(5960|5979) pub16<-delete_contained_entities
(5960|5997) pub31  waiting for acks returned
(5960|5997) pub31<-delete_contained_entities
(5960|5974) pub8<-delete_participant
(5960|5965) sub condition_.notify_all
(5960|5960) sub condition_.wait returned
(5960|5960) sub check_received
(5960|5960) sub check_received returns 0
(5960|5960) <- PublisherService::end
(5960|5978) pub12  waiting for acks returned
(5960|5978) pub12<-delete_contained_entities
(5960|5992) pub27  waiting for acks returned
(5960|5992) pub27<-delete_contained_entities
(5960|5980) pub13  waiting for acks returned
(5960|5980) pub13<-delete_contained_entities
(5960|5987) pub21<-delete_participant
(5960|5982) pub14  waiting for acks returned
(5960|5982) pub14<-delete_contained_entities
(5960|5967) pub2  waiting for acks returned
(5960|5967) pub2<-delete_contained_entities
(5960|5966) pub0<-delete_participant
(5960|5996) pub30  waiting for acks returned
(5960|5996) pub30<-delete_contained_entities
(5960|5986) pub20<-delete_participant
(5960|5991) pub25<-delete_participant
(5960|5981) pub15<-delete_participant
(5960|5973) pub7  waiting for acks returned
(5960|5973) pub7<-delete_contained_entities
(5960|5976) pub9<-delete_participant
(5960|5975) pub10<-delete_participant
(5960|5994) pub28<-delete_participant
(5960|5990) pub24<-delete_participant
(5960|5968) pub1<-delete_participant
(5960|5993) pub26<-delete_participant
(5960|5979) pub16<-delete_participant
(5960|5997) pub31<-delete_participant
(5960|5978) pub12<-delete_participant
(5960|5992) pub27<-delete_participant
(5960|5980) pub13<-delete_participant
(5960|5982) pub14<-delete_participant
(5960|5967) pub2<-delete_participant
(5960|5996) pub30<-delete_participant
(5960|5973) pub7<-delete_participant
(5960|5960) <- PublisherService::~PublisherService
(5960|5960) <- Subscriber delete_contained_entities
(5960|5960) <- Subscriber delete_participant
(5960|5960) <- Subscriber::~Subscriber
(5960|5960) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl high rtps durable Time:15s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl aggressive rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 64 -s 16 -n 1024 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 6127 started at 2023-04-28 16:24:58
(6127|6127) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(6127|6127) -> Thrasher started
(6127|6127) -> Subscriber::Subscriber
(6127|6127)    Subscriber reader id: 0103000c.297a35f2.17ef714b.00000007(94748c6b)
(6127|6127) -> PublisherService::PublisherService
(6127|6127) -> PublisherService::start (64 threads)
(6127|6127) sub wait_received 0:64
(6127|6133) pub0->transport cfg_0
(6127|6138) pub4->transport cfg_4
(6127|6134) pub1->transport cfg_1
(6127|6140) pub7->transport cfg_7
(6127|6143) pub10->transport cfg_10
(6127|6135) pub2->transport cfg_2
(6127|6137) pub5->transport cfg_5
(6127|6136) pub3->transport cfg_3
(6127|6141) pub8->transport cfg_8
(6127|6142) pub9->transport cfg_9
(6127|6139) pub6->transport cfg_6
(6127|6145) pub12->transport cfg_12
(6127|6143) pub10  writer id: 0103000c.297a35f2.17ef7156.00000002(7c6e2bd7)
(6127|6143) pub10->started
(6127|6135) pub2  writer id: 0103000c.297a35f2.17ef714e.00000002(2cfef794)
(6127|6135) pub2->started
(6127|6135) pub2  12% (2 samples sent)
(6127|6135) pub2  25% (4 samples sent)
(6127|6135) pub2  31% (5 samples sent)
(6127|6135) pub2  43% (7 samples sent)
(6127|6135) pub2  50% (8 samples sent)
(6127|6135) pub2  62% (10 samples sent)
(6127|6135) pub2  75% (12 samples sent)
(6127|6135) pub2  81% (13 samples sent)
(6127|6135) pub2  93% (15 samples sent)
(6127|6135) pub2  100% (16 samples sent)
(6127|6135) pub2->wait_match() before write for 0103000c.297a35f2.17ef714e.00000002(2cfef794)
(6127|6133) pub0  writer id: 0103000c.297a35f2.17ef714c.00000002(563ea4f4)
(6127|6133) pub0->started
(6127|6133) pub0  12% (2 samples sent)
(6127|6133) pub0  25% (4 samples sent)
(6127|6133) pub0  31% (5 samples sent)
(6127|6133) pub0  43% (7 samples sent)
(6127|6133) pub0  50% (8 samples sent)
(6127|6133) pub0  62% (10 samples sent)
(6127|6133) pub0  75% (12 samples sent)
(6127|6133) pub0  81% (13 samples sent)
(6127|6133) pub0  93% (15 samples sent)
(6127|6133) pub0  100% (16 samples sent)
(6127|6133) pub0->wait_match() before write for 0103000c.297a35f2.17ef714c.00000002(563ea4f4)
(6127|6143) pub10  12% (2 samples sent)
(6127|6143) pub10  25% (4 samples sent)
(6127|6143) pub10  31% (5 samples sent)
(6127|6143) pub10  43% (7 samples sent)
(6127|6142) pub9  writer id: 0103000c.297a35f2.17ef7155.00000002(3bce5107)
(6127|6143) pub10  50% (8 samples sent)
(6127|6143) pub10  62% (10 samples sent)
(6127|6143) pub10  75% (12 samples sent)
(6127|6143) pub10  81% (13 samples sent)
(6127|6143) pub10  93% (15 samples sent)
(6127|6143) pub10  100% (16 samples sent)
(6127|6143) pub10->wait_match() before write for 0103000c.297a35f2.17ef7156.00000002(7c6e2bd7)
(6127|6141) pub8  writer id: 0103000c.297a35f2.17ef7154.00000002(06ae78b7)
(6127|6141) pub8->started
(6127|6142) pub9->started
(6127|6142) pub9  12% (2 samples sent)
(6127|6142) pub9  25% (4 samples sent)
(6127|6142) pub9  31% (5 samples sent)
(6127|6142) pub9  43% (7 samples sent)
(6127|6142) pub9  50% (8 samples sent)
(6127|6142) pub9  62% (10 samples sent)
(6127|6142) pub9  75% (12 samples sent)
(6127|6142) pub9  81% (13 samples sent)
(6127|6142) pub9  93% (15 samples sent)
(6127|6142) pub9  100% (16 samples sent)
(6127|6142) pub9->wait_match() before write for 0103000c.297a35f2.17ef7155.00000002(3bce5107)
(6127|6134) pub1  writer id: 0103000c.297a35f2.17ef714d.00000002(6b5e8d44)
(6127|6134) pub1->started
(6127|6140) pub7  writer id: 0103000c.297a35f2.17ef7153.00000002(b48ea4a7)
(6127|6140) pub7->started
(6127|6140) pub7  12% (2 samples sent)
(6127|6140) pub7  25% (4 samples sent)
(6127|6140) pub7  31% (5 samples sent)
(6127|6140) pub7  43% (7 samples sent)
(6127|6140) pub7  50% (8 samples sent)
(6127|6140) pub7  62% (10 samples sent)
(6127|6140) pub7  75% (12 samples sent)
(6127|6140) pub7  81% (13 samples sent)
(6127|6140) pub7  93% (15 samples sent)
(6127|6140) pub7  100% (16 samples sent)
(6127|6140) pub7->wait_match() before write for 0103000c.297a35f2.17ef7153.00000002(b48ea4a7)
(6127|6134) pub1  12% (2 samples sent)
(6127|6134) pub1  25% (4 samples sent)
(6127|6134) pub1  31% (5 samples sent)
(6127|6134) pub1  43% (7 samples sent)
(6127|6134) pub1  50% (8 samples sent)
(6127|6134) pub1  62% (10 samples sent)
(6127|6134) pub1  75% (12 samples sent)
(6127|6134) pub1  81% (13 samples sent)
(6127|6134) pub1  93% (15 samples sent)
(6127|6134) pub1  100% (16 samples sent)
(6127|6134) pub1->wait_match() before write for 0103000c.297a35f2.17ef714d.00000002(6b5e8d44)
(6127|6141) pub8  12% (2 samples sent)
(6127|6141) pub8  25% (4 samples sent)
(6127|6141) pub8  31% (5 samples sent)
(6127|6141) pub8  43% (7 samples sent)
(6127|6141) pub8  50% (8 samples sent)
(6127|6141) pub8  62% (10 samples sent)
(6127|6141) pub8  75% (12 samples sent)
(6127|6141) pub8  81% (13 samples sent)
(6127|6141) pub8  93% (15 samples sent)
(6127|6141) pub8  100% (16 samples sent)
(6127|6141) pub8->wait_match() before write for 0103000c.297a35f2.17ef7154.00000002(06ae78b7)
(6127|6139) pub6  writer id: 0103000c.297a35f2.17ef7152.00000002(89ee8d17)
(6127|6139) pub6->started
(6127|6139) pub6  12% (2 samples sent)
(6127|6139) pub6  25% (4 samples sent)
(6127|6139) pub6  31% (5 samples sent)
(6127|6139) pub6  43% (7 samples sent)
(6127|6139) pub6  50% (8 samples sent)
(6127|6139) pub6  62% (10 samples sent)
(6127|6139) pub6  75% (12 samples sent)
(6127|6139) pub6  81% (13 samples sent)
(6127|6139) pub6  93% (15 samples sent)
(6127|6139) pub6  100% (16 samples sent)
(6127|6139) pub6->wait_match() before write for 0103000c.297a35f2.17ef7152.00000002(89ee8d17)
(6127|6136) pub3  writer id: 0103000c.297a35f2.17ef714f.00000002(119ede24)
(6127|6136) pub3->started
(6127|6136) pub3  12% (2 samples sent)
(6127|6136) pub3  25% (4 samples sent)
(6127|6136) pub3  31% (5 samples sent)
(6127|6136) pub3  43% (7 samples sent)
(6127|6136) pub3  50% (8 samples sent)
(6127|6136) pub3  62% (10 samples sent)
(6127|6136) pub3  75% (12 samples sent)
(6127|6136) pub3  81% (13 samples sent)
(6127|6136) pub3  93% (15 samples sent)
(6127|6136) pub3  100% (16 samples sent)
(6127|6136) pub3->wait_match() before write for 0103000c.297a35f2.17ef714f.00000002(119ede24)
(6127|6150) pub17->transport cfg_17
(6127|6149) pub16->transport cfg_16
(6127|6138) pub4  writer id: 0103000c.297a35f2.17ef7150.00000002(f32ede77)
(6127|6138) pub4->started
(6127|6138) pub4  12% (2 samples sent)
(6127|6138) pub4  25% (4 samples sent)
(6127|6138) pub4  31% (5 samples sent)
(6127|6138) pub4  43% (7 samples sent)
(6127|6138) pub4  50% (8 samples sent)
(6127|6138) pub4  62% (10 samples sent)
(6127|6138) pub4  75% (12 samples sent)
(6127|6138) pub4  81% (13 samples sent)
(6127|6138) pub4  93% (15 samples sent)
(6127|6138) pub4  100% (16 samples sent)
(6127|6138) pub4->wait_match() before write for 0103000c.297a35f2.17ef7150.00000002(f32ede77)
(6127|6137) pub5  writer id: 0103000c.297a35f2.17ef7151.00000002(ce4ef7c7)
(6127|6137) pub5->started
(6127|6137) pub5  12% (2 samples sent)
(6127|6137) pub5  25% (4 samples sent)
(6127|6137) pub5  31% (5 samples sent)
(6127|6137) pub5  43% (7 samples sent)
(6127|6137) pub5  50% (8 samples sent)
(6127|6137) pub5  62% (10 samples sent)
(6127|6137) pub5  75% (12 samples sent)
(6127|6137) pub5  81% (13 samples sent)
(6127|6137) pub5  93% (15 samples sent)
(6127|6137) pub5  100% (16 samples sent)
(6127|6137) pub5->wait_match() before write for 0103000c.297a35f2.17ef7151.00000002(ce4ef7c7)
(6127|6147) pub14->transport cfg_14
(6127|6145) pub12  writer id: 0103000c.297a35f2.17ef7158.00000002(c35e95b6)
(6127|6145) pub12->started
(6127|6145) pub12  12% (2 samples sent)
(6127|6145) pub12  25% (4 samples sent)
(6127|6145) pub12  31% (5 samples sent)
(6127|6145) pub12  43% (7 samples sent)
(6127|6145) pub12  50% (8 samples sent)
(6127|6145) pub12  62% (10 samples sent)
(6127|6145) pub12  75% (12 samples sent)
(6127|6145) pub12  81% (13 samples sent)
(6127|6145) pub12  93% (15 samples sent)
(6127|6145) pub12  100% (16 samples sent)
(6127|6145) pub12->wait_match() before write for 0103000c.297a35f2.17ef7158.00000002(c35e95b6)
(6127|6150) pub17  writer id: 0103000c.297a35f2.17ef715d.00000002(0bbe1ac6)
(6127|6150) pub17->started
(6127|6150) pub17  12% (2 samples sent)
(6127|6150) pub17  25% (4 samples sent)
(6127|6150) pub17  31% (5 samples sent)
(6127|6150) pub17  43% (7 samples sent)
(6127|6150) pub17  50% (8 samples sent)
(6127|6150) pub17  62% (10 samples sent)
(6127|6150) pub17  75% (12 samples sent)
(6127|6150) pub17  81% (13 samples sent)
(6127|6150) pub17  93% (15 samples sent)
(6127|6150) pub17  100% (16 samples sent)
(6127|6150) pub17->wait_match() before write for 0103000c.297a35f2.17ef715d.00000002(0bbe1ac6)
(6127|6146) pub13->transport cfg_13
(6127|6147) pub14  writer id: 0103000c.297a35f2.17ef7159.00000002(fe3ebc06)
(6127|6147) pub14->started
(6127|6147) pub14  12% (2 samples sent)
(6127|6147) pub14  25% (4 samples sent)
(6127|6147) pub14  31% (5 samples sent)
(6127|6147) pub14  43% (7 samples sent)
(6127|6147) pub14  50% (8 samples sent)
(6127|6147) pub14  62% (10 samples sent)
(6127|6147) pub14  75% (12 samples sent)
(6127|6147) pub14  81% (13 samples sent)
(6127|6147) pub14  93% (15 samples sent)
(6127|6147) pub14  100% (16 samples sent)
(6127|6147) pub14->wait_match() before write for 0103000c.297a35f2.17ef7159.00000002(fe3ebc06)
(6127|6153) pub20->transport cfg_20
(6127|6172) pub38->transport cfg_38
(6127|6144) pub11->transport cfg_11
(6127|6155) pub22->transport cfg_22
(6127|6149) pub16  writer id: 0103000c.297a35f2.17ef715b.00000002(84feef66)
(6127|6149) pub16->started
(6127|6149) pub16  12% (2 samples sent)
(6127|6151) pub18->transport cfg_18
(6127|6149) pub16  25% (4 samples sent)
(6127|6149) pub16  31% (5 samples sent)
(6127|6149) pub16  43% (7 samples sent)
(6127|6149) pub16  50% (8 samples sent)
(6127|6149) pub16  62% (10 samples sent)
(6127|6149) pub16  75% (12 samples sent)
(6127|6149) pub16  81% (13 samples sent)
(6127|6149) pub16  93% (15 samples sent)
(6127|6149) pub16  100% (16 samples sent)
(6127|6149) pub16->wait_match() before write for 0103000c.297a35f2.17ef715b.00000002(84feef66)
(6127|6148) pub15->transport cfg_15
(6127|6146) pub13  writer id: 0103000c.297a35f2.17ef7157.00000002(410e0267)
(6127|6146) pub13->started
(6127|6146) pub13  12% (2 samples sent)
(6127|6146) pub13  25% (4 samples sent)
(6127|6146) pub13  31% (5 samples sent)
(6127|6146) pub13  43% (7 samples sent)
(6127|6146) pub13  50% (8 samples sent)
(6127|6146) pub13  62% (10 samples sent)
(6127|6146) pub13  75% (12 samples sent)
(6127|6146) pub13  81% (13 samples sent)
(6127|6146) pub13  93% (15 samples sent)
(6127|6146) pub13  100% (16 samples sent)
(6127|6146) pub13->wait_match() before write for 0103000c.297a35f2.17ef7157.00000002(410e0267)
(6127|6182) pub45->transport cfg_45
(6127|6192) pub52->transport cfg_52
(6127|6154) pub21->transport cfg_21
(6127|6152) pub19->transport cfg_19
(6127|6172) pub38  writer id: 0103000c.297a35f2.17ef7170.00000002(32eff173)
(6127|6172) pub38->started
(6127|6172) pub38  12% (2 samples sent)
(6127|6172) pub38  25% (4 samples sent)
(6127|6172) pub38  31% (5 samples sent)
(6127|6172) pub38  43% (7 samples sent)
(6127|6172) pub38  50% (8 samples sent)
(6127|6172) pub38  62% (10 samples sent)
(6127|6172) pub38  75% (12 samples sent)
(6127|6172) pub38  81% (13 samples sent)
(6127|6172) pub38  93% (15 samples sent)
(6127|6172) pub38  100% (16 samples sent)
(6127|6172) pub38->wait_match() before write for 0103000c.297a35f2.17ef7170.00000002(32eff173)
(6127|6190) pub51->transport cfg_51
(6127|6205) pub61->transport cfg_61
(6127|6153) pub20  writer id: 0103000c.297a35f2.17ef715f.00000002(717e49a6)
(6127|6153) pub20->started
(6127|6153) pub20  12% (2 samples sent)
(6127|6153) pub20  25% (4 samples sent)
(6127|6153) pub20  31% (5 samples sent)
(6127|6153) pub20  43% (7 samples sent)
(6127|6153) pub20  50% (8 samples sent)
(6127|6153) pub20  62% (10 samples sent)
(6127|6153) pub20  75% (12 samples sent)
(6127|6153) pub20  81% (13 samples sent)
(6127|6153) pub20  93% (15 samples sent)
(6127|6153) pub20  100% (16 samples sent)
(6127|6153) pub20->wait_match() before write for 0103000c.297a35f2.17ef715f.00000002(717e49a6)
(6127|6144) pub11  writer id: 0103000c.297a35f2.17ef715a.00000002(b99ec6d6)
(6127|6155) pub22  writer id: 0103000c.297a35f2.17ef7162.00000002(28cf3591)
(6127|6155) pub22->started
(6127|6155) pub22  12% (2 samples sent)
(6127|6155) pub22  25% (4 samples sent)
(6127|6155) pub22  31% (5 samples sent)
(6127|6155) pub22  43% (7 samples sent)
(6127|6155) pub22  50% (8 samples sent)
(6127|6155) pub22  62% (10 samples sent)
(6127|6155) pub22  75% (12 samples sent)
(6127|6155) pub22  81% (13 samples sent)
(6127|6155) pub22  93% (15 samples sent)
(6127|6155) pub22  100% (16 samples sent)
(6127|6155) pub22->wait_match() before write for 0103000c.297a35f2.17ef7162.00000002(28cf3591)
(6127|6180) pub43->transport cfg_43
(6127|6144) pub11->started
(6127|6144) pub11  12% (2 samples sent)
(6127|6144) pub11  25% (4 samples sent)
(6127|6144) pub11  31% (5 samples sent)
(6127|6144) pub11  43% (7 samples sent)
(6127|6144) pub11  50% (8 samples sent)
(6127|6144) pub11  62% (10 samples sent)
(6127|6144) pub11  75% (12 samples sent)
(6127|6144) pub11  81% (13 samples sent)
(6127|6144) pub11  93% (15 samples sent)
(6127|6144) pub11  100% (16 samples sent)
(6127|6144) pub11->wait_match() before write for 0103000c.297a35f2.17ef715a.00000002(b99ec6d6)
(6127|6171) pub37->transport cfg_37
(6127|6174) pub39->transport cfg_39
(6127|6160) pub27->transport cfg_27
(6127|6192) pub52  writer id: 0103000c.297a35f2.17ef7180.00000002(7adba12e)
(6127|6192) pub52->started
(6127|6192) pub52  12% (2 samples sent)
(6127|6192) pub52  25% (4 samples sent)
(6127|6192) pub52  31% (5 samples sent)
(6127|6192) pub52  43% (7 samples sent)
(6127|6192) pub52  50% (8 samples sent)
(6127|6192) pub52  62% (10 samples sent)
(6127|6192) pub52  75% (12 samples sent)
(6127|6192) pub52  81% (13 samples sent)
(6127|6192) pub52  93% (15 samples sent)
(6127|6192) pub52  100% (16 samples sent)
(6127|6192) pub52->wait_match() before write for 0103000c.297a35f2.17ef7180.00000002(7adba12e)
(6127|6151) pub18  writer id: 0103000c.297a35f2.17ef7160.00000002(520f66f1)
(6127|6151) pub18->started
(6127|6151) pub18  12% (2 samples sent)
(6127|6151) pub18  25% (4 samples sent)
(6127|6151) pub18  31% (5 samples sent)
(6127|6151) pub18  43% (7 samples sent)
(6127|6151) pub18  50% (8 samples sent)
(6127|6151) pub18  62% (10 samples sent)
(6127|6151) pub18  75% (12 samples sent)
(6127|6151) pub18  81% (13 samples sent)
(6127|6151) pub18  93% (15 samples sent)
(6127|6151) pub18  100% (16 samples sent)
(6127|6151) pub18->wait_match() before write for 0103000c.297a35f2.17ef7160.00000002(520f66f1)
(6127|6156) pub23->transport cfg_23
(6127|6194) pub53->transport cfg_53
(6127|6157) pub25->transport cfg_25
(6127|6188) pub48->transport cfg_48
(6127|6202) pub63->transport cfg_63
(6127|6164) pub31->transport cfg_31
(6127|6169) pub35->transport cfg_35
(6127|6162) pub29->transport cfg_29
(6127|6154) pub21  writer id: 0103000c.297a35f2.17ef7161.00000002(6f6f4f41)
(6127|6154) pub21->started
(6127|6154) pub21  12% (2 samples sent)
(6127|6154) pub21  25% (4 samples sent)
(6127|6154) pub21  31% (5 samples sent)
(6127|6154) pub21  43% (7 samples sent)
(6127|6154) pub21  50% (8 samples sent)
(6127|6154) pub21  62% (10 samples sent)
(6127|6154) pub21  75% (12 samples sent)
(6127|6154) pub21  81% (13 samples sent)
(6127|6154) pub21  93% (15 samples sent)
(6127|6154) pub21  100% (16 samples sent)
(6127|6154) pub21->wait_match() before write for 0103000c.297a35f2.17ef7161.00000002(6f6f4f41)
(6127|6167) pub34->transport cfg_34
(6127|6158) pub24->transport cfg_24
(6127|6177) pub40->transport cfg_40
(6127|6152) pub19  writer id: 0103000c.297a35f2.17ef715e.00000002(4c1e6016)
(6127|6152) pub19->started
(6127|6152) pub19  12% (2 samples sent)
(6127|6152) pub19  25% (4 samples sent)
(6127|6152) pub19  31% (5 samples sent)
(6127|6152) pub19  43% (7 samples sent)
(6127|6152) pub19  50% (8 samples sent)
(6127|6152) pub19  62% (10 samples sent)
(6127|6152) pub19  75% (12 samples sent)
(6127|6152) pub19  81% (13 samples sent)
(6127|6152) pub19  93% (15 samples sent)
(6127|6152) pub19  100% (16 samples sent)
(6127|6152) pub19->wait_match() before write for 0103000c.297a35f2.17ef715e.00000002(4c1e6016)
(6127|6163) pub30->transport cfg_30
(6127|6165) pub32->transport cfg_32
(6127|6183) pub46->transport cfg_46
(6127|6205) pub61  writer id: 0103000c.297a35f2.17ef718b.00000002(0d0b903f)
(6127|6205) pub61->started
(6127|6205) pub61  12% (2 samples sent)
(6127|6205) pub61  25% (4 samples sent)
(6127|6205) pub61  31% (5 samples sent)
(6127|6205) pub61  43% (7 samples sent)
(6127|6205) pub61  50% (8 samples sent)
(6127|6205) pub61  62% (10 samples sent)
(6127|6205) pub61  75% (12 samples sent)
(6127|6205) pub61  81% (13 samples sent)
(6127|6205) pub61  93% (15 samples sent)
(6127|6205) pub61  100% (16 samples sent)
(6127|6205) pub61->wait_match() before write for 0103000c.297a35f2.17ef718b.00000002(0d0b903f)
(6127|6148) pub15  writer id: 0103000c.297a35f2.17ef715c.00000002(36de3376)
(6127|6148) pub15->started
(6127|6148) pub15  12% (2 samples sent)
(6127|6148) pub15  25% (4 samples sent)
(6127|6148) pub15  31% (5 samples sent)
(6127|6148) pub15  43% (7 samples sent)
(6127|6148) pub15  50% (8 samples sent)
(6127|6148) pub15  62% (10 samples sent)
(6127|6148) pub15  75% (12 samples sent)
(6127|6148) pub15  81% (13 samples sent)
(6127|6148) pub15  93% (15 samples sent)
(6127|6148) pub15  100% (16 samples sent)
(6127|6148) pub15->wait_match() before write for 0103000c.297a35f2.17ef715c.00000002(36de3376)
(6127|6199) pub57->transport cfg_57
(6127|6161) pub28->transport cfg_28
(6127|6189) pub50->transport cfg_50
(6127|6187) pub49->transport cfg_49
(6127|6198) pub56->transport cfg_56
(6127|6166) pub33->transport cfg_33
(6127|6186) pub47->transport cfg_47
(6127|6179) pub42->transport cfg_42
(6127|6190) pub51  writer id: 0103000c.297a35f2.17ef717f.00000002(b0bf66a2)
(6127|6190) pub51->started
(6127|6190) pub51  12% (2 samples sent)
(6127|6190) pub51  25% (4 samples sent)
(6127|6190) pub51  31% (5 samples sent)
(6127|6190) pub51  43% (7 samples sent)
(6127|6190) pub51  50% (8 samples sent)
(6127|6190) pub51  62% (10 samples sent)
(6127|6190) pub51  75% (12 samples sent)
(6127|6190) pub51  81% (13 samples sent)
(6127|6190) pub51  93% (15 samples sent)
(6127|6190) pub51  100% (16 samples sent)
(6127|6190) pub51->wait_match() before write for 0103000c.297a35f2.17ef717f.00000002(b0bf66a2)
(6127|6159) pub26->transport cfg_26
(6127|6178) pub41->transport cfg_41
(6127|6170) pub36->transport cfg_36
(6127|6182) pub45  writer id: 0103000c.297a35f2.17ef7178.00000002(029fbab2)
(6127|6182) pub45->started
(6127|6182) pub45  12% (2 samples sent)
(6127|6182) pub45  25% (4 samples sent)
(6127|6182) pub45  31% (5 samples sent)
(6127|6182) pub45  43% (7 samples sent)
(6127|6182) pub45  50% (8 samples sent)
(6127|6182) pub45  62% (10 samples sent)
(6127|6182) pub45  75% (12 samples sent)
(6127|6182) pub45  81% (13 samples sent)
(6127|6182) pub45  93% (15 samples sent)
(6127|6181) pub44->transport cfg_44
(6127|6171) pub37  writer id: 0103000c.297a35f2.17ef7171.00000002(0f8fd8c3)
(6127|6171) pub37->started
(6127|6171) pub37  12% (2 samples sent)
(6127|6171) pub37  25% (4 samples sent)
(6127|6171) pub37  31% (5 samples sent)
(6127|6171) pub37  43% (7 samples sent)
(6127|6171) pub37  50% (8 samples sent)
(6127|6171) pub37  62% (10 samples sent)
(6127|6171) pub37  75% (12 samples sent)
(6127|6171) pub37  81% (13 samples sent)
(6127|6171) pub37  93% (15 samples sent)
(6127|6171) pub37  100% (16 samples sent)
(6127|6171) pub37->wait_match() before write for 0103000c.297a35f2.17ef7171.00000002(0f8fd8c3)
(6127|6180) pub43  writer id: 0103000c.297a35f2.17ef7177.00000002(80cf2d63)
(6127|6203) pub62->transport cfg_62
(6127|6174) pub39  writer id: 0103000c.297a35f2.17ef7173.00000002(754f8ba3)
(6127|6174) pub39->started
(6127|6174) pub39  12% (2 samples sent)
(6127|6174) pub39  25% (4 samples sent)
(6127|6174) pub39  31% (5 samples sent)
(6127|6174) pub39  43% (7 samples sent)
(6127|6174) pub39  50% (8 samples sent)
(6127|6174) pub39  62% (10 samples sent)
(6127|6174) pub39  75% (12 samples sent)
(6127|6174) pub39  81% (13 samples sent)
(6127|6174) pub39  93% (15 samples sent)
(6127|6174) pub39  100% (16 samples sent)
(6127|6174) pub39->wait_match() before write for 0103000c.297a35f2.17ef7173.00000002(754f8ba3)
(6127|6160) pub27  writer id: 0103000c.297a35f2.17ef7167.00000002(e02fbae1)
(6127|6160) pub27->started
(6127|6200) pub58->transport cfg_58
(6127|6197) pub55->transport cfg_55
(6127|6204) pub60->transport cfg_60
(6127|6201) pub59->transport cfg_59
(6127|6196) pub54->transport cfg_54
(6127|6182) pub45  100% (16 samples sent)
(6127|6182) pub45->wait_match() before write for 0103000c.297a35f2.17ef7178.00000002(029fbab2)
(6127|6156) pub23  writer id: 0103000c.297a35f2.17ef7163.00000002(15af1c21)
(6127|6156) pub23->started
(6127|6156) pub23  12% (2 samples sent)
(6127|6156) pub23  25% (4 samples sent)
(6127|6156) pub23  31% (5 samples sent)
(6127|6156) pub23  43% (7 samples sent)
(6127|6156) pub23  50% (8 samples sent)
(6127|6156) pub23  62% (10 samples sent)
(6127|6156) pub23  75% (12 samples sent)
(6127|6156) pub23  81% (13 samples sent)
(6127|6156) pub23  93% (15 samples sent)
(6127|6156) pub23  100% (16 samples sent)
(6127|6156) pub23->wait_match() before write for 0103000c.297a35f2.17ef7163.00000002(15af1c21)
(6127|6180) pub43->started
(6127|6180) pub43  12% (2 samples sent)
(6127|6180) pub43  25% (4 samples sent)
(6127|6180) pub43  31% (5 samples sent)
(6127|6180) pub43  43% (7 samples sent)
(6127|6180) pub43  50% (8 samples sent)
(6127|6180) pub43  62% (10 samples sent)
(6127|6180) pub43  75% (12 samples sent)
(6127|6180) pub43  81% (13 samples sent)
(6127|6180) pub43  93% (15 samples sent)
(6127|6180) pub43  100% (16 samples sent)
(6127|6180) pub43->wait_match() before write for 0103000c.297a35f2.17ef7177.00000002(80cf2d63)
(6127|6194) pub53  writer id: 0103000c.297a35f2.17ef7181.00000002(47bb889e)
(6127|6194) pub53->started
(6127|6194) pub53  12% (2 samples sent)
(6127|6194) pub53  25% (4 samples sent)
(6127|6194) pub53  31% (5 samples sent)
(6127|6194) pub53  43% (7 samples sent)
(6127|6194) pub53  50% (8 samples sent)
(6127|6194) pub53  62% (10 samples sent)
(6127|6194) pub53  75% (12 samples sent)
(6127|6194) pub53  81% (13 samples sent)
(6127|6194) pub53  93% (15 samples sent)
(6127|6194) pub53  100% (16 samples sent)
(6127|6194) pub53->wait_match() before write for 0103000c.297a35f2.17ef7181.00000002(47bb889e)
(6127|6169) pub35  writer id: 0103000c.297a35f2.17ef716d.00000002(aa9fa240)
(6127|6169) pub35->started
(6127|6169) pub35  12% (2 samples sent)
(6127|6169) pub35  25% (4 samples sent)
(6127|6169) pub35  31% (5 samples sent)
(6127|6169) pub35  43% (7 samples sent)
(6127|6169) pub35  50% (8 samples sent)
(6127|6169) pub35  62% (10 samples sent)
(6127|6169) pub35  75% (12 samples sent)
(6127|6169) pub35  81% (13 samples sent)
(6127|6169) pub35  93% (15 samples sent)
(6127|6169) pub35  100% (16 samples sent)
(6127|6169) pub35->wait_match() before write for 0103000c.297a35f2.17ef716d.00000002(aa9fa240)
(6127|6164) pub31  writer id: 0103000c.297a35f2.17ef7169.00000002(5f1f0480)
(6127|6164) pub31->started
(6127|6164) pub31  12% (2 samples sent)
(6127|6164) pub31  25% (4 samples sent)
(6127|6164) pub31  31% (5 samples sent)
(6127|6164) pub31  43% (7 samples sent)
(6127|6164) pub31  50% (8 samples sent)
(6127|6160) pub27  12% (2 samples sent)
(6127|6160) pub27  25% (4 samples sent)
(6127|6160) pub27  31% (5 samples sent)
(6127|6160) pub27  43% (7 samples sent)
(6127|6160) pub27  50% (8 samples sent)
(6127|6160) pub27  62% (10 samples sent)
(6127|6160) pub27  75% (12 samples sent)
(6127|6160) pub27  81% (13 samples sent)
(6127|6160) pub27  93% (15 samples sent)
(6127|6160) pub27  100% (16 samples sent)
(6127|6160) pub27->wait_match() before write for 0103000c.297a35f2.17ef7167.00000002(e02fbae1)
(6127|6202) pub63  writer id: 0103000c.297a35f2.17ef718a.00000002(306bb98f)
(6127|6202) pub63->started
(6127|6202) pub63  12% (2 samples sent)
(6127|6202) pub63  25% (4 samples sent)
(6127|6202) pub63  31% (5 samples sent)
(6127|6202) pub63  43% (7 samples sent)
(6127|6202) pub63  50% (8 samples sent)
(6127|6202) pub63  62% (10 samples sent)
(6127|6202) pub63  75% (12 samples sent)
(6127|6202) pub63  81% (13 samples sent)
(6127|6202) pub63  93% (15 samples sent)
(6127|6202) pub63  100% (16 samples sent)
(6127|6202) pub63->wait_match() before write for 0103000c.297a35f2.17ef718a.00000002(306bb98f)
(6127|6158) pub24  writer id: 0103000c.297a35f2.17ef7166.00000002(dd4f9351)
(6127|6158) pub24->started
(6127|6158) pub24  12% (2 samples sent)
(6127|6158) pub24  25% (4 samples sent)
(6127|6157) pub25  writer id: 0103000c.297a35f2.17ef7164.00000002(a78fc031)
(6127|6157) pub25->started
(6127|6157) pub25  12% (2 samples sent)
(6127|6157) pub25  25% (4 samples sent)
(6127|6157) pub25  31% (5 samples sent)
(6127|6157) pub25  43% (7 samples sent)
(6127|6157) pub25  50% (8 samples sent)
(6127|6157) pub25  62% (10 samples sent)
(6127|6157) pub25  75% (12 samples sent)
(6127|6157) pub25  81% (13 samples sent)
(6127|6157) pub25  93% (15 samples sent)
(6127|6157) pub25  100% (16 samples sent)
(6127|6157) pub25->wait_match() before write for 0103000c.297a35f2.17ef7164.00000002(a78fc031)
(6127|6188) pub48  writer id: 0103000c.297a35f2.17ef717c.00000002(f71f1c72)
(6127|6188) pub48->started
(6127|6188) pub48  12% (2 samples sent)
(6127|6188) pub48  25% (4 samples sent)
(6127|6188) pub48  31% (5 samples sent)
(6127|6188) pub48  43% (7 samples sent)
(6127|6188) pub48  50% (8 samples sent)
(6127|6188) pub48  62% (10 samples sent)
(6127|6188) pub48  75% (12 samples sent)
(6127|6188) pub48  81% (13 samples sent)
(6127|6188) pub48  93% (15 samples sent)
(6127|6188) pub48  100% (16 samples sent)
(6127|6188) pub48->wait_match() before write for 0103000c.297a35f2.17ef717c.00000002(f71f1c72)
(6127|6177) pub40  writer id: 0103000c.297a35f2.17ef7175.00000002(fa0f7e03)
(6127|6177) pub40->started
(6127|6163) pub30  writer id: 0103000c.297a35f2.17ef716c.00000002(97ff8bf0)
(6127|6163) pub30->started
(6127|6163) pub30  12% (2 samples sent)
(6127|6163) pub30  25% (4 samples sent)
(6127|6163) pub30  31% (5 samples sent)
(6127|6163) pub30  43% (7 samples sent)
(6127|6163) pub30  50% (8 samples sent)
(6127|6162) pub29  writer id: 0103000c.297a35f2.17ef7168.00000002(627f2d30)
(6127|6162) pub29->started
(6127|6162) pub29  12% (2 samples sent)
(6127|6162) pub29  25% (4 samples sent)
(6127|6162) pub29  31% (5 samples sent)
(6127|6199) pub57  writer id: 0103000c.297a35f2.17ef7183.00000002(3d7bdbfe)
(6127|6199) pub57->started
(6127|6199) pub57  12% (2 samples sent)
(6127|6199) pub57  25% (4 samples sent)
(6127|6199) pub57  31% (5 samples sent)
(6127|6199) pub57  43% (7 samples sent)
(6127|6199) pub57  50% (8 samples sent)
(6127|6199) pub57  62% (10 samples sent)
(6127|6199) pub57  75% (12 samples sent)
(6127|6199) pub57  81% (13 samples sent)
(6127|6199) pub57  93% (15 samples sent)
(6127|6199) pub57  100% (16 samples sent)
(6127|6199) pub57->wait_match() before write for 0103000c.297a35f2.17ef7183.00000002(3d7bdbfe)
(6127|6165) pub32  writer id: 0103000c.297a35f2.17ef716b.00000002(25df57e0)
(6127|6165) pub32->started
(6127|6165) pub32  12% (2 samples sent)
(6127|6165) pub32  25% (4 samples sent)
(6127|6165) pub32  31% (5 samples sent)
(6127|6165) pub32  43% (7 samples sent)
(6127|6165) pub32  50% (8 samples sent)
(6127|6165) pub32  62% (10 samples sent)
(6127|6165) pub32  75% (12 samples sent)
(6127|6165) pub32  81% (13 samples sent)
(6127|6165) pub32  93% (15 samples sent)
(6127|6165) pub32  100% (16 samples sent)
(6127|6165) pub32->wait_match() before write for 0103000c.297a35f2.17ef716b.00000002(25df57e0)
(6127|6179) pub42  writer id: 0103000c.297a35f2.17ef7176.00000002(bdaf04d3)
(6127|6179) pub42->started
(6127|6179) pub42  12% (2 samples sent)
(6127|6179) pub42  25% (4 samples sent)
(6127|6179) pub42  31% (5 samples sent)
(6127|6179) pub42  43% (7 samples sent)
(6127|6179) pub42  50% (8 samples sent)
(6127|6179) pub42  62% (10 samples sent)
(6127|6179) pub42  75% (12 samples sent)
(6127|6179) pub42  81% (13 samples sent)
(6127|6179) pub42  93% (15 samples sent)
(6127|6179) pub42  100% (16 samples sent)
(6127|6179) pub42->wait_match() before write for 0103000c.297a35f2.17ef7176.00000002(bdaf04d3)
(6127|6163) pub30  62% (10 samples sent)
(6127|6163) pub30  75% (12 samples sent)
(6127|6163) pub30  81% (13 samples sent)
(6127|6163) pub30  93% (15 samples sent)
(6127|6163) pub30  100% (16 samples sent)
(6127|6163) pub30->wait_match() before write for 0103000c.297a35f2.17ef716c.00000002(97ff8bf0)
(6127|6187) pub49  writer id: 0103000c.297a35f2.17ef717d.00000002(ca7f35c2)
(6127|6187) pub49->started
(6127|6187) pub49  12% (2 samples sent)
(6127|6187) pub49  25% (4 samples sent)
(6127|6187) pub49  31% (5 samples sent)
(6127|6187) pub49  43% (7 samples sent)
(6127|6187) pub49  50% (8 samples sent)
(6127|6187) pub49  62% (10 samples sent)
(6127|6187) pub49  75% (12 samples sent)
(6127|6187) pub49  81% (13 samples sent)
(6127|6187) pub49  93% (15 samples sent)
(6127|6187) pub49  100% (16 samples sent)
(6127|6187) pub49->wait_match() before write for 0103000c.297a35f2.17ef717d.00000002(ca7f35c2)
(6127|6189) pub50  writer id: 0103000c.297a35f2.17ef717e.00000002(8ddf4f12)
(6127|6189) pub50->started
(6127|6189) pub50  12% (2 samples sent)
(6127|6158) pub24  31% (5 samples sent)
(6127|6158) pub24  43% (7 samples sent)
(6127|6158) pub24  50% (8 samples sent)
(6127|6158) pub24  62% (10 samples sent)
(6127|6158) pub24  75% (12 samples sent)
(6127|6158) pub24  81% (13 samples sent)
(6127|6158) pub24  93% (15 samples sent)
(6127|6158) pub24  100% (16 samples sent)
(6127|6158) pub24->wait_match() before write for 0103000c.297a35f2.17ef7166.00000002(dd4f9351)
(6127|6162) pub29  43% (7 samples sent)
(6127|6162) pub29  50% (8 samples sent)
(6127|6162) pub29  62% (10 samples sent)
(6127|6162) pub29  75% (12 samples sent)
(6127|6162) pub29  81% (13 samples sent)
(6127|6162) pub29  93% (15 samples sent)
(6127|6162) pub29  100% (16 samples sent)
(6127|6162) pub29->wait_match() before write for 0103000c.297a35f2.17ef7168.00000002(627f2d30)
(6127|6178) pub41  writer id: 0103000c.297a35f2.17ef7174.00000002(c76f57b3)
(6127|6178) pub41->started
(6127|6178) pub41  12% (2 samples sent)
(6127|6178) pub41  25% (4 samples sent)
(6127|6178) pub41  31% (5 samples sent)
(6127|6178) pub41  43% (7 samples sent)
(6127|6178) pub41  50% (8 samples sent)
(6127|6178) pub41  62% (10 samples sent)
(6127|6178) pub41  75% (12 samples sent)
(6127|6178) pub41  81% (13 samples sent)
(6127|6178) pub41  93% (15 samples sent)
(6127|6178) pub41  100% (16 samples sent)
(6127|6178) pub41->wait_match() before write for 0103000c.297a35f2.17ef7174.00000002(c76f57b3)
(6127|6183) pub46  writer id: 0103000c.297a35f2.17ef717a.00000002(785fe9d2)
(6127|6183) pub46->started
(6127|6183) pub46  12% (2 samples sent)
(6127|6183) pub46  25% (4 samples sent)
(6127|6183) pub46  31% (5 samples sent)
(6127|6183) pub46  43% (7 samples sent)
(6127|6183) pub46  50% (8 samples sent)
(6127|6183) pub46  62% (10 samples sent)
(6127|6177) pub40  12% (2 samples sent)
(6127|6164) pub31  62% (10 samples sent)
(6127|6177) pub40  25% (4 samples sent)
(6127|6203) pub62  writer id: 0103000c.297a35f2.17ef7189.00000002(77cbc35f)
(6127|6161) pub28  writer id: 0103000c.297a35f2.17ef716a.00000002(18bf7e50)
(6127|6161) pub28->started
(6127|6161) pub28  12% (2 samples sent)
(6127|6161) pub28  25% (4 samples sent)
(6127|6161) pub28  31% (5 samples sent)
(6127|6161) pub28  43% (7 samples sent)
(6127|6161) pub28  50% (8 samples sent)
(6127|6161) pub28  62% (10 samples sent)
(6127|6161) pub28  75% (12 samples sent)
(6127|6161) pub28  81% (13 samples sent)
(6127|6161) pub28  93% (15 samples sent)
(6127|6161) pub28  100% (16 samples sent)
(6127|6161) pub28->wait_match() before write for 0103000c.297a35f2.17ef716a.00000002(18bf7e50)
(6127|6170) pub36  writer id: 0103000c.297a35f2.17ef7172.00000002(482fa213)
(6127|6170) pub36->started
(6127|6170) pub36  12% (2 samples sent)
(6127|6170) pub36  25% (4 samples sent)
(6127|6170) pub36  31% (5 samples sent)
(6127|6170) pub36  43% (7 samples sent)
(6127|6170) pub36  50% (8 samples sent)
(6127|6170) pub36  62% (10 samples sent)
(6127|6170) pub36  75% (12 samples sent)
(6127|6170) pub36  81% (13 samples sent)
(6127|6170) pub36  93% (15 samples sent)
(6127|6170) pub36  100% (16 samples sent)
(6127|6170) pub36->wait_match() before write for 0103000c.297a35f2.17ef7172.00000002(482fa213)
(6127|6198) pub56  writer id: 0103000c.297a35f2.17ef7186.00000002(f59b548e)
(6127|6198) pub56->started
(6127|6198) pub56  12% (2 samples sent)
(6127|6198) pub56  25% (4 samples sent)
(6127|6198) pub56  31% (5 samples sent)
(6127|6198) pub56  43% (7 samples sent)
(6127|6198) pub56  50% (8 samples sent)
(6127|6198) pub56  62% (10 samples sent)
(6127|6198) pub56  75% (12 samples sent)
(6127|6198) pub56  81% (13 samples sent)
(6127|6198) pub56  93% (15 samples sent)
(6127|6198) pub56  100% (16 samples sent)
(6127|6198) pub56->wait_match() before write for 0103000c.297a35f2.17ef7186.00000002(f59b548e)
(6127|6166) pub33  writer id: 0103000c.297a35f2.17ef716e.00000002(ed3fd890)
(6127|6166) pub33->started
(6127|6166) pub33  12% (2 samples sent)
(6127|6166) pub33  25% (4 samples sent)
(6127|6166) pub33  31% (5 samples sent)
(6127|6166) pub33  43% (7 samples sent)
(6127|6166) pub33  50% (8 samples sent)
(6127|6166) pub33  62% (10 samples sent)
(6127|6166) pub33  75% (12 samples sent)
(6127|6166) pub33  81% (13 samples sent)
(6127|6166) pub33  93% (15 samples sent)
(6127|6166) pub33  100% (16 samples sent)
(6127|6166) pub33->wait_match() before write for 0103000c.297a35f2.17ef716e.00000002(ed3fd890)
(6127|6189) pub50  25% (4 samples sent)
(6127|6189) pub50  31% (5 samples sent)
(6127|6189) pub50  43% (7 samples sent)
(6127|6189) pub50  50% (8 samples sent)
(6127|6189) pub50  62% (10 samples sent)
(6127|6189) pub50  75% (12 samples sent)
(6127|6189) pub50  81% (13 samples sent)
(6127|6189) pub50  93% (15 samples sent)
(6127|6189) pub50  100% (16 samples sent)
(6127|6189) pub50->wait_match() before write for 0103000c.297a35f2.17ef717e.00000002(8ddf4f12)
(6127|6167) pub34  writer id: 0103000c.297a35f2.17ef716f.00000002(d05ff120)
(6127|6167) pub34->started
(6127|6167) pub34  12% (2 samples sent)
(6127|6167) pub34  25% (4 samples sent)
(6127|6204) pub60  writer id: 0103000c.297a35f2.17ef7188.00000002(4aabeaef)
(6127|6204) pub60->started
(6127|6167) pub34  31% (5 samples sent)
(6127|6167) pub34  43% (7 samples sent)
(6127|6204) pub60  12% (2 samples sent)
(6127|6167) pub34  50% (8 samples sent)
(6127|6204) pub60  25% (4 samples sent)
(6127|6167) pub34  62% (10 samples sent)
(6127|6204) pub60  31% (5 samples sent)
(6127|6167) pub34  75% (12 samples sent)
(6127|6204) pub60  43% (7 samples sent)
(6127|6167) pub34  81% (13 samples sent)
(6127|6204) pub60  50% (8 samples sent)
(6127|6167) pub34  93% (15 samples sent)
(6127|6204) pub60  62% (10 samples sent)
(6127|6167) pub34  100% (16 samples sent)
(6127|6167) pub34->wait_match() before write for 0103000c.297a35f2.17ef716f.00000002(d05ff120)
(6127|6204) pub60  75% (12 samples sent)
(6127|6204) pub60  81% (13 samples sent)
(6127|6204) pub60  93% (15 samples sent)
(6127|6204) pub60  100% (16 samples sent)
(6127|6204) pub60->wait_match() before write for 0103000c.297a35f2.17ef7188.00000002(4aabeaef)
(6127|6181) pub44  writer id: 0103000c.297a35f2.17ef7179.00000002(3fff9302)
(6127|6181) pub44->started
(6127|6181) pub44  12% (2 samples sent)
(6127|6181) pub44  25% (4 samples sent)
(6127|6181) pub44  31% (5 samples sent)
(6127|6181) pub44  43% (7 samples sent)
(6127|6181) pub44  50% (8 samples sent)
(6127|6181) pub44  62% (10 samples sent)
(6127|6181) pub44  75% (12 samples sent)
(6127|6183) pub46  75% (12 samples sent)
(6127|6183) pub46  81% (13 samples sent)
(6127|6183) pub46  93% (15 samples sent)
(6127|6183) pub46  100% (16 samples sent)
(6127|6183) pub46->wait_match() before write for 0103000c.297a35f2.17ef717a.00000002(785fe9d2)
(6127|6164) pub31  75% (12 samples sent)
(6127|6164) pub31  81% (13 samples sent)
(6127|6164) pub31  93% (15 samples sent)
(6127|6164) pub31  100% (16 samples sent)
(6127|6164) pub31->wait_match() before write for 0103000c.297a35f2.17ef7169.00000002(5f1f0480)
(6127|6186) pub47  writer id: 0103000c.297a35f2.17ef717b.00000002(453fc062)
(6127|6186) pub47->started
(6127|6186) pub47  12% (2 samples sent)
(6127|6203) pub62->started
(6127|6203) pub62  12% (2 samples sent)
(6127|6203) pub62  25% (4 samples sent)
(6127|6203) pub62  31% (5 samples sent)
(6127|6203) pub62  43% (7 samples sent)
(6127|6203) pub62  50% (8 samples sent)
(6127|6203) pub62  62% (10 samples sent)
(6127|6203) pub62  75% (12 samples sent)
(6127|6203) pub62  81% (13 samples sent)
(6127|6203) pub62  93% (15 samples sent)
(6127|6203) pub62  100% (16 samples sent)
(6127|6203) pub62->wait_match() before write for 0103000c.297a35f2.17ef7189.00000002(77cbc35f)
(6127|6201) pub59  writer id: 0103000c.297a35f2.17ef7187.00000002(c8fb7d3e)
(6127|6201) pub59->started
(6127|6181) pub44  81% (13 samples sent)
(6127|6181) pub44  93% (15 samples sent)
(6127|6181) pub44  100% (16 samples sent)
(6127|6181) pub44->wait_match() before write for 0103000c.297a35f2.17ef7179.00000002(3fff9302)
(6127|6159) pub26  writer id: 0103000c.297a35f2.17ef7165.00000002(9aefe981)
(6127|6159) pub26->started
(6127|6159) pub26  12% (2 samples sent)
(6127|6159) pub26  25% (4 samples sent)
(6127|6159) pub26  31% (5 samples sent)
(6127|6159) pub26  43% (7 samples sent)
(6127|6159) pub26  50% (8 samples sent)
(6127|6159) pub26  62% (10 samples sent)
(6127|6159) pub26  75% (12 samples sent)
(6127|6159) pub26  81% (13 samples sent)
(6127|6159) pub26  93% (15 samples sent)
(6127|6159) pub26  100% (16 samples sent)
(6127|6159) pub26->wait_match() before write for 0103000c.297a35f2.17ef7165.00000002(9aefe981)
(6127|6177) pub40  31% (5 samples sent)
(6127|6177) pub40  43% (7 samples sent)
(6127|6177) pub40  50% (8 samples sent)
(6127|6177) pub40  62% (10 samples sent)
(6127|6177) pub40  75% (12 samples sent)
(6127|6177) pub40  81% (13 samples sent)
(6127|6177) pub40  93% (15 samples sent)
(6127|6177) pub40  100% (16 samples sent)
(6127|6177) pub40->wait_match() before write for 0103000c.297a35f2.17ef7175.00000002(fa0f7e03)
(6127|6186) pub47  25% (4 samples sent)
(6127|6196) pub54  writer id: 0103000c.297a35f2.17ef7182.00000002(001bf24e)
(6127|6196) pub54->started
(6127|6186) pub47  31% (5 samples sent)
(6127|6186) pub47  43% (7 samples sent)
(6127|6196) pub54  12% (2 samples sent)
(6127|6186) pub47  50% (8 samples sent)
(6127|6196) pub54  25% (4 samples sent)
(6127|6186) pub47  62% (10 samples sent)
(6127|6196) pub54  31% (5 samples sent)
(6127|6186) pub47  75% (12 samples sent)
(6127|6196) pub54  43% (7 samples sent)
(6127|6186) pub47  81% (13 samples sent)
(6127|6196) pub54  50% (8 samples sent)
(6127|6186) pub47  93% (15 samples sent)
(6127|6196) pub54  62% (10 samples sent)
(6127|6186) pub47  100% (16 samples sent)
(6127|6186) pub47->wait_match() before write for 0103000c.297a35f2.17ef717b.00000002(453fc062)
(6127|6196) pub54  75% (12 samples sent)
(6127|6197) pub55  writer id: 0103000c.297a35f2.17ef7184.00000002(8f5b07ee)
(6127|6197) pub55->started
(6127|6197) pub55  12% (2 samples sent)
(6127|6197) pub55  25% (4 samples sent)
(6127|6197) pub55  31% (5 samples sent)
(6127|6197) pub55  43% (7 samples sent)
(6127|6197) pub55  50% (8 samples sent)
(6127|6197) pub55  62% (10 samples sent)
(6127|6197) pub55  75% (12 samples sent)
(6127|6197) pub55  81% (13 samples sent)
(6127|6197) pub55  93% (15 samples sent)
(6127|6197) pub55  100% (16 samples sent)
(6127|6197) pub55->wait_match() before write for 0103000c.297a35f2.17ef7184.00000002(8f5b07ee)
(6127|6196) pub54  81% (13 samples sent)
(6127|6196) pub54  93% (15 samples sent)
(6127|6196) pub54  100% (16 samples sent)
(6127|6196) pub54->wait_match() before write for 0103000c.297a35f2.17ef7182.00000002(001bf24e)
(6127|6201) pub59  12% (2 samples sent)
(6127|6201) pub59  25% (4 samples sent)
(6127|6201) pub59  31% (5 samples sent)
(6127|6201) pub59  43% (7 samples sent)
(6127|6201) pub59  50% (8 samples sent)
(6127|6201) pub59  62% (10 samples sent)
(6127|6201) pub59  75% (12 samples sent)
(6127|6201) pub59  81% (13 samples sent)
(6127|6201) pub59  93% (15 samples sent)
(6127|6201) pub59  100% (16 samples sent)
(6127|6201) pub59->wait_match() before write for 0103000c.297a35f2.17ef7187.00000002(c8fb7d3e)
(6127|6200) pub58  writer id: 0103000c.297a35f2.17ef7185.00000002(b23b2e5e)
(6127|6200) pub58->started
(6127|6200) pub58  12% (2 samples sent)
(6127|6200) pub58  25% (4 samples sent)
(6127|6200) pub58  31% (5 samples sent)
(6127|6200) pub58  43% (7 samples sent)
(6127|6200) pub58  50% (8 samples sent)
(6127|6200) pub58  62% (10 samples sent)
(6127|6200) pub58  75% (12 samples sent)
(6127|6200) pub58  81% (13 samples sent)
(6127|6200) pub58  93% (15 samples sent)
(6127|6200) pub58  100% (16 samples sent)
(6127|6200) pub58->wait_match() before write for 0103000c.297a35f2.17ef7185.00000002(b23b2e5e)
(6127|6143) pub10<-match found! before write for 0103000c.297a35f2.17ef7156.00000002(7c6e2bd7)
(6127|6143) pub10  waiting for acks
(6127|6141) pub8<-match found! before write for 0103000c.297a35f2.17ef7154.00000002(06ae78b7)
(6127|6141) pub8  waiting for acks
(6127|6141) pub8  waiting for acks returned
(6127|6141) pub8<-delete_contained_entities
(6127|6140) pub7<-match found! before write for 0103000c.297a35f2.17ef7153.00000002(b48ea4a7)
(6127|6140) pub7  waiting for acks
(6127|6141) pub8<-delete_participant
(6127|6140) pub7  waiting for acks returned
(6127|6140) pub7<-delete_contained_entities
(6127|6146) pub13<-match found! before write for 0103000c.297a35f2.17ef7157.00000002(410e0267)
(6127|6146) pub13  waiting for acks
(6127|6140) pub7<-delete_participant
(6127|6192) pub52<-match found! before write for 0103000c.297a35f2.17ef7180.00000002(7adba12e)
(6127|6192) pub52  waiting for acks
(6127|6143) pub10  waiting for acks returned
(6127|6143) pub10<-delete_contained_entities
(6127|6143) pub10<-delete_participant
(6127|6133) pub0<-match found! before write for 0103000c.297a35f2.17ef714c.00000002(563ea4f4)
(6127|6133) pub0  waiting for acks
(6127|6146) pub13  waiting for acks returned
(6127|6146) pub13<-delete_contained_entities
(6127|6134) pub1<-match found! before write for 0103000c.297a35f2.17ef714d.00000002(6b5e8d44)
(6127|6134) pub1  waiting for acks
(6127|6146) pub13<-delete_participant
(6127|6135) pub2<-match found! before write for 0103000c.297a35f2.17ef714e.00000002(2cfef794)
(6127|6135) pub2  waiting for acks
(6127|6182) pub45<-match found! before write for 0103000c.297a35f2.17ef7178.00000002(029fbab2)
(6127|6182) pub45  waiting for acks
(6127|6142) pub9<-match found! before write for 0103000c.297a35f2.17ef7155.00000002(3bce5107)
(6127|6142) pub9  waiting for acks
(6127|6135) pub2  waiting for acks returned
(6127|6135) pub2<-delete_contained_entities
(6127|6182) pub45  waiting for acks returned
(6127|6182) pub45<-delete_contained_entities
(6127|6142) pub9  waiting for acks returned
(6127|6142) pub9<-delete_contained_entities
(6127|6135) pub2<-delete_participant
(6127|6182) pub45<-delete_participant
(6127|6142) pub9<-delete_participant
(6127|6172) pub38<-match found! before write for 0103000c.297a35f2.17ef7170.00000002(32eff173)
(6127|6172) pub38  waiting for acks
(6127|6144) pub11<-match found! before write for 0103000c.297a35f2.17ef715a.00000002(b99ec6d6)
(6127|6144) pub11  waiting for acks
(6127|6144) pub11  waiting for acks returned
(6127|6144) pub11<-delete_contained_entities
(6127|6144) pub11<-delete_participant
(6127|6133) pub0  waiting for acks returned
(6127|6133) pub0<-delete_contained_entities
(6127|6156) pub23<-match found! before write for 0103000c.297a35f2.17ef7163.00000002(15af1c21)
(6127|6156) pub23  waiting for acks
(6127|6133) pub0<-delete_participant
(6127|6136) pub3<-match found! before write for 0103000c.297a35f2.17ef714f.00000002(119ede24)
(6127|6136) pub3  waiting for acks
(6127|6161) pub28<-match found! before write for 0103000c.297a35f2.17ef716a.00000002(18bf7e50)
(6127|6161) pub28  waiting for acks
(6127|6136) pub3  waiting for acks returned
(6127|6136) pub3<-delete_contained_entities
(6127|6192) pub52  waiting for acks returned
(6127|6192) pub52<-delete_contained_entities
(6127|6136) pub3<-delete_participant
(6127|6192) pub52<-delete_participant
(6127|6137) pub5<-match found! before write for 0103000c.297a35f2.17ef7151.00000002(ce4ef7c7)
(6127|6137) pub5  waiting for acks
(6127|6159) pub26<-match found! before write for 0103000c.297a35f2.17ef7165.00000002(9aefe981)
(6127|6159) pub26  waiting for acks
(6127|6190) pub51<-match found! before write for 0103000c.297a35f2.17ef717f.00000002(b0bf66a2)
(6127|6190) pub51  waiting for acks
(6127|6159) pub26  waiting for acks returned
(6127|6159) pub26<-delete_contained_entities
(6127|6201) pub59<-match found! before write for 0103000c.297a35f2.17ef7187.00000002(c8fb7d3e)
(6127|6201) pub59  waiting for acks
(6127|6190) pub51  waiting for acks returned
(6127|6190) pub51<-delete_contained_entities
(6127|6201) pub59  waiting for acks returned
(6127|6201) pub59<-delete_contained_entities
(6127|6159) pub26<-delete_participant
(6127|6190) pub51<-delete_participant
(6127|6170) pub36<-match found! before write for 0103000c.297a35f2.17ef7172.00000002(482fa213)
(6127|6170) pub36  waiting for acks
(6127|6201) pub59<-delete_participant
(6127|6156) pub23  waiting for acks returned
(6127|6156) pub23<-delete_contained_entities
(6127|6156) pub23<-delete_participant
(6127|6196) pub54<-match found! before write for 0103000c.297a35f2.17ef7182.00000002(001bf24e)
(6127|6196) pub54  waiting for acks
(6127|6172) pub38  waiting for acks returned
(6127|6172) pub38<-delete_contained_entities
(6127|6163) pub30<-match found! before write for 0103000c.297a35f2.17ef716c.00000002(97ff8bf0)
(6127|6163) pub30  waiting for acks
(6127|6196) pub54  waiting for acks returned
(6127|6196) pub54<-delete_contained_entities
(6127|6172) pub38<-delete_participant
(6127|6178) pub41<-match found! before write for 0103000c.297a35f2.17ef7174.00000002(c76f57b3)
(6127|6178) pub41  waiting for acks
(6127|6137) pub5  waiting for acks returned
(6127|6137) pub5<-delete_contained_entities
(6127|6163) pub30  waiting for acks returned
(6127|6163) pub30<-delete_contained_entities
(6127|6178) pub41  waiting for acks returned
(6127|6178) pub41<-delete_contained_entities
(6127|6196) pub54<-delete_participant
(6127|6183) pub46<-match found! before write for 0103000c.297a35f2.17ef717a.00000002(785fe9d2)
(6127|6183) pub46  waiting for acks
(6127|6137) pub5<-delete_participant
(6127|6163) pub30<-delete_participant
(6127|6134) pub1  waiting for acks returned
(6127|6134) pub1<-delete_contained_entities
(6127|6178) pub41<-delete_participant
(6127|6161) pub28  waiting for acks returned
(6127|6161) pub28<-delete_contained_entities
(6127|6194) pub53<-match found! before write for 0103000c.297a35f2.17ef7181.00000002(47bb889e)
(6127|6194) pub53  waiting for acks
(6127|6170) pub36  waiting for acks returned
(6127|6170) pub36<-delete_contained_entities
(6127|6134) pub1<-delete_participant
(6127|6194) pub53  waiting for acks returned
(6127|6194) pub53<-delete_contained_entities
(6127|6164) pub31<-match found! before write for 0103000c.297a35f2.17ef7169.00000002(5f1f0480)
(6127|6164) pub31  waiting for acks
(6127|6161) pub28<-delete_participant
(6127|6170) pub36<-delete_participant
(6127|6194) pub53<-delete_participant
(6127|6139) pub6<-match found! before write for 0103000c.297a35f2.17ef7152.00000002(89ee8d17)
(6127|6139) pub6  waiting for acks
(6127|6203) pub62<-match found! before write for 0103000c.297a35f2.17ef7189.00000002(77cbc35f)
(6127|6203) pub62  waiting for acks
(6127|6203) pub62  waiting for acks returned
(6127|6203) pub62<-delete_contained_entities
(6127|6203) pub62<-delete_participant
(6127|6139) pub6  waiting for acks returned
(6127|6139) pub6<-delete_contained_entities
(6127|6200) pub58<-match found! before write for 0103000c.297a35f2.17ef7185.00000002(b23b2e5e)
(6127|6200) pub58  waiting for acks
(6127|6180) pub43<-match found! before write for 0103000c.297a35f2.17ef7177.00000002(80cf2d63)
(6127|6180) pub43  waiting for acks
(6127|6153) pub20<-match found! before write for 0103000c.297a35f2.17ef715f.00000002(717e49a6)
(6127|6153) pub20  waiting for acks
(6127|6200) pub58  waiting for acks returned
(6127|6200) pub58<-delete_contained_entities
(6127|6139) pub6<-delete_participant
(6127|6180) pub43  waiting for acks returned
(6127|6180) pub43<-delete_contained_entities
(6127|6200) pub58<-delete_participant
(6127|6180) pub43<-delete_participant
(6127|6164) pub31  waiting for acks returned
(6127|6164) pub31<-delete_contained_entities
(6127|6154) pub21<-match found! before write for 0103000c.297a35f2.17ef7161.00000002(6f6f4f41)
(6127|6154) pub21  waiting for acks
(6127|6164) pub31<-delete_participant
(6127|6179) pub42<-match found! before write for 0103000c.297a35f2.17ef7176.00000002(bdaf04d3)
(6127|6179) pub42  waiting for acks
(6127|6199) pub57<-match found! before write for 0103000c.297a35f2.17ef7183.00000002(3d7bdbfe)
(6127|6199) pub57  waiting for acks
(6127|6162) pub29<-match found! before write for 0103000c.297a35f2.17ef7168.00000002(627f2d30)
(6127|6162) pub29  waiting for acks
(6127|6183) pub46  waiting for acks returned
(6127|6183) pub46<-delete_contained_entities
(6127|6181) pub44<-match found! before write for 0103000c.297a35f2.17ef7179.00000002(3fff9302)
(6127|6181) pub44  waiting for acks
(6127|6165) pub32<-match found! before write for 0103000c.297a35f2.17ef716b.00000002(25df57e0)
(6127|6165) pub32  waiting for acks
(6127|6174) pub39<-match found! before write for 0103000c.297a35f2.17ef7173.00000002(754f8ba3)
(6127|6174) pub39  waiting for acks
(6127|6166) pub33<-match found! before write for 0103000c.297a35f2.17ef716e.00000002(ed3fd890)
(6127|6166) pub33  waiting for acks
(6127|6155) pub22<-match found! before write for 0103000c.297a35f2.17ef7162.00000002(28cf3591)
(6127|6155) pub22  waiting for acks
(6127|6138) pub4<-match found! before write for 0103000c.297a35f2.17ef7150.00000002(f32ede77)
(6127|6138) pub4  waiting for acks
(6127|6150) pub17<-match found! before write for 0103000c.297a35f2.17ef715d.00000002(0bbe1ac6)
(6127|6150) pub17  waiting for acks
(6127|6165) pub32  waiting for acks returned
(6127|6165) pub32<-delete_contained_entities
(6127|6160) pub27<-match found! before write for 0103000c.297a35f2.17ef7167.00000002(e02fbae1)
(6127|6160) pub27  waiting for acks
(6127|6187) pub49<-match found! before write for 0103000c.297a35f2.17ef717d.00000002(ca7f35c2)
(6127|6187) pub49  waiting for acks
(6127|6189) pub50<-match found! before write for 0103000c.297a35f2.17ef717e.00000002(8ddf4f12)
(6127|6189) pub50  waiting for acks
(6127|6167) pub34<-match found! before write for 0103000c.297a35f2.17ef716f.00000002(d05ff120)
(6127|6167) pub34  waiting for acks
(6127|6138) pub4  waiting for acks returned
(6127|6138) pub4<-delete_contained_entities
(6127|6204) pub60<-match found! before write for 0103000c.297a35f2.17ef7188.00000002(4aabeaef)
(6127|6204) pub60  waiting for acks
(6127|6183) pub46<-delete_participant
(6127|6169) pub35<-match found! before write for 0103000c.297a35f2.17ef716d.00000002(aa9fa240)
(6127|6169) pub35  waiting for acks
(6127|6197) pub55<-match found! before write for 0103000c.297a35f2.17ef7184.00000002(8f5b07ee)
(6127|6197) pub55  waiting for acks
(6127|6177) pub40<-match found! before write for 0103000c.297a35f2.17ef7175.00000002(fa0f7e03)
(6127|6177) pub40  waiting for acks
(6127|6158) pub24<-match found! before write for 0103000c.297a35f2.17ef7166.00000002(dd4f9351)
(6127|6158) pub24  waiting for acks
(6127|6167) pub34  waiting for acks returned
(6127|6167) pub34<-delete_contained_entities
(6127|6154) pub21  waiting for acks returned
(6127|6154) pub21<-delete_contained_entities
(6127|6204) pub60  waiting for acks returned
(6127|6204) pub60<-delete_contained_entities
(6127|6165) pub32<-delete_participant
(6127|6199) pub57  waiting for acks returned
(6127|6199) pub57<-delete_contained_entities
(6127|6202) pub63<-match found! before write for 0103000c.297a35f2.17ef718a.00000002(306bb98f)
(6127|6202) pub63  waiting for acks
(6127|6148) pub15<-match found! before write for 0103000c.297a35f2.17ef715c.00000002(36de3376)
(6127|6148) pub15  waiting for acks
(6127|6162) pub29  waiting for acks returned
(6127|6162) pub29<-delete_contained_entities
(6127|6181) pub44  waiting for acks returned
(6127|6181) pub44<-delete_contained_entities
(6127|6138) pub4<-delete_participant
(6127|6174) pub39  waiting for acks returned
(6127|6174) pub39<-delete_contained_entities
(6127|6198) pub56<-match found! before write for 0103000c.297a35f2.17ef7186.00000002(f59b548e)
(6127|6198) pub56  waiting for acks
(6127|6145) pub12<-match found! before write for 0103000c.297a35f2.17ef7158.00000002(c35e95b6)
(6127|6145) pub12  waiting for acks
(6127|6153) pub20  waiting for acks returned
(6127|6153) pub20<-delete_contained_entities
(6127|6171) pub37<-match found! before write for 0103000c.297a35f2.17ef7171.00000002(0f8fd8c3)
(6127|6171) pub37  waiting for acks
(6127|6179) pub42  waiting for acks returned
(6127|6179) pub42<-delete_contained_entities
(6127|6149) pub16<-match found! before write for 0103000c.297a35f2.17ef715b.00000002(84feef66)
(6127|6149) pub16  waiting for acks
(6127|6189) pub50  waiting for acks returned
(6127|6189) pub50<-delete_contained_entities
(6127|6147) pub14<-match found! before write for 0103000c.297a35f2.17ef7159.00000002(fe3ebc06)
(6127|6147) pub14  waiting for acks
(6127|6202) pub63  waiting for acks returned
(6127|6202) pub63<-delete_contained_entities
(6127|6197) pub55  waiting for acks returned
(6127|6197) pub55<-delete_contained_entities
(6127|6188) pub48<-match found! before write for 0103000c.297a35f2.17ef717c.00000002(f71f1c72)
(6127|6188) pub48  waiting for acks
(6127|6167) pub34<-delete_participant
(6127|6152) pub19<-match found! before write for 0103000c.297a35f2.17ef715e.00000002(4c1e6016)
(6127|6152) pub19  waiting for acks
(6127|6177) pub40  waiting for acks returned
(6127|6177) pub40<-delete_contained_entities
(6127|6158) pub24  waiting for acks returned
(6127|6158) pub24<-delete_contained_entities
(6127|6145) pub12  waiting for acks returned
(6127|6145) pub12<-delete_contained_entities
(6127|6171) pub37  waiting for acks returned
(6127|6171) pub37<-delete_contained_entities
(6127|6154) pub21<-delete_participant
(6127|6204) pub60<-delete_participant
(6127|6198) pub56  waiting for acks returned
(6127|6198) pub56<-delete_contained_entities
(6127|6166) pub33  waiting for acks returned
(6127|6166) pub33<-delete_contained_entities
(6127|6155) pub22  waiting for acks returned
(6127|6155) pub22<-delete_contained_entities
(6127|6157) pub25<-match found! before write for 0103000c.297a35f2.17ef7164.00000002(a78fc031)
(6127|6157) pub25  waiting for acks
(6127|6151) pub18<-match found! before write for 0103000c.297a35f2.17ef7160.00000002(520f66f1)
(6127|6151) pub18  waiting for acks
(6127|6199) pub57<-delete_participant
(6127|6169) pub35  waiting for acks returned
(6127|6169) pub35<-delete_contained_entities
(6127|6188) pub48  waiting for acks returned
(6127|6188) pub48<-delete_contained_entities
(6127|6162) pub29<-delete_participant
(6127|6152) pub19  waiting for acks returned
(6127|6152) pub19<-delete_contained_entities
(6127|6181) pub44<-delete_participant
(6127|6174) pub39<-delete_participant
(6127|6160) pub27  waiting for acks returned
(6127|6160) pub27<-delete_contained_entities
(6127|6187) pub49  waiting for acks returned
(6127|6187) pub49<-delete_contained_entities
(6127|6150) pub17  waiting for acks returned
(6127|6150) pub17<-delete_contained_entities
(6127|6151) pub18  waiting for acks returned
(6127|6151) pub18<-delete_contained_entities
(6127|6153) pub20<-delete_participant
(6127|6179) pub42<-delete_participant
(6127|6157) pub25  waiting for acks returned
(6127|6157) pub25<-delete_contained_entities
(6127|6189) pub50<-delete_participant
(6127|6205) pub61<-match found! before write for 0103000c.297a35f2.17ef718b.00000002(0d0b903f)
(6127|6205) pub61  waiting for acks
(6127|6202) pub63<-delete_participant
(6127|6197) pub55<-delete_participant
(6127|6147) pub14  waiting for acks returned
(6127|6147) pub14<-delete_contained_entities
(6127|6177) pub40<-delete_participant
(6127|6148) pub15  waiting for acks returned
(6127|6148) pub15<-delete_contained_entities
(6127|6186) pub47<-match found! before write for 0103000c.297a35f2.17ef717b.00000002(453fc062)
(6127|6186) pub47  waiting for acks
(6127|6149) pub16  waiting for acks returned
(6127|6149) pub16<-delete_contained_entities
(6127|6158) pub24<-delete_participant
(6127|6145) pub12<-delete_participant
(6127|6171) pub37<-delete_participant
(6127|6198) pub56<-delete_participant
(6127|6166) pub33<-delete_participant
(6127|6155) pub22<-delete_participant
(6127|6132) sub condition_.notify_all
(6127|6127) sub condition_.wait returned
(6127|6127) sub check_received
(6127|6127) sub check_received returns 0
(6127|6127) <- PublisherService::end
(6127|6169) pub35<-delete_participant
(6127|6188) pub48<-delete_participant
(6127|6205) pub61  waiting for acks returned
(6127|6205) pub61<-delete_contained_entities
(6127|6152) pub19<-delete_participant
(6127|6160) pub27<-delete_participant
(6127|6187) pub49<-delete_participant
(6127|6150) pub17<-delete_participant
(6127|6151) pub18<-delete_participant
(6127|6157) pub25<-delete_participant
(6127|6186) pub47  waiting for acks returned
(6127|6186) pub47<-delete_contained_entities
(6127|6147) pub14<-delete_participant
(6127|6148) pub15<-delete_participant
(6127|6149) pub16<-delete_participant
(6127|6205) pub61<-delete_participant
(6127|6186) pub47<-delete_participant
(6127|6127) <- PublisherService::~PublisherService
(6127|6127) <- Subscriber delete_contained_entities
(6127|6127) <- Subscriber delete_participant
(6127|6127) <- Subscriber::~Subscriber
(6127|6127) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl aggressive rtps durable Time:82s Result:0

==============================================================================

tests/DCPS/DPFactoryQos/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 6479
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DPFactoryQos/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub.log -DCPSPendingTimeout 3 
pub PID: 6486 started at 2023-04-28 16:26:20
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DPFactoryQos/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub.log -DCPSPendingTimeout 3 
sub PID: 6487 started at 2023-04-28 16:26:20
(6479|6479) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/DPFactoryQos/run_test.pl Time:8s Result:0

==============================================================================

tests/DCPS/DPFactoryQos/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DPFactoryQos/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub.log -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
pub PID: 6504 started at 2023-04-28 16:26:28
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DPFactoryQos/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub.log -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
sub PID: 6505 started at 2023-04-28 16:26:28
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
test PASSED.

auto_run_tests_finished: tests/DCPS/DPFactoryQos/run_test.pl rtps_disc Time:9s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 6519
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_inforepo_tcp.ini  -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 6526 started at 2023-04-28 16:26:37
2023-04-28 16:26:37.329@LM_NOTICE@(6526|6526) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(6519|6519) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-28 16:26:37.373@LM_WARNING@(6526|6526) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-28 16:26:37.530@LM_DEBUG@(6526|6526) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-28 16:26:37.530@LM_DEBUG@(6526|6526) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 01030000.4180a6fc.00000002.00000102(d6d837a8)
2023-04-28 16:26:37.530@LM_DEBUG@(6526|6526) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-28 16:26:37.530@LM_DEBUG@(6526|6526) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 01030000.4180a6fc.00000003.00000102(ebb81e18)
2023-04-28 16:26:37.530@LM_DEBUG@(6526|6539) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-28 16:26:37.531@LM_DEBUG@(6526|6526) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-28 16:26:37.531@LM_DEBUG@(6526|6526) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 01030000.4180a6fc.00000002.00000202(fdf5646b)
2023-04-28 16:26:37.531@LM_DEBUG@(6526|6526) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-28 16:26:37.531@LM_DEBUG@(6526|6526) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 01030000.4180a6fc.00000002.00000302(e4ee552a)
2023-04-28 16:26:37.531@LM_DEBUG@(6526|6526) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-28 16:26:37.531@LM_DEBUG@(6526|6542) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-28 16:26:37.531@LM_DEBUG@(6526|6542) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:26:37.531@LM_DEBUG@(6526|6541) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-28 16:26:37.531@LM_DEBUG@(6526|6539) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:26:37.531@LM_DEBUG@(6526|6541) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:26:37.532@LM_INFO@(6526|6538) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 39 (01030000.4180a6fc.00000002.00000102(d6d837a8))
2023-04-28 16:26:37.532@LM_INFO@(6526|6538) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.4180a6fc.00000002.00000202(fdf5646b))
2023-04-28 16:26:37.533@LM_INFO@(6526|6538) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-28 16:26:37.533@LM_INFO@(6526|6538) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-28 16:26:37.534@LM_INFO@(6526|6538) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 48 (01030000.4180a6fc.00000002.00000302(e4ee552a))
2023-04-28 16:26:37.534@LM_DEBUG@(6526|6540) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-28 16:26:37.534@LM_DEBUG@(6526|6540) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:26:39.098@LM_INFO@(6526|6538) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 42 (01030000.4180a6fc.00000003.00000102(ebb81e18))
2023-04-28 16:26:39.532@LM_DEBUG@(6526|6539) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:26:39.532@LM_DEBUG@(6526|6542) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:26:39.532@LM_DEBUG@(6526|6541) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:26:39.533@LM_INFO@(6526|6538) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-28 16:26:39.533@LM_INFO@(6526|6538) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-28 16:26:39.534@LM_DEBUG@(6526|6540) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:26:41.532@LM_DEBUG@(6526|6542) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:26:41.533@LM_DEBUG@(6526|6541) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:26:41.533@LM_DEBUG@(6526|6539) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:26:41.533@LM_INFO@(6526|6538) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-28 16:26:41.534@LM_INFO@(6526|6538) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-28 16:26:41.534@LM_DEBUG@(6526|6540) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:26:43.533@LM_DEBUG@(6526|6542) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:26:43.533@LM_DEBUG@(6526|6541) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:26:43.534@LM_DEBUG@(6526|6539) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:26:43.534@LM_INFO@(6526|6538) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-28 16:26:43.534@LM_INFO@(6526|6538) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-28 16:26:43.535@LM_DEBUG@(6526|6540) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:26:45.533@LM_DEBUG@(6526|6542) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:26:45.534@LM_DEBUG@(6526|6541) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:26:45.534@LM_DEBUG@(6526|6539) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:26:45.535@LM_DEBUG@(6526|6540) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:26:45.535@LM_INFO@(6526|6538) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-28 16:26:45.535@LM_INFO@(6526|6538) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-28 16:26:47.534@LM_DEBUG@(6526|6542) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:26:47.534@LM_DEBUG@(6526|6541) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:26:47.535@LM_DEBUG@(6526|6539) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:26:47.535@LM_DEBUG@(6526|6540) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:26:47.535@LM_INFO@(6526|6538) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-28 16:26:47.536@LM_INFO@(6526|6538) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-28 16:26:49.535@LM_DEBUG@(6526|6542) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:26:49.535@LM_DEBUG@(6526|6541) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:26:49.535@LM_DEBUG@(6526|6540) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:26:49.535@LM_DEBUG@(6526|6539) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:26:49.536@LM_INFO@(6526|6538) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-28 16:26:49.536@LM_INFO@(6526|6538) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-28 16:26:51.535@LM_DEBUG@(6526|6542) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:26:51.535@LM_DEBUG@(6526|6540) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:26:51.536@LM_DEBUG@(6526|6541) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:26:51.536@LM_DEBUG@(6526|6539) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:26:51.536@LM_INFO@(6526|6538) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-28 16:26:51.537@LM_INFO@(6526|6538) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-28 16:26:53.536@LM_DEBUG@(6526|6542) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:26:53.536@LM_DEBUG@(6526|6540) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:26:53.536@LM_DEBUG@(6526|6541) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:26:53.536@LM_DEBUG@(6526|6539) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:26:53.537@LM_INFO@(6526|6538) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-28 16:26:53.537@LM_INFO@(6526|6538) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-28 16:26:55.536@LM_DEBUG@(6526|6540) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:26:55.536@LM_DEBUG@(6526|6542) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:26:55.536@LM_DEBUG@(6526|6541) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:26:55.537@LM_DEBUG@(6526|6539) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:26:55.537@LM_INFO@(6526|6538) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-28 16:26:55.538@LM_INFO@(6526|6538) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-28 16:26:57.536@LM_DEBUG@(6526|6540) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-28 16:26:57.537@LM_DEBUG@(6526|6542) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-28 16:26:57.538@LM_DEBUG@(6526|6541) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-28 16:26:57.538@LM_DEBUG@(6526|6539) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-28 16:26:57.538@LM_DEBUG@(6526|6526) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-28 16:26:57.538@LM_DEBUG@(6526|6526) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-28 16:26:57.538@LM_DEBUG@(6526|6526) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-28 16:26:57.538@LM_DEBUG@(6526|6526) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-28 16:26:57.538@LM_DEBUG@(6526|6526) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-28 16:26:57.538@LM_DEBUG@(6526|6526) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-28 16:26:57.539@LM_DEBUG@(6526|6526) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-28 16:26:57.539@LM_INFO@(6526|6526) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-28 16:26:58.737@LM_INFO@(6526|6527) DataWriterListenerImpl::on_liveliness_lost 0x177b140 1
2023-04-28 16:26:58.737@LM_INFO@(6526|6527)    total_count=1 total_count_change=1
2023-04-28 16:26:58.737@LM_INFO@(6526|6527) DataWriterListenerImpl::on_liveliness_lost 0x17704a0 1
2023-04-28 16:26:58.737@LM_INFO@(6526|6527)    total_count=1 total_count_change=1
2023-04-28 16:26:58.737@LM_INFO@(6526|6527) DataWriterListenerImpl::on_liveliness_lost 0x16e3450 1
2023-04-28 16:26:58.737@LM_INFO@(6526|6527)    total_count=1 total_count_change=1
2023-04-28 16:26:59.901@LM_INFO@(6526|6527) DataWriterListenerImpl::on_liveliness_lost 0x172ae90 1
2023-04-28 16:26:59.901@LM_INFO@(6526|6527)    total_count=1 total_count_change=1
2023-04-28 16:27:00.537@LM_INFO@(6526|6527) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 45 (01030000.4180a6fc.00000002.00000202(fdf5646b))
2023-04-28 16:27:00.537@LM_INFO@(6526|6527) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 48 (01030000.4180a6fc.00000002.00000302(e4ee552a))
2023-04-28 16:27:00.538@LM_INFO@(6526|6527) instance is unregistered
2023-04-28 16:27:00.539@LM_INFO@(6526|6527) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 39 (01030000.4180a6fc.00000002.00000102(d6d837a8))
2023-04-28 16:27:01.701@LM_INFO@(6526|6527) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-28 16:27:01.701@LM_INFO@(6526|6527) DataReaderListenerImpl::on_liveliness_changed #8
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 42 (01030000.4180a6fc.00000003.00000102(ebb81e18))
2023-04-28 16:27:01.701@LM_INFO@(6526|6526) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-28 16:27:01.701@LM_INFO@subscriber got 20 of 20 messages, and 8 of 8 callbacks
2023-04-28 16:27:01.703@LM_INFO@(6526|6538) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 39 (01030000.4180a6fc.00000002.00000102(d6d837a8))
2023-04-28 16:27:01.703@LM_INFO@(6526|6538) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 45 (01030000.4180a6fc.00000002.00000202(fdf5646b))
2023-04-28 16:27:01.704@LM_INFO@(6526|6528) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 1
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 39 (01030000.4180a6fc.00000002.00000102(d6d837a8))
2023-04-28 16:27:01.706@LM_INFO@(6526|6528) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 0
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.4180a6fc.00000002.00000202(fdf5646b))
2023-04-28 16:27:01.707@LM_INFO@(6526|6528) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 48 (01030000.4180a6fc.00000002.00000302(e4ee552a))
2023-04-28 16:27:01.720@LM_INFO@(6526|6528) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 42 (01030000.4180a6fc.00000003.00000102(ebb81e18))
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl Time:24s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl lost #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 6546
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_inforepo_tcp.ini -l -n 4 -t 10 -c 8 -N 4 -C 16 -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 6553 started at 2023-04-28 16:27:02
2023-04-28 16:27:02.174@LM_NOTICE@(6553|6553) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(6546|6546) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-28 16:27:02.222@LM_WARNING@(6553|6553) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-28 16:27:02.415@LM_DEBUG@(6553|6553) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-28 16:27:02.415@LM_DEBUG@(6553|6553) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 01030000.29b50496.00000002.00000102(c55b1bd6)
2023-04-28 16:27:02.415@LM_DEBUG@(6553|6553) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-28 16:27:02.415@LM_DEBUG@(6553|6553) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 01030000.29b50496.00000003.00000102(f83b3266)
2023-04-28 16:27:02.415@LM_DEBUG@(6553|6566) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-28 16:27:02.416@LM_DEBUG@(6553|6553) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-28 16:27:02.416@LM_DEBUG@(6553|6553) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 01030000.29b50496.00000002.00000202(ee764815)
2023-04-28 16:27:02.416@LM_DEBUG@(6553|6553) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-28 16:27:02.416@LM_DEBUG@(6553|6553) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 01030000.29b50496.00000002.00000302(f76d7954)
2023-04-28 16:27:02.416@LM_DEBUG@(6553|6553) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-28 16:27:02.416@LM_DEBUG@(6553|6567) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-28 16:27:02.416@LM_DEBUG@(6553|6567) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:27:02.416@LM_DEBUG@(6553|6569) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-28 16:27:02.416@LM_DEBUG@(6553|6569) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:27:02.416@LM_INFO@(6553|6565) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 39 (01030000.29b50496.00000002.00000102(c55b1bd6))
2023-04-28 16:27:02.416@LM_DEBUG@(6553|6568) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-28 16:27:02.417@LM_DEBUG@(6553|6566) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:27:02.417@LM_INFO@(6553|6565) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 48 (01030000.29b50496.00000002.00000302(f76d7954))
2023-04-28 16:27:02.418@LM_INFO@(6553|6565) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-28 16:27:02.418@LM_INFO@(6553|6565) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.29b50496.00000002.00000202(ee764815))
2023-04-28 16:27:02.419@LM_DEBUG@(6553|6568) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:27:02.419@LM_INFO@(6553|6565) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-28 16:27:03.980@LM_INFO@(6553|6565) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 42 (01030000.29b50496.00000003.00000102(f83b3266))
2023-04-28 16:27:05.616@LM_INFO@(6553|6554) DataWriterListenerImpl::on_liveliness_lost 0x9e3e90 1
2023-04-28 16:27:05.617@LM_INFO@(6553|6554)    total_count=1 total_count_change=1
2023-04-28 16:27:05.617@LM_INFO@(6553|6554) DataWriterListenerImpl::on_liveliness_lost 0x94b400 1
2023-04-28 16:27:05.618@LM_INFO@(6553|6554)    total_count=1 total_count_change=1
2023-04-28 16:27:05.619@LM_INFO@(6553|6554) DataWriterListenerImpl::on_liveliness_lost 0x9d91f0 1
2023-04-28 16:27:05.619@LM_INFO@(6553|6554)    total_count=1 total_count_change=1
2023-04-28 16:27:07.180@LM_INFO@(6553|6554) DataWriterListenerImpl::on_liveliness_lost 0x993950 1
2023-04-28 16:27:07.180@LM_INFO@(6553|6554)    total_count=1 total_count_change=1
2023-04-28 16:27:07.418@LM_INFO@(6553|6554) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 48 (01030000.29b50496.00000002.00000302(f76d7954))
2023-04-28 16:27:07.418@LM_INFO@(6553|6554) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 39 (01030000.29b50496.00000002.00000102(c55b1bd6))
2023-04-28 16:27:07.420@LM_INFO@(6553|6554) instance is unregistered
2023-04-28 16:27:07.420@LM_INFO@(6553|6554) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 45 (01030000.29b50496.00000002.00000202(ee764815))
2023-04-28 16:27:08.981@LM_INFO@(6553|6554) DataReaderListenerImpl::on_liveliness_changed #8
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 42 (01030000.29b50496.00000003.00000102(f83b3266))
2023-04-28 16:27:32.417@LM_DEBUG@(6553|6567) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:27:32.417@LM_DEBUG@(6553|6569) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:27:32.418@LM_DEBUG@(6553|6566) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:27:32.418@LM_INFO@(6553|6565) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 48 (01030000.29b50496.00000002.00000302(f76d7954))
2023-04-28 16:27:32.418@LM_INFO@(6553|6565) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 39 (01030000.29b50496.00000002.00000102(c55b1bd6))
2023-04-28 16:27:32.419@LM_INFO@(6553|6565) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-28 16:27:32.420@LM_DEBUG@(6553|6568) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:27:32.420@LM_INFO@(6553|6565) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 3
  not_alive_count = 1
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 45 (01030000.29b50496.00000002.00000202(ee764815))
2023-04-28 16:27:32.421@LM_INFO@(6553|6565) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-28 16:27:32.780@LM_INFO@(6553|6565) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 42 (01030000.29b50496.00000003.00000102(f83b3266))
2023-04-28 16:27:35.619@LM_INFO@(6553|6554) DataWriterListenerImpl::on_liveliness_lost 0x9e3e90 2
2023-04-28 16:27:35.619@LM_INFO@(6553|6554)    total_count=2 total_count_change=1
2023-04-28 16:27:35.619@LM_INFO@(6553|6554) DataWriterListenerImpl::on_liveliness_lost 0x94b400 2
2023-04-28 16:27:35.619@LM_INFO@(6553|6554)    total_count=2 total_count_change=1
2023-04-28 16:27:35.620@LM_INFO@(6553|6554) DataWriterListenerImpl::on_liveliness_lost 0x9d91f0 2
2023-04-28 16:27:35.620@LM_INFO@(6553|6554)    total_count=2 total_count_change=1
2023-04-28 16:27:35.980@LM_INFO@(6553|6554) DataWriterListenerImpl::on_liveliness_lost 0x993950 2
2023-04-28 16:27:35.980@LM_INFO@(6553|6554)    total_count=2 total_count_change=1
2023-04-28 16:27:37.418@LM_INFO@(6553|6554) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 39 (01030000.29b50496.00000002.00000102(c55b1bd6))
2023-04-28 16:27:37.419@LM_INFO@(6553|6554) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 48 (01030000.29b50496.00000002.00000302(f76d7954))
2023-04-28 16:27:37.448@LM_INFO@(6553|6554) instance is unregistered
2023-04-28 16:27:37.448@LM_INFO@(6553|6554) DataReaderListenerImpl::on_liveliness_changed #15
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 45 (01030000.29b50496.00000002.00000202(ee764815))
2023-04-28 16:27:37.781@LM_INFO@(6553|6554) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-28 16:27:37.781@LM_INFO@(6553|6554) DataReaderListenerImpl::on_liveliness_changed #16
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 42 (01030000.29b50496.00000003.00000102(f83b3266))
2023-04-28 16:27:42.417@LM_DEBUG@(6553|6567) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-28 16:27:42.418@LM_DEBUG@(6553|6569) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-28 16:27:42.419@LM_DEBUG@(6553|6566) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-28 16:27:42.421@LM_DEBUG@(6553|6568) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-28 16:27:42.432@LM_DEBUG@(6553|6553) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-28 16:27:42.432@LM_DEBUG@(6553|6553) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-28 16:27:42.432@LM_DEBUG@(6553|6553) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-28 16:27:42.432@LM_DEBUG@(6553|6553) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-28 16:27:42.432@LM_DEBUG@(6553|6553) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-28 16:27:42.432@LM_DEBUG@(6553|6553) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-28 16:27:42.432@LM_DEBUG@(6553|6553) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-28 16:27:42.432@LM_INFO@(6553|6553) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-28 16:27:42.432@LM_INFO@(6553|6553) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-28 16:27:42.432@LM_INFO@subscriber got 4 of 4 messages, and 16 of 16 callbacks
2023-04-28 16:27:42.433@LM_INFO@(6553|6565) DataReaderListenerImpl::on_liveliness_changed #17
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 39 (01030000.29b50496.00000002.00000102(c55b1bd6))
2023-04-28 16:27:42.433@LM_INFO@(6553|6565) DataReaderListenerImpl::on_liveliness_changed #18
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 45 (01030000.29b50496.00000002.00000202(ee764815))
2023-04-28 16:27:42.435@LM_INFO@(6553|6555) DataReaderListenerImpl::on_liveliness_changed #19
  alive_count = 1
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 39 (01030000.29b50496.00000002.00000102(c55b1bd6))
2023-04-28 16:27:42.437@LM_INFO@(6553|6555) DataReaderListenerImpl::on_liveliness_changed #20
  alive_count = 0
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.29b50496.00000002.00000202(ee764815))
2023-04-28 16:27:42.439@LM_INFO@(6553|6555) DataReaderListenerImpl::on_liveliness_changed #21
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 48 (01030000.29b50496.00000002.00000302(f76d7954))
2023-04-28 16:27:42.453@LM_INFO@(6553|6555) DataReaderListenerImpl::on_liveliness_changed #22
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 42 (01030000.29b50496.00000003.00000102(f83b3266))
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl lost Time:41s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 6585
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_inforepo_rtps.ini  -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 6592 started at 2023-04-28 16:27:42
2023-04-28 16:27:42.880@LM_NOTICE@(6592|6592) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(6585|6585) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-28 16:27:42.944@LM_WARNING@(6592|6592) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-28 16:27:43.106@LM_DEBUG@(6592|6592) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-28 16:27:43.106@LM_DEBUG@(6592|6592) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 01030000.5d3806c6.00000002.00000102(28c5488e)
2023-04-28 16:27:43.106@LM_DEBUG@(6592|6592) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-28 16:27:43.106@LM_DEBUG@(6592|6592) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 01030000.5d3806c6.00000003.00000102(15a5613e)
2023-04-28 16:27:43.106@LM_DEBUG@(6592|6605) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-28 16:27:43.106@LM_DEBUG@(6592|6592) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-28 16:27:43.106@LM_DEBUG@(6592|6592) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 01030000.5d3806c6.00000002.00000202(03e81b4d)
2023-04-28 16:27:43.106@LM_DEBUG@(6592|6592) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-28 16:27:43.106@LM_DEBUG@(6592|6592) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 01030000.5d3806c6.00000002.00000302(1af32a0c)
2023-04-28 16:27:43.106@LM_DEBUG@(6592|6592) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-28 16:27:43.107@LM_DEBUG@(6592|6608) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-28 16:27:43.107@LM_DEBUG@(6592|6608) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:27:43.107@LM_DEBUG@(6592|6606) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-28 16:27:43.107@LM_DEBUG@(6592|6606) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:27:43.107@LM_DEBUG@(6592|6607) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-28 16:27:43.107@LM_INFO@(6592|6604) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 39 (01030000.5d3806c6.00000002.00000102(28c5488e))
2023-04-28 16:27:43.108@LM_DEBUG@(6592|6605) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:27:43.109@LM_INFO@(6592|6604) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.5d3806c6.00000002.00000202(03e81b4d))
2023-04-28 16:27:43.109@LM_DEBUG@(6592|6607) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:27:43.110@LM_INFO@(6592|6604) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-28 16:27:43.110@LM_INFO@(6592|6604) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-28 16:27:43.117@LM_INFO@(6592|6604) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 48 (01030000.5d3806c6.00000002.00000302(1af32a0c))
2023-04-28 16:27:44.677@LM_INFO@(6592|6604) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 42 (01030000.5d3806c6.00000003.00000102(15a5613e))
2023-04-28 16:27:45.107@LM_DEBUG@(6592|6608) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:27:45.107@LM_DEBUG@(6592|6606) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:27:45.110@LM_DEBUG@(6592|6605) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:27:45.111@LM_DEBUG@(6592|6607) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:27:45.111@LM_INFO@(6592|6604) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-28 16:27:45.112@LM_INFO@(6592|6604) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-28 16:27:47.108@LM_DEBUG@(6592|6608) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:27:47.108@LM_DEBUG@(6592|6606) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:27:47.111@LM_DEBUG@(6592|6605) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:27:47.111@LM_DEBUG@(6592|6607) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:27:47.112@LM_INFO@(6592|6604) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-28 16:27:47.112@LM_INFO@(6592|6604) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-28 16:27:49.108@LM_DEBUG@(6592|6606) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:27:49.108@LM_DEBUG@(6592|6608) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:27:49.111@LM_DEBUG@(6592|6605) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:27:49.112@LM_DEBUG@(6592|6607) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:27:49.113@LM_INFO@(6592|6604) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-28 16:27:49.113@LM_INFO@(6592|6604) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-28 16:27:51.108@LM_DEBUG@(6592|6606) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:27:51.109@LM_DEBUG@(6592|6608) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:27:51.112@LM_DEBUG@(6592|6605) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:27:51.113@LM_DEBUG@(6592|6607) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:27:51.114@LM_INFO@(6592|6604) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-28 16:27:51.114@LM_INFO@(6592|6604) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-28 16:27:53.109@LM_DEBUG@(6592|6606) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:27:53.109@LM_DEBUG@(6592|6608) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:27:53.113@LM_DEBUG@(6592|6605) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:27:53.113@LM_DEBUG@(6592|6607) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:27:53.114@LM_INFO@(6592|6604) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-28 16:27:53.114@LM_INFO@(6592|6604) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-28 16:27:55.109@LM_DEBUG@(6592|6606) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:27:55.109@LM_DEBUG@(6592|6608) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:27:55.114@LM_DEBUG@(6592|6605) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:27:55.114@LM_DEBUG@(6592|6607) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:27:55.115@LM_INFO@(6592|6604) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-28 16:27:55.115@LM_INFO@(6592|6604) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-28 16:27:57.109@LM_DEBUG@(6592|6606) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:27:57.110@LM_DEBUG@(6592|6608) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:27:57.114@LM_DEBUG@(6592|6607) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:27:57.116@LM_DEBUG@(6592|6605) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:27:57.116@LM_INFO@(6592|6604) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-28 16:27:57.116@LM_INFO@(6592|6604) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-28 16:27:59.109@LM_DEBUG@(6592|6606) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:27:59.110@LM_DEBUG@(6592|6608) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:27:59.115@LM_DEBUG@(6592|6607) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:27:59.116@LM_DEBUG@(6592|6605) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:27:59.116@LM_INFO@(6592|6604) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-28 16:27:59.117@LM_INFO@(6592|6604) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-28 16:28:01.110@LM_DEBUG@(6592|6606) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:28:01.111@LM_DEBUG@(6592|6608) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:28:01.116@LM_DEBUG@(6592|6607) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:28:01.117@LM_DEBUG@(6592|6605) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:28:01.117@LM_INFO@(6592|6604) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-28 16:28:01.118@LM_INFO@(6592|6604) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-28 16:28:03.110@LM_DEBUG@(6592|6606) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-28 16:28:03.111@LM_DEBUG@(6592|6608) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-28 16:28:03.117@LM_DEBUG@(6592|6607) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-28 16:28:03.117@LM_DEBUG@(6592|6605) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-28 16:28:03.117@LM_DEBUG@(6592|6592) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-28 16:28:03.117@LM_DEBUG@(6592|6592) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-28 16:28:03.118@LM_DEBUG@(6592|6592) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-28 16:28:03.118@LM_DEBUG@(6592|6592) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-28 16:28:03.118@LM_DEBUG@(6592|6592) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-28 16:28:03.118@LM_DEBUG@(6592|6592) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-28 16:28:03.118@LM_DEBUG@(6592|6592) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-28 16:28:03.118@LM_INFO@(6592|6592) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-28 16:28:04.311@LM_INFO@(6592|6593) DataWriterListenerImpl::on_liveliness_lost 0x217ed80 1
2023-04-28 16:28:04.311@LM_INFO@(6592|6593)    total_count=1 total_count_change=1
2023-04-28 16:28:04.316@LM_INFO@(6592|6593) DataWriterListenerImpl::on_liveliness_lost 0x2173990 1
2023-04-28 16:28:04.316@LM_INFO@(6592|6593)    total_count=1 total_count_change=1
2023-04-28 16:28:04.317@LM_INFO@(6592|6593) DataWriterListenerImpl::on_liveliness_lost 0x213e980 1
2023-04-28 16:28:04.317@LM_INFO@(6592|6593)    total_count=1 total_count_change=1
2023-04-28 16:28:05.470@LM_INFO@(6592|6593) DataWriterListenerImpl::on_liveliness_lost 0x215a3d0 1
2023-04-28 16:28:05.470@LM_INFO@(6592|6593)    total_count=1 total_count_change=1
2023-04-28 16:28:06.117@LM_INFO@(6592|6593) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 45 (01030000.5d3806c6.00000002.00000202(03e81b4d))
2023-04-28 16:28:06.118@LM_INFO@(6592|6593) instance is unregistered
2023-04-28 16:28:06.236@LM_INFO@(6592|6593) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 39 (01030000.5d3806c6.00000002.00000102(28c5488e))
2023-04-28 16:28:06.240@LM_INFO@(6592|6593) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 48 (01030000.5d3806c6.00000002.00000302(1af32a0c))
2023-04-28 16:28:07.280@LM_INFO@(6592|6593) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-28 16:28:07.280@LM_INFO@(6592|6592) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-28 16:28:07.280@LM_INFO@subscriber got 20 of 20 messages, and 8 of 8 callbacks
2023-04-28 16:28:07.281@LM_INFO@(6592|6604) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 39 (01030000.5d3806c6.00000002.00000102(28c5488e))
2023-04-28 16:28:07.282@LM_INFO@(6592|6593) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 42 (01030000.5d3806c6.00000003.00000102(15a5613e))
2023-04-28 16:28:07.282@LM_INFO@(6592|6604) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 45 (01030000.5d3806c6.00000002.00000202(03e81b4d))
2023-04-28 16:28:08.304@LM_INFO@(6592|6594) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 1
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 39 (01030000.5d3806c6.00000002.00000102(28c5488e))
2023-04-28 16:28:08.306@LM_INFO@(6592|6594) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 0
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.5d3806c6.00000002.00000202(03e81b4d))
2023-04-28 16:28:08.307@LM_INFO@(6592|6594) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 48 (01030000.5d3806c6.00000002.00000302(1af32a0c))
2023-04-28 16:28:08.320@LM_INFO@(6592|6594) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 42 (01030000.5d3806c6.00000003.00000102(15a5613e))
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl rtps Time:26s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl rtps lost #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 6619
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_inforepo_rtps.ini -l -n 4 -t 10 -c 8 -N 4 -C 16 -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 6626 started at 2023-04-28 16:28:08
2023-04-28 16:28:08.737@LM_NOTICE@(6626|6626) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(6619|6619) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-28 16:28:08.801@LM_WARNING@(6626|6626) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-28 16:28:08.969@LM_DEBUG@(6626|6626) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-28 16:28:08.969@LM_DEBUG@(6626|6626) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 01030000.64bfb207.00000002.00000102(d73e584f)
2023-04-28 16:28:08.969@LM_DEBUG@(6626|6626) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-28 16:28:08.969@LM_DEBUG@(6626|6626) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 01030000.64bfb207.00000003.00000102(ea5e71ff)
2023-04-28 16:28:08.969@LM_DEBUG@(6626|6640) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-28 16:28:08.969@LM_DEBUG@(6626|6626) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-28 16:28:08.969@LM_DEBUG@(6626|6626) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 01030000.64bfb207.00000002.00000202(fc130b8c)
2023-04-28 16:28:08.969@LM_DEBUG@(6626|6626) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-28 16:28:08.969@LM_DEBUG@(6626|6626) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 01030000.64bfb207.00000002.00000302(e5083acd)
2023-04-28 16:28:08.970@LM_DEBUG@(6626|6626) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-28 16:28:08.970@LM_DEBUG@(6626|6643) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-28 16:28:08.970@LM_DEBUG@(6626|6643) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:28:08.970@LM_DEBUG@(6626|6641) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-28 16:28:08.970@LM_DEBUG@(6626|6641) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:28:08.970@LM_DEBUG@(6626|6640) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:28:08.970@LM_INFO@(6626|6639) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 39 (01030000.64bfb207.00000002.00000102(d73e584f))
2023-04-28 16:28:08.971@LM_DEBUG@(6626|6642) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-28 16:28:08.971@LM_DEBUG@(6626|6642) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:28:08.971@LM_INFO@(6626|6639) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-28 16:28:08.972@LM_INFO@(6626|6639) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.64bfb207.00000002.00000202(fc130b8c))
2023-04-28 16:28:08.972@LM_INFO@(6626|6639) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-28 16:28:08.980@LM_INFO@(6626|6639) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 48 (01030000.64bfb207.00000002.00000302(e5083acd))
2023-04-28 16:28:10.536@LM_INFO@(6626|6639) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 42 (01030000.64bfb207.00000003.00000102(ea5e71ff))
2023-04-28 16:28:12.170@LM_INFO@(6626|6628) DataWriterListenerImpl::on_liveliness_lost 0x1f529f0 1
2023-04-28 16:28:12.170@LM_INFO@(6626|6628)    total_count=1 total_count_change=1
2023-04-28 16:28:12.171@LM_INFO@(6626|6628) DataWriterListenerImpl::on_liveliness_lost 0x1f12590 1
2023-04-28 16:28:12.171@LM_INFO@(6626|6628)    total_count=1 total_count_change=1
2023-04-28 16:28:12.172@LM_INFO@(6626|6628) DataWriterListenerImpl::on_liveliness_lost 0x1f478a0 1
2023-04-28 16:28:12.172@LM_INFO@(6626|6628)    total_count=1 total_count_change=1
2023-04-28 16:28:13.726@LM_INFO@(6626|6628) DataWriterListenerImpl::on_liveliness_lost 0x1f2dfe0 1
2023-04-28 16:28:13.727@LM_INFO@(6626|6628)    total_count=1 total_count_change=1
2023-04-28 16:28:13.972@LM_INFO@(6626|6628) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 39 (01030000.64bfb207.00000002.00000102(d73e584f))
2023-04-28 16:28:13.973@LM_INFO@(6626|6628) instance is unregistered
2023-04-28 16:28:13.973@LM_INFO@(6626|6628) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 45 (01030000.64bfb207.00000002.00000202(fc130b8c))
2023-04-28 16:28:13.981@LM_INFO@(6626|6628) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 48 (01030000.64bfb207.00000002.00000302(e5083acd))
2023-04-28 16:28:15.537@LM_INFO@(6626|6628) DataReaderListenerImpl::on_liveliness_changed #8
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 42 (01030000.64bfb207.00000003.00000102(ea5e71ff))
2023-04-28 16:28:38.971@LM_DEBUG@(6626|6640) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:28:38.972@LM_INFO@(6626|6639) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 39 (01030000.64bfb207.00000002.00000102(d73e584f))
2023-04-28 16:28:38.973@LM_DEBUG@(6626|6641) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:28:38.973@LM_DEBUG@(6626|6643) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:28:38.973@LM_DEBUG@(6626|6642) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:28:38.973@LM_INFO@(6626|6639) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-28 16:28:38.974@LM_INFO@(6626|6639) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 45 (01030000.64bfb207.00000002.00000202(fc130b8c))
2023-04-28 16:28:38.974@LM_INFO@(6626|6639) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-28 16:28:38.983@LM_INFO@(6626|6639) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 3
  not_alive_count = 1
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 48 (01030000.64bfb207.00000002.00000302(e5083acd))
2023-04-28 16:28:39.337@LM_INFO@(6626|6639) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 42 (01030000.64bfb207.00000003.00000102(ea5e71ff))
2023-04-28 16:28:42.173@LM_INFO@(6626|6628) DataWriterListenerImpl::on_liveliness_lost 0x1f12590 2
2023-04-28 16:28:42.173@LM_INFO@(6626|6628)    total_count=2 total_count_change=1
2023-04-28 16:28:42.173@LM_INFO@(6626|6628) DataWriterListenerImpl::on_liveliness_lost 0x1f529f0 2
2023-04-28 16:28:42.173@LM_INFO@(6626|6628)    total_count=2 total_count_change=1
2023-04-28 16:28:42.173@LM_INFO@(6626|6628) DataWriterListenerImpl::on_liveliness_lost 0x1f478a0 2
2023-04-28 16:28:42.173@LM_INFO@(6626|6628)    total_count=2 total_count_change=1
2023-04-28 16:28:42.526@LM_INFO@(6626|6628) DataWriterListenerImpl::on_liveliness_lost 0x1f2dfe0 2
2023-04-28 16:28:42.527@LM_INFO@(6626|6628)    total_count=2 total_count_change=1
2023-04-28 16:28:43.973@LM_INFO@(6626|6628) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 39 (01030000.64bfb207.00000002.00000102(d73e584f))
2023-04-28 16:28:43.974@LM_INFO@(6626|6628) instance is unregistered
2023-04-28 16:28:43.988@LM_INFO@(6626|6628) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 45 (01030000.64bfb207.00000002.00000202(fc130b8c))
2023-04-28 16:28:43.998@LM_INFO@(6626|6628) DataReaderListenerImpl::on_liveliness_changed #15
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 48 (01030000.64bfb207.00000002.00000302(e5083acd))
2023-04-28 16:28:44.337@LM_INFO@(6626|6628) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-28 16:28:44.337@LM_INFO@(6626|6628) DataReaderListenerImpl::on_liveliness_changed #16
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 42 (01030000.64bfb207.00000003.00000102(ea5e71ff))
2023-04-28 16:28:48.973@LM_DEBUG@(6626|6640) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-28 16:28:48.973@LM_DEBUG@(6626|6626) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-28 16:28:48.973@LM_DEBUG@(6626|6626) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-28 16:28:48.973@LM_DEBUG@(6626|6641) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-28 16:28:48.973@LM_DEBUG@(6626|6626) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-28 16:28:48.973@LM_DEBUG@(6626|6626) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-28 16:28:48.973@LM_DEBUG@(6626|6643) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-28 16:28:48.974@LM_DEBUG@(6626|6642) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-28 16:28:48.974@LM_DEBUG@(6626|6626) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-28 16:28:48.974@LM_DEBUG@(6626|6626) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-28 16:28:48.974@LM_DEBUG@(6626|6626) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-28 16:28:48.974@LM_INFO@(6626|6626) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-28 16:28:48.974@LM_INFO@(6626|6626) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-28 16:28:48.974@LM_INFO@subscriber got 4 of 4 messages, and 16 of 16 callbacks
2023-04-28 16:28:48.976@LM_INFO@(6626|6639) DataReaderListenerImpl::on_liveliness_changed #17
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 39 (01030000.64bfb207.00000002.00000102(d73e584f))
2023-04-28 16:28:49.004@LM_INFO@(6626|6639) DataReaderListenerImpl::on_liveliness_changed #18
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 45 (01030000.64bfb207.00000002.00000202(fc130b8c))
2023-04-28 16:28:49.999@LM_INFO@(6626|6629) DataReaderListenerImpl::on_liveliness_changed #19
  alive_count = 1
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 39 (01030000.64bfb207.00000002.00000102(d73e584f))
2023-04-28 16:28:50.001@LM_INFO@(6626|6629) DataReaderListenerImpl::on_liveliness_changed #20
  alive_count = 0
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.64bfb207.00000002.00000202(fc130b8c))
2023-04-28 16:28:50.004@LM_INFO@(6626|6629) DataReaderListenerImpl::on_liveliness_changed #21
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 48 (01030000.64bfb207.00000002.00000302(e5083acd))
2023-04-28 16:28:50.017@LM_INFO@(6626|6629) DataReaderListenerImpl::on_liveliness_changed #22
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 42 (01030000.64bfb207.00000003.00000102(ea5e71ff))
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl rtps lost Time:42s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_rtps_tcp.ini  -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 6646 started at 2023-04-28 16:28:50
2023-04-28 16:28:50.338@LM_NOTICE@(6646|6646) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
2023-04-28 16:28:50.395@LM_WARNING@(6646|6646) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-28 16:28:50.720@LM_DEBUG@(6646|6646) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-28 16:28:50.720@LM_DEBUG@(6646|6646) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 0103000c.297a35f2.19f60170.00000002(de01379d)
2023-04-28 16:28:50.720@LM_DEBUG@(6646|6646) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-28 16:28:50.720@LM_DEBUG@(6646|6660) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-28 16:28:50.720@LM_DEBUG@(6646|6646) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 0103000c.297a35f2.19f60171.00000002(e3611e2d)
2023-04-28 16:28:50.720@LM_DEBUG@(6646|6646) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-28 16:28:50.720@LM_DEBUG@(6646|6646) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 0103000c.297a35f2.19f60170.01000002(66bd50f8)
2023-04-28 16:28:50.720@LM_DEBUG@(6646|6646) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-28 16:28:50.720@LM_DEBUG@(6646|6646) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 0103000c.297a35f2.19f60170.02000002(7408ff16)
2023-04-28 16:28:50.721@LM_DEBUG@(6646|6646) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-28 16:28:50.721@LM_DEBUG@(6646|6660) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:28:50.721@LM_DEBUG@(6646|6661) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-28 16:28:50.721@LM_DEBUG@(6646|6661) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:28:50.721@LM_INFO@(6646|6659) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 24 (0103000c.297a35f2.19f60170.00000002(de01379d))
2023-04-28 16:28:50.721@LM_DEBUG@(6646|6663) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-28 16:28:50.721@LM_DEBUG@(6646|6663) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:28:50.722@LM_DEBUG@(6646|6662) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-28 16:28:50.722@LM_DEBUG@(6646|6662) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:28:50.722@LM_INFO@(6646|6659) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-28 16:28:50.723@LM_INFO@(6646|6659) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 28 (0103000c.297a35f2.19f60170.02000002(7408ff16))
2023-04-28 16:28:50.723@LM_INFO@(6646|6659) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 26 (0103000c.297a35f2.19f60170.01000002(66bd50f8))
2023-04-28 16:28:50.723@LM_INFO@(6646|6659) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-28 16:28:52.000@LM_INFO@(6646|6653) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 22 (0103000c.297a35f2.19f60171.00000002(e3611e2d))
2023-04-28 16:28:52.721@LM_DEBUG@(6646|6660) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:28:52.722@LM_DEBUG@(6646|6661) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:28:52.722@LM_DEBUG@(6646|6663) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:28:52.722@LM_DEBUG@(6646|6662) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:28:52.722@LM_INFO@(6646|6659) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-28 16:28:52.723@LM_INFO@(6646|6659) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-28 16:28:54.722@LM_DEBUG@(6646|6661) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:28:54.722@LM_DEBUG@(6646|6660) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:28:54.723@LM_DEBUG@(6646|6663) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:28:54.723@LM_DEBUG@(6646|6662) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:28:54.723@LM_INFO@(6646|6659) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-28 16:28:54.723@LM_INFO@(6646|6659) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-28 16:28:56.722@LM_DEBUG@(6646|6661) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:28:56.723@LM_DEBUG@(6646|6660) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:28:56.723@LM_DEBUG@(6646|6663) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:28:56.723@LM_DEBUG@(6646|6662) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:28:56.724@LM_INFO@(6646|6659) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-28 16:28:56.724@LM_INFO@(6646|6659) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-28 16:28:58.722@LM_DEBUG@(6646|6661) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:28:58.723@LM_DEBUG@(6646|6660) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:28:58.724@LM_DEBUG@(6646|6663) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:28:58.724@LM_DEBUG@(6646|6662) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:28:58.724@LM_INFO@(6646|6659) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-28 16:28:58.725@LM_INFO@(6646|6659) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-28 16:29:00.723@LM_DEBUG@(6646|6661) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:29:00.724@LM_DEBUG@(6646|6660) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:29:00.725@LM_DEBUG@(6646|6663) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:29:00.725@LM_DEBUG@(6646|6662) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:29:00.725@LM_INFO@(6646|6659) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-28 16:29:00.726@LM_INFO@(6646|6659) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-28 16:29:02.723@LM_DEBUG@(6646|6661) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:29:02.725@LM_DEBUG@(6646|6660) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:29:02.725@LM_DEBUG@(6646|6663) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:29:02.726@LM_DEBUG@(6646|6662) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:29:02.726@LM_INFO@(6646|6659) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-28 16:29:02.726@LM_INFO@(6646|6659) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-28 16:29:04.723@LM_DEBUG@(6646|6661) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:29:04.725@LM_DEBUG@(6646|6660) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:29:04.726@LM_DEBUG@(6646|6663) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:29:04.726@LM_DEBUG@(6646|6662) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:29:04.726@LM_INFO@(6646|6659) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-28 16:29:04.727@LM_INFO@(6646|6659) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-28 16:29:06.723@LM_DEBUG@(6646|6661) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:29:06.726@LM_DEBUG@(6646|6660) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:29:06.726@LM_DEBUG@(6646|6663) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:29:06.727@LM_DEBUG@(6646|6662) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:29:06.727@LM_INFO@(6646|6659) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-28 16:29:06.727@LM_INFO@(6646|6659) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-28 16:29:08.724@LM_DEBUG@(6646|6661) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:29:08.727@LM_DEBUG@(6646|6660) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:29:08.727@LM_DEBUG@(6646|6663) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:29:08.727@LM_DEBUG@(6646|6662) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:29:08.728@LM_INFO@(6646|6659) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-28 16:29:08.728@LM_INFO@(6646|6659) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-28 16:29:10.724@LM_DEBUG@(6646|6661) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-28 16:29:10.727@LM_DEBUG@(6646|6663) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-28 16:29:10.728@LM_DEBUG@(6646|6660) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-28 16:29:10.728@LM_DEBUG@(6646|6662) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-28 16:29:10.728@LM_DEBUG@(6646|6646) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-28 16:29:10.728@LM_DEBUG@(6646|6646) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-28 16:29:10.728@LM_DEBUG@(6646|6646) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-28 16:29:10.728@LM_DEBUG@(6646|6646) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-28 16:29:10.728@LM_DEBUG@(6646|6646) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-28 16:29:10.728@LM_DEBUG@(6646|6646) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-28 16:29:10.729@LM_DEBUG@(6646|6646) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-28 16:29:10.729@LM_INFO@(6646|6646) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-28 16:29:11.927@LM_INFO@(6646|6647) DataWriterListenerImpl::on_liveliness_lost 0x12a3e80 1
2023-04-28 16:29:11.927@LM_INFO@(6646|6647)    total_count=1 total_count_change=1
2023-04-28 16:29:11.928@LM_INFO@(6646|6647) DataWriterListenerImpl::on_liveliness_lost 0x134cff0 1
2023-04-28 16:29:11.981@LM_INFO@(6646|6647)    total_count=1 total_count_change=1
2023-04-28 16:29:11.981@LM_INFO@(6646|6647) DataWriterListenerImpl::on_liveliness_lost 0x1341d10 1
2023-04-28 16:29:11.981@LM_INFO@(6646|6647)    total_count=1 total_count_change=1
2023-04-28 16:29:12.801@LM_INFO@(6646|6647) DataWriterListenerImpl::on_liveliness_lost 0x12fbec0 1
2023-04-28 16:29:12.801@LM_INFO@(6646|6647)    total_count=1 total_count_change=1
2023-04-28 16:29:14.600@LM_INFO@(6646|6647) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 24 (0103000c.297a35f2.19f60170.00000002(de01379d))
2023-04-28 16:29:14.601@LM_INFO@(6646|6647) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 26 (0103000c.297a35f2.19f60170.01000002(66bd50f8))
2023-04-28 16:29:14.601@LM_INFO@(6646|6647) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 28 (0103000c.297a35f2.19f60170.02000002(7408ff16))
2023-04-28 16:29:14.607@LM_INFO@(6646|6647) instance is unregistered
2023-04-28 16:29:14.607@LM_INFO@(6646|6647) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-28 16:29:14.607@LM_INFO@(6646|6647) DataReaderListenerImpl::on_liveliness_changed #8
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 22 (0103000c.297a35f2.19f60171.00000002(e3611e2d))
2023-04-28 16:29:14.607@LM_INFO@(6646|6646) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-28 16:29:14.608@LM_INFO@subscriber got 20 of 20 messages, and 8 of 8 callbacks
2023-04-28 16:29:14.609@LM_INFO@(6646|6659) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 24 (0103000c.297a35f2.19f60170.00000002(de01379d))
2023-04-28 16:29:14.609@LM_INFO@(6646|6659) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 26 (0103000c.297a35f2.19f60170.01000002(66bd50f8))
2023-04-28 16:29:14.610@LM_INFO@(6646|6652) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 1
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 24 (0103000c.297a35f2.19f60170.00000002(de01379d))
2023-04-28 16:29:14.612@LM_INFO@(6646|6652) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 0
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 26 (0103000c.297a35f2.19f60170.01000002(66bd50f8))
2023-04-28 16:29:14.612@LM_INFO@(6646|6652) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 28 (0103000c.297a35f2.19f60170.02000002(7408ff16))
2023-04-28 16:29:14.625@LM_INFO@(6646|6652) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 22 (0103000c.297a35f2.19f60171.00000002(e3611e2d))
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco Time:24s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco lost #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_rtps_tcp.ini -l -n 4 -t 10 -c 8 -N 4 -C 16 -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 6674 started at 2023-04-28 16:29:14
2023-04-28 16:29:14.880@LM_NOTICE@(6674|6674) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
2023-04-28 16:29:14.932@LM_WARNING@(6674|6674) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-28 16:29:15.261@LM_DEBUG@(6674|6674) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-28 16:29:15.261@LM_DEBUG@(6674|6674) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 0103000c.297a35f2.1a125621.00000002(b3996c4e)
2023-04-28 16:29:15.261@LM_DEBUG@(6674|6674) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-28 16:29:15.261@LM_DEBUG@(6674|6674) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 0103000c.297a35f2.1a125622.00000002(f439169e)
2023-04-28 16:29:15.261@LM_DEBUG@(6674|6688) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-28 16:29:15.261@LM_DEBUG@(6674|6674) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-28 16:29:15.261@LM_DEBUG@(6674|6674) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 0103000c.297a35f2.1a125621.01000002(0b250b2b)
2023-04-28 16:29:15.262@LM_DEBUG@(6674|6674) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-28 16:29:15.262@LM_DEBUG@(6674|6674) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 0103000c.297a35f2.1a125621.02000002(1990a4c5)
2023-04-28 16:29:15.262@LM_INFO@(6674|6687) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 24 (0103000c.297a35f2.1a125621.00000002(b3996c4e))
2023-04-28 16:29:15.262@LM_DEBUG@(6674|6690) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-28 16:29:15.262@LM_DEBUG@(6674|6674) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-28 16:29:15.262@LM_DEBUG@(6674|6689) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-28 16:29:15.262@LM_DEBUG@(6674|6689) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:29:15.263@LM_DEBUG@(6674|6691) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-28 16:29:15.263@LM_DEBUG@(6674|6691) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:29:15.263@LM_DEBUG@(6674|6690) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:29:15.263@LM_INFO@(6674|6687) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 26 (0103000c.297a35f2.1a125621.01000002(0b250b2b))
2023-04-28 16:29:15.263@LM_DEBUG@(6674|6688) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:29:15.264@LM_INFO@(6674|6687) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-28 16:29:15.264@LM_INFO@(6674|6687) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-28 16:29:15.265@LM_INFO@(6674|6687) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 28 (0103000c.297a35f2.1a125621.02000002(1990a4c5))
2023-04-28 16:29:16.538@LM_INFO@(6674|6681) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 20 (0103000c.297a35f2.1a125622.00000002(f439169e))
2023-04-28 16:29:18.464@LM_INFO@(6674|6675) DataWriterListenerImpl::on_liveliness_lost 0x1d9f840 1
2023-04-28 16:29:18.464@LM_INFO@(6674|6675)    total_count=1 total_count_change=1
2023-04-28 16:29:18.464@LM_INFO@(6674|6675) DataWriterListenerImpl::on_liveliness_lost 0x1d01db0 1
2023-04-28 16:29:18.464@LM_INFO@(6674|6675)    total_count=1 total_count_change=1
2023-04-28 16:29:18.465@LM_INFO@(6674|6675) DataWriterListenerImpl::on_liveliness_lost 0x1daab20 1
2023-04-28 16:29:18.465@LM_INFO@(6674|6675)    total_count=1 total_count_change=1
2023-04-28 16:29:19.737@LM_INFO@(6674|6675) DataWriterListenerImpl::on_liveliness_lost 0x1d599f0 1
2023-04-28 16:29:19.737@LM_INFO@(6674|6675)    total_count=1 total_count_change=1
2023-04-28 16:29:21.526@LM_INFO@(6674|6675) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 24 (0103000c.297a35f2.1a125621.00000002(b3996c4e))
2023-04-28 16:29:21.526@LM_INFO@(6674|6675) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 26 (0103000c.297a35f2.1a125621.01000002(0b250b2b))
2023-04-28 16:29:21.527@LM_INFO@(6674|6675) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 28 (0103000c.297a35f2.1a125621.02000002(1990a4c5))
2023-04-28 16:29:21.539@LM_INFO@(6674|6675) instance is unregistered
2023-04-28 16:29:21.539@LM_INFO@(6674|6675) DataReaderListenerImpl::on_liveliness_changed #8
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 20 (0103000c.297a35f2.1a125622.00000002(f439169e))
2023-04-28 16:29:45.263@LM_DEBUG@(6674|6689) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:29:45.264@LM_DEBUG@(6674|6690) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:29:45.264@LM_DEBUG@(6674|6688) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:29:45.264@LM_INFO@(6674|6687) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 26 (0103000c.297a35f2.1a125621.01000002(0b250b2b))
2023-04-28 16:29:45.265@LM_INFO@(6674|6687) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-28 16:29:45.265@LM_INFO@(6674|6687) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 24 (0103000c.297a35f2.1a125621.00000002(b3996c4e))
2023-04-28 16:29:45.265@LM_INFO@(6674|6687) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-28 16:29:45.265@LM_DEBUG@(6674|6691) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:29:45.266@LM_INFO@(6674|6687) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 3
  not_alive_count = 1
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 28 (0103000c.297a35f2.1a125621.02000002(1990a4c5))
2023-04-28 16:29:45.341@LM_INFO@(6674|6681) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 20 (0103000c.297a35f2.1a125622.00000002(f439169e))
2023-04-28 16:29:48.466@LM_INFO@(6674|6675) DataWriterListenerImpl::on_liveliness_lost 0x1d9f840 2
2023-04-28 16:29:48.466@LM_INFO@(6674|6675)    total_count=2 total_count_change=1
2023-04-28 16:29:48.466@LM_INFO@(6674|6675) DataWriterListenerImpl::on_liveliness_lost 0x1d01db0 2
2023-04-28 16:29:48.466@LM_INFO@(6674|6675)    total_count=2 total_count_change=1
2023-04-28 16:29:48.466@LM_INFO@(6674|6675) DataWriterListenerImpl::on_liveliness_lost 0x1daab20 2
2023-04-28 16:29:48.466@LM_INFO@(6674|6675)    total_count=2 total_count_change=1
2023-04-28 16:29:48.537@LM_INFO@(6674|6675) DataWriterListenerImpl::on_liveliness_lost 0x1d599f0 2
2023-04-28 16:29:48.537@LM_INFO@(6674|6675)    total_count=2 total_count_change=1
2023-04-28 16:29:50.341@LM_INFO@(6674|6675) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 24 (0103000c.297a35f2.1a125621.00000002(b3996c4e))
2023-04-28 16:29:50.359@LM_INFO@(6674|6675) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 26 (0103000c.297a35f2.1a125621.01000002(0b250b2b))
2023-04-28 16:29:50.359@LM_INFO@(6674|6675) DataReaderListenerImpl::on_liveliness_changed #15
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 28 (0103000c.297a35f2.1a125621.02000002(1990a4c5))
2023-04-28 16:29:50.360@LM_INFO@(6674|6675) instance is unregistered
2023-04-28 16:29:50.360@LM_INFO@(6674|6675) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-28 16:29:50.360@LM_INFO@(6674|6675) DataReaderListenerImpl::on_liveliness_changed #16
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 20 (0103000c.297a35f2.1a125622.00000002(f439169e))
2023-04-28 16:29:55.263@LM_DEBUG@(6674|6689) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-28 16:29:55.265@LM_DEBUG@(6674|6690) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-28 16:29:55.265@LM_DEBUG@(6674|6688) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-28 16:29:55.265@LM_DEBUG@(6674|6674) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-28 16:29:55.265@LM_DEBUG@(6674|6674) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-28 16:29:55.265@LM_DEBUG@(6674|6674) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-28 16:29:55.265@LM_DEBUG@(6674|6674) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-28 16:29:55.265@LM_DEBUG@(6674|6674) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-28 16:29:55.265@LM_DEBUG@(6674|6674) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-28 16:29:55.265@LM_DEBUG@(6674|6691) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-28 16:29:55.266@LM_DEBUG@(6674|6674) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-28 16:29:55.266@LM_INFO@(6674|6674) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-28 16:29:55.266@LM_INFO@(6674|6674) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-28 16:29:55.266@LM_INFO@subscriber got 4 of 4 messages, and 16 of 16 callbacks
2023-04-28 16:29:55.266@LM_INFO@(6674|6687) DataReaderListenerImpl::on_liveliness_changed #17
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 24 (0103000c.297a35f2.1a125621.00000002(b3996c4e))
2023-04-28 16:29:55.266@LM_INFO@(6674|6687) DataReaderListenerImpl::on_liveliness_changed #18
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 26 (0103000c.297a35f2.1a125621.01000002(0b250b2b))
2023-04-28 16:29:55.268@LM_INFO@(6674|6680) DataReaderListenerImpl::on_liveliness_changed #19
  alive_count = 1
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 24 (0103000c.297a35f2.1a125621.00000002(b3996c4e))
2023-04-28 16:29:55.268@LM_INFO@(6674|6680) DataReaderListenerImpl::on_liveliness_changed #20
  alive_count = 0
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 26 (0103000c.297a35f2.1a125621.01000002(0b250b2b))
2023-04-28 16:29:55.268@LM_INFO@(6674|6680) DataReaderListenerImpl::on_liveliness_changed #21
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 28 (0103000c.297a35f2.1a125621.02000002(1990a4c5))
2023-04-28 16:29:55.274@LM_INFO@(6674|6680) DataReaderListenerImpl::on_liveliness_changed #22
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 20 (0103000c.297a35f2.1a125622.00000002(f439169e))
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco lost Time:41s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_rtps_rtps.ini  -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 6696 started at 2023-04-28 16:29:55
2023-04-28 16:29:55.426@LM_NOTICE@(6696|6696) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
2023-04-28 16:29:55.915@LM_DEBUG@(6696|6696) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-28 16:29:55.915@LM_DEBUG@(6696|6696) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 0103000c.297a35f2.1a28404e.00000002(71679748)
2023-04-28 16:29:55.915@LM_DEBUG@(6696|6696) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-28 16:29:55.915@LM_DEBUG@(6696|6710) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-28 16:29:55.915@LM_DEBUG@(6696|6696) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 0103000c.297a35f2.1a28404f.00000002(4c07bef8)
2023-04-28 16:29:55.915@LM_DEBUG@(6696|6696) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-28 16:29:55.915@LM_DEBUG@(6696|6696) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 0103000c.297a35f2.1a28404e.01000002(c9dbf02d)
2023-04-28 16:29:55.916@LM_DEBUG@(6696|6696) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-28 16:29:55.916@LM_DEBUG@(6696|6696) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 0103000c.297a35f2.1a28404e.02000002(db6e5fc3)
2023-04-28 16:29:55.916@LM_DEBUG@(6696|6696) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-28 16:29:55.916@LM_DEBUG@(6696|6713) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-28 16:29:55.916@LM_DEBUG@(6696|6713) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:29:55.916@LM_INFO@(6696|6709) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 23 (0103000c.297a35f2.1a28404e.00000002(71679748))
2023-04-28 16:29:55.916@LM_DEBUG@(6696|6712) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-28 16:29:55.916@LM_DEBUG@(6696|6710) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:29:55.917@LM_INFO@(6696|6709) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 27 (0103000c.297a35f2.1a28404e.01000002(c9dbf02d))
2023-04-28 16:29:55.917@LM_DEBUG@(6696|6711) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-28 16:29:55.917@LM_DEBUG@(6696|6712) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:29:55.917@LM_DEBUG@(6696|6711) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:29:55.918@LM_INFO@(6696|6709) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-28 16:29:55.919@LM_INFO@(6696|6709) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-28 16:29:55.927@LM_INFO@(6696|6709) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 28 (0103000c.297a35f2.1a28404e.02000002(db6e5fc3))
2023-04-28 16:29:57.058@LM_INFO@(6696|6703) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 22 (0103000c.297a35f2.1a28404f.00000002(4c07bef8))
2023-04-28 16:29:57.917@LM_DEBUG@(6696|6713) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:29:57.918@LM_DEBUG@(6696|6710) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:29:57.918@LM_DEBUG@(6696|6711) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:29:57.918@LM_INFO@(6696|6709) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-28 16:29:57.919@LM_DEBUG@(6696|6712) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:29:57.920@LM_INFO@(6696|6709) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-28 16:29:59.917@LM_DEBUG@(6696|6713) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:29:59.918@LM_DEBUG@(6696|6711) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:29:59.918@LM_DEBUG@(6696|6710) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:29:59.919@LM_INFO@(6696|6709) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-28 16:29:59.920@LM_DEBUG@(6696|6712) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:29:59.920@LM_INFO@(6696|6709) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-28 16:30:01.917@LM_DEBUG@(6696|6713) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:30:01.918@LM_DEBUG@(6696|6711) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:30:01.919@LM_DEBUG@(6696|6710) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:30:01.920@LM_INFO@(6696|6709) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-28 16:30:01.920@LM_DEBUG@(6696|6712) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:30:01.921@LM_INFO@(6696|6709) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-28 16:30:03.918@LM_DEBUG@(6696|6713) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:30:03.918@LM_DEBUG@(6696|6711) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:30:03.919@LM_DEBUG@(6696|6710) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:30:03.920@LM_INFO@(6696|6709) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-28 16:30:03.922@LM_DEBUG@(6696|6712) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:30:03.922@LM_INFO@(6696|6709) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-28 16:30:05.918@LM_DEBUG@(6696|6713) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:30:05.918@LM_DEBUG@(6696|6711) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:30:05.920@LM_DEBUG@(6696|6710) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:30:05.921@LM_INFO@(6696|6709) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-28 16:30:05.922@LM_DEBUG@(6696|6712) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:30:05.923@LM_INFO@(6696|6709) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-28 16:30:07.918@LM_DEBUG@(6696|6713) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:30:07.919@LM_DEBUG@(6696|6711) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:30:07.921@LM_DEBUG@(6696|6710) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:30:07.921@LM_INFO@(6696|6709) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-28 16:30:07.922@LM_DEBUG@(6696|6712) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:30:07.923@LM_INFO@(6696|6709) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-28 16:30:09.919@LM_DEBUG@(6696|6713) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:30:09.919@LM_DEBUG@(6696|6711) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:30:09.921@LM_DEBUG@(6696|6710) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:30:09.922@LM_INFO@(6696|6709) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-28 16:30:09.923@LM_DEBUG@(6696|6712) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:30:09.923@LM_INFO@(6696|6709) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-28 16:30:11.919@LM_DEBUG@(6696|6713) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:30:11.919@LM_DEBUG@(6696|6711) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:30:11.922@LM_DEBUG@(6696|6710) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:30:11.922@LM_INFO@(6696|6709) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-28 16:30:11.923@LM_DEBUG@(6696|6712) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:30:11.924@LM_INFO@(6696|6709) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-28 16:30:13.920@LM_DEBUG@(6696|6713) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:30:13.920@LM_DEBUG@(6696|6711) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:30:13.922@LM_DEBUG@(6696|6710) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:30:13.923@LM_INFO@(6696|6709) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-28 16:30:13.924@LM_DEBUG@(6696|6712) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:30:13.924@LM_INFO@(6696|6709) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-28 16:30:15.920@LM_DEBUG@(6696|6713) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-28 16:30:15.920@LM_DEBUG@(6696|6711) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-28 16:30:15.923@LM_DEBUG@(6696|6710) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-28 16:30:15.923@LM_DEBUG@(6696|6696) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-28 16:30:15.923@LM_DEBUG@(6696|6696) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-28 16:30:15.923@LM_DEBUG@(6696|6696) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-28 16:30:15.923@LM_DEBUG@(6696|6696) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-28 16:30:15.924@LM_DEBUG@(6696|6712) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-28 16:30:15.924@LM_DEBUG@(6696|6696) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-28 16:30:15.924@LM_DEBUG@(6696|6696) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-28 16:30:15.924@LM_DEBUG@(6696|6696) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-28 16:30:15.924@LM_INFO@(6696|6696) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-28 16:30:17.121@LM_INFO@(6696|6697) DataWriterListenerImpl::on_liveliness_lost 0xd8e510 1
2023-04-28 16:30:17.121@LM_INFO@(6696|6697)    total_count=1 total_count_change=1
2023-04-28 16:30:17.123@LM_INFO@(6696|6697) DataWriterListenerImpl::on_liveliness_lost 0xd4c550 1
2023-04-28 16:30:17.123@LM_INFO@(6696|6697)    total_count=1 total_count_change=1
2023-04-28 16:30:17.124@LM_INFO@(6696|6697) DataWriterListenerImpl::on_liveliness_lost 0xd82f10 1
2023-04-28 16:30:17.124@LM_INFO@(6696|6697)    total_count=1 total_count_change=1
2023-04-28 16:30:17.862@LM_INFO@(6696|6697) DataWriterListenerImpl::on_liveliness_lost 0xd69160 1
2023-04-28 16:30:17.862@LM_INFO@(6696|6697)    total_count=1 total_count_change=1
2023-04-28 16:30:19.663@LM_INFO@(6696|6697) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 23 (0103000c.297a35f2.1a28404e.00000002(71679748))
2023-04-28 16:30:19.664@LM_INFO@(6696|6697) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 27 (0103000c.297a35f2.1a28404e.01000002(c9dbf02d))
2023-04-28 16:30:19.664@LM_INFO@(6696|6697) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 28 (0103000c.297a35f2.1a28404e.02000002(db6e5fc3))
2023-04-28 16:30:19.664@LM_INFO@(6696|6697) instance is unregistered
2023-04-28 16:30:19.664@LM_INFO@(6696|6697) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-28 16:30:19.664@LM_INFO@(6696|6697) DataReaderListenerImpl::on_liveliness_changed #8
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 22 (0103000c.297a35f2.1a28404f.00000002(4c07bef8))
2023-04-28 16:30:19.664@LM_INFO@(6696|6696) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-28 16:30:19.664@LM_INFO@subscriber got 20 of 20 messages, and 8 of 8 callbacks
2023-04-28 16:30:19.666@LM_INFO@(6696|6709) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 23 (0103000c.297a35f2.1a28404e.00000002(71679748))
2023-04-28 16:30:19.666@LM_INFO@(6696|6709) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 27 (0103000c.297a35f2.1a28404e.01000002(c9dbf02d))
2023-04-28 16:30:20.687@LM_INFO@(6696|6702) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 1
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 23 (0103000c.297a35f2.1a28404e.00000002(71679748))
2023-04-28 16:30:20.689@LM_INFO@(6696|6702) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 0
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 27 (0103000c.297a35f2.1a28404e.01000002(c9dbf02d))
2023-04-28 16:30:20.690@LM_INFO@(6696|6702) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 28 (0103000c.297a35f2.1a28404e.02000002(db6e5fc3))
2023-04-28 16:30:20.697@LM_INFO@(6696|6702) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 22 (0103000c.297a35f2.1a28404f.00000002(4c07bef8))
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco rtps Time:25s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco rtps lost #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_rtps_rtps.ini -l -n 4 -t 10 -c 8 -N 4 -C 16 -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 6728 started at 2023-04-28 16:30:20
2023-04-28 16:30:20.836@LM_NOTICE@(6728|6728) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
2023-04-28 16:30:21.207@LM_DEBUG@(6728|6728) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-28 16:30:21.207@LM_DEBUG@(6728|6728) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 0103000c.297a35f2.1a488f2f.00000002(be3d2931)
2023-04-28 16:30:21.207@LM_DEBUG@(6728|6728) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-28 16:30:21.208@LM_DEBUG@(6728|6728) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 0103000c.297a35f2.1a488f30.00000002(5c8d2962)
2023-04-28 16:30:21.208@LM_DEBUG@(6728|6742) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-28 16:30:21.208@LM_DEBUG@(6728|6728) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-28 16:30:21.208@LM_DEBUG@(6728|6728) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 0103000c.297a35f2.1a488f2f.01000002(06814e54)
2023-04-28 16:30:21.208@LM_DEBUG@(6728|6728) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-28 16:30:21.208@LM_DEBUG@(6728|6728) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 0103000c.297a35f2.1a488f2f.02000002(1434e1ba)
2023-04-28 16:30:21.208@LM_DEBUG@(6728|6728) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-28 16:30:21.208@LM_DEBUG@(6728|6745) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-28 16:30:21.208@LM_DEBUG@(6728|6745) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:30:21.208@LM_DEBUG@(6728|6743) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-28 16:30:21.208@LM_DEBUG@(6728|6743) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:30:21.208@LM_DEBUG@(6728|6744) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-28 16:30:21.208@LM_INFO@(6728|6741) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 25 (0103000c.297a35f2.1a488f2f.00000002(be3d2931))
2023-04-28 16:30:21.209@LM_DEBUG@(6728|6742) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:30:21.209@LM_INFO@(6728|6741) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 27 (0103000c.297a35f2.1a488f2f.01000002(06814e54))
2023-04-28 16:30:21.209@LM_DEBUG@(6728|6744) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:30:21.209@LM_INFO@(6728|6741) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-28 16:30:21.210@LM_INFO@(6728|6741) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-28 16:30:21.219@LM_INFO@(6728|6741) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 28 (0103000c.297a35f2.1a488f2f.02000002(1434e1ba))
2023-04-28 16:30:22.474@LM_INFO@(6728|6735) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 22 (0103000c.297a35f2.1a488f30.00000002(5c8d2962))
2023-04-28 16:30:24.408@LM_INFO@(6728|6729) DataWriterListenerImpl::on_liveliness_lost 0x19ed4b0 1
2023-04-28 16:30:24.409@LM_INFO@(6728|6729)    total_count=1 total_count_change=1
2023-04-28 16:30:24.409@LM_INFO@(6728|6729) DataWriterListenerImpl::on_liveliness_lost 0x19aaf20 1
2023-04-28 16:30:24.409@LM_INFO@(6728|6729)    total_count=1 total_count_change=1
2023-04-28 16:30:24.409@LM_INFO@(6728|6729) DataWriterListenerImpl::on_liveliness_lost 0x19e2110 1
2023-04-28 16:30:24.409@LM_INFO@(6728|6729)    total_count=1 total_count_change=1
2023-04-28 16:30:25.673@LM_INFO@(6728|6729) DataWriterListenerImpl::on_liveliness_lost 0x19c8230 1
2023-04-28 16:30:25.673@LM_INFO@(6728|6729)    total_count=1 total_count_change=1
2023-04-28 16:30:27.469@LM_INFO@(6728|6729) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 25 (0103000c.297a35f2.1a488f2f.00000002(be3d2931))
2023-04-28 16:30:27.469@LM_INFO@(6728|6729) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 27 (0103000c.297a35f2.1a488f2f.01000002(06814e54))
2023-04-28 16:30:27.469@LM_INFO@(6728|6729) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 28 (0103000c.297a35f2.1a488f2f.02000002(1434e1ba))
2023-04-28 16:30:27.475@LM_INFO@(6728|6729) instance is unregistered
2023-04-28 16:30:27.475@LM_INFO@(6728|6729) DataReaderListenerImpl::on_liveliness_changed #8
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 22 (0103000c.297a35f2.1a488f30.00000002(5c8d2962))
2023-04-28 16:30:51.209@LM_DEBUG@(6728|6743) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-28 16:30:51.209@LM_DEBUG@(6728|6745) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-28 16:30:51.210@LM_DEBUG@(6728|6742) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-28 16:30:51.211@LM_DEBUG@(6728|6744) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-28 16:30:51.211@LM_INFO@(6728|6741) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 25 (0103000c.297a35f2.1a488f2f.00000002(be3d2931))
2023-04-28 16:30:51.212@LM_INFO@(6728|6741) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-28 16:30:51.212@LM_INFO@(6728|6741) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 27 (0103000c.297a35f2.1a488f2f.01000002(06814e54))
2023-04-28 16:30:51.212@LM_INFO@(6728|6741) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-28 16:30:51.220@LM_INFO@(6728|6741) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 3
  not_alive_count = 1
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 28 (0103000c.297a35f2.1a488f2f.02000002(1434e1ba))
2023-04-28 16:30:51.278@LM_INFO@(6728|6735) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 22 (0103000c.297a35f2.1a488f30.00000002(5c8d2962))
2023-04-28 16:30:54.411@LM_INFO@(6728|6729) DataWriterListenerImpl::on_liveliness_lost 0x19ed4b0 2
2023-04-28 16:30:54.411@LM_INFO@(6728|6729)    total_count=2 total_count_change=1
2023-04-28 16:30:54.411@LM_INFO@(6728|6729) DataWriterListenerImpl::on_liveliness_lost 0x19aaf20 2
2023-04-28 16:30:54.411@LM_INFO@(6728|6729)    total_count=2 total_count_change=1
2023-04-28 16:30:54.411@LM_INFO@(6728|6729) DataWriterListenerImpl::on_liveliness_lost 0x19e2110 2
2023-04-28 16:30:54.411@LM_INFO@(6728|6729)    total_count=2 total_count_change=1
2023-04-28 16:30:54.473@LM_INFO@(6728|6729) DataWriterListenerImpl::on_liveliness_lost 0x19c8230 2
2023-04-28 16:30:54.473@LM_INFO@(6728|6729)    total_count=2 total_count_change=1
2023-04-28 16:30:56.278@LM_INFO@(6728|6729) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 25 (0103000c.297a35f2.1a488f2f.00000002(be3d2931))
2023-04-28 16:30:56.278@LM_INFO@(6728|6729) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 27 (0103000c.297a35f2.1a488f2f.01000002(06814e54))
2023-04-28 16:30:56.299@LM_INFO@(6728|6729) DataReaderListenerImpl::on_liveliness_changed #15
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 28 (0103000c.297a35f2.1a488f2f.02000002(1434e1ba))
2023-04-28 16:30:56.299@LM_INFO@(6728|6729) instance is unregistered
2023-04-28 16:30:56.299@LM_INFO@(6728|6729) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-28 16:30:56.299@LM_INFO@(6728|6729) DataReaderListenerImpl::on_liveliness_changed #16
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 22 (0103000c.297a35f2.1a488f30.00000002(5c8d2962))
2023-04-28 16:31:01.209@LM_DEBUG@(6728|6743) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-28 16:31:01.209@LM_DEBUG@(6728|6745) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-28 16:31:01.211@LM_DEBUG@(6728|6742) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-28 16:31:01.211@LM_DEBUG@(6728|6728) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-28 16:31:01.211@LM_DEBUG@(6728|6728) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-28 16:31:01.211@LM_DEBUG@(6728|6728) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-28 16:31:01.211@LM_DEBUG@(6728|6744) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-28 16:31:01.211@LM_DEBUG@(6728|6728) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-28 16:31:01.211@LM_DEBUG@(6728|6728) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-28 16:31:01.211@LM_DEBUG@(6728|6728) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-28 16:31:01.211@LM_DEBUG@(6728|6728) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-28 16:31:01.211@LM_INFO@(6728|6728) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-28 16:31:01.211@LM_INFO@(6728|6728) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-28 16:31:01.211@LM_INFO@subscriber got 4 of 4 messages, and 16 of 16 callbacks
2023-04-28 16:31:01.212@LM_INFO@(6728|6741) DataReaderListenerImpl::on_liveliness_changed #17
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 25 (0103000c.297a35f2.1a488f2f.00000002(be3d2931))
2023-04-28 16:31:01.213@LM_INFO@(6728|6741) DataReaderListenerImpl::on_liveliness_changed #18
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 27 (0103000c.297a35f2.1a488f2f.01000002(06814e54))
2023-04-28 16:31:02.235@LM_INFO@(6728|6734) DataReaderListenerImpl::on_liveliness_changed #19
  alive_count = 1
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 25 (0103000c.297a35f2.1a488f2f.00000002(be3d2931))
2023-04-28 16:31:02.235@LM_INFO@(6728|6734) DataReaderListenerImpl::on_liveliness_changed #20
  alive_count = 0
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 27 (0103000c.297a35f2.1a488f2f.01000002(06814e54))
2023-04-28 16:31:02.236@LM_INFO@(6728|6734) DataReaderListenerImpl::on_liveliness_changed #21
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 28 (0103000c.297a35f2.1a488f2f.02000002(1434e1ba))
2023-04-28 16:31:02.242@LM_INFO@(6728|6734) DataReaderListenerImpl::on_liveliness_changed #22
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 22 (0103000c.297a35f2.1a488f30.00000002(5c8d2962))
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco rtps lost Time:42s Result:0

==============================================================================

tests/DCPS/Serializer_wstring/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -NOBITS -DCPSPendingTimeout 3 
InfoRepo PID: 6751
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Serializer_wstring/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub.log -DCPSBit 0  -DCPSPendingTimeout 3 
pub PID: 6758 started at 2023-04-28 16:31:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Serializer_wstring/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub.log -DCPSBit 0  -DCPSPendingTimeout 3 
sub PID: 6759 started at 2023-04-28 16:31:02
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
Message: subject_id = 99
         count      = 0
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 1
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 2
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 3
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 4
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 5
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 6
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 7
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 8
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 9
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Serializer_wstring/run_test.pl Time:4s Result:0

==============================================================================

tests/DCPS/SharedTransport/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -o repo.ior 
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/test -DCPSPendingTimeout 3 -DCPSConfigFile tcp.ini 
(6785|6785) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(6778|6778) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(6785|6785) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
TestCase.cpp:49: INFO: TestCase::init_datawriter
TestCase.cpp:62: INFO: TestCase::init_datareader
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 0
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 1
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 2
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 3
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 4
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 5
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 6
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 7
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 8
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 9
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 10
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 11
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 12
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 13
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 14
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 15
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 16
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 17
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 18
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 19
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 20
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 21
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 22
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 23
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 24
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 25
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 26
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 27
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 28
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 29
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 30
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 31
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 32
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 33
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 34
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 35
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 36
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 37
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 38
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 39
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 40
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 41
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 42
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 43
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 44
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 45
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 46
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 47
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 48
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 49
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 50
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 51
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 52
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 53
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 54
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 55
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 56
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 57
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 58
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 59
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 60
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 61
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 62
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 63
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 64
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 65
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 66
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 67
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 68
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 69
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 70
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 71
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 72
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 73
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 74
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 75
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 76
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 77
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 78
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 79
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 80
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 81
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 82
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 83
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 84
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 85
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 86
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 87
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 88
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 89
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 90
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 91
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 92
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 93
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 94
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 95
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 96
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 97
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 98
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 99
         message = Testing!
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/SharedTransport/run_test.pl Time:4s Result:0

==============================================================================

tests/DCPS/SharedTransport/run_test.pl rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/test -DCPSPendingTimeout 3 -DCPSConfigFile rtps.ini 
(6795|6795) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
TestCase.cpp:49: INFO: TestCase::init_datawriter
TestCase.cpp:62: INFO: TestCase::init_datareader
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 0
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 1
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 2
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 3
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 4
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 5
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 6
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 7
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 8
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 9
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 10
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 11
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 12
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 13
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 14
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 15
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 16
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 17
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 18
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 19
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 20
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 21
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 22
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 23
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 24
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 25
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 26
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 27
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 28
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 29
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 30
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 31
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 32
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 33
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 34
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 35
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 36
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 37
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 38
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 39
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 40
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 41
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 42
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 43
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 44
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 45
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 46
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 47
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 48
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 49
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 50
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 51
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 52
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 53
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 54
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 55
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 56
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 57
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 58
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 59
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 60
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 61
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 62
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 63
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 64
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 65
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 66
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 67
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 68
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 69
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 70
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 71
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 72
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 73
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 74
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 75
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 76
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 77
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 78
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 79
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 80
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 81
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 82
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 83
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 84
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 85
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 86
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 87
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 88
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 89
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 90
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 91
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 92
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 93
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 94
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 95
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 96
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 97
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 98
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 99
         message = Testing!
test PASSED.

auto_run_tests_finished: tests/DCPS/SharedTransport/run_test.pl rtps Time:4s Result:0

==============================================================================

tests/DCPS/SharedTransport/run_test.pl rtps 2 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/test -DCPSPendingTimeout 3 -DCPSConfigFile rtps.ini 2
(6802|6802) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
TestCase.cpp:49: INFO: TestCase::init_datawriter
TestCase.cpp:49: INFO: TestCase::init_datawriter
TestCase.cpp:62: INFO: TestCase::init_datareader
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 0
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 100
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 1
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 101
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 2
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 102
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 3
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 103
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 4
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 104
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 5
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 105
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 6
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 106
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 7
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 107
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 8
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 108
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 9
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 109
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 10
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 110
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 11
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 111
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 12
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 112
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 13
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 113
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 14
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 114
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 15
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 115
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 16
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 116
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 17
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 117
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 18
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 118
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 19
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 119
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 20
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 120
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 21
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 121
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 22
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 122
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 23
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 123
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 24
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 124
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 25
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 125
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 26
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 126
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 27
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 127
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 28
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 128
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 29
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 129
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 30
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 130
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 31
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 131
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 32
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 132
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 33
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 133
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 34
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 134
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 35
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 135
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 36
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 136
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 37
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 137
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 38
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 138
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 39
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 139
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 40
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 140
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 41
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 141
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 42
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 142
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 43
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 143
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 44
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 144
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 45
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 145
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 46
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 146
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 47
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 147
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 48
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 148
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 49
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 149
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 50
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 150
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 51
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 151
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 52
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 152
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 53
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 153
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 54
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 154
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 55
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 155
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 56
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 156
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 57
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 157
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 58
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 158
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 59
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 159
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 60
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 160
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 61
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 161
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 62
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 162
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 63
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 163
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 64
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 164
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 65
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 165
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 66
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 166
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 67
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 167
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 68
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 168
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 69
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 169
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 70
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 170
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 71
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 171
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 72
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 172
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 73
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 173
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 74
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 174
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 75
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 175
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 76
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 176
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 77
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 177
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 78
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 178
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 79
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 179
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 80
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 180
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 81
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 181
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 82
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 182
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 83
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 183
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 84
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 184
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 85
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 185
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 86
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 186
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 87
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 187
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 88
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 188
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 89
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 189
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 90
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 190
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 91
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 191
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 92
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 192
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 93
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 193
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 94
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 194
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 95
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 195
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 96
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 196
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 97
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 197
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 98
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 198
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 99
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 199
         message = Testing!
test PASSED.

auto_run_tests_finished: tests/DCPS/SharedTransport/run_test.pl rtps 2 Time:4s Result:0

==============================================================================

tests/DCPS/SharedTransport/run_test.pl udp #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -o repo.ior 
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/test -DCPSPendingTimeout 3 -DCPSConfigFile udp.ini 
(6816|6816) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(6809|6809) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(6816|6816) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
TestCase.cpp:49: INFO: TestCase::init_datawriter
TestCase.cpp:62: INFO: TestCase::init_datareader
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 0
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 1
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 2
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 3
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 4
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 5
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 6
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 7
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 8
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 9
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 10
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 11
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 12
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 13
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 14
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 15
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 16
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 17
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 18
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 19
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 20
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 21
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 22
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 23
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 24
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 25
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 26
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 27
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 28
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 29
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 30
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 31
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 32
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 33
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 34
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 35
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 36
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 37
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 38
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 39
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 40
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 41
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 42
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 43
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 44
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 45
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 46
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 47
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 48
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 49
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 50
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 51
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 52
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 53
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 54
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 55
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 56
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 57
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 58
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 59
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 60
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 61
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 62
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 63
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 64
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 65
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 66
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 67
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 68
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 69
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 70
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 71
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 72
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 73
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 74
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 75
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 76
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 77
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 78
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 79
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 80
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 81
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 82
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 83
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 84
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 85
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 86
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 87
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 88
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 89
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 90
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 91
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 92
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 93
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 94
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 95
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 96
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 97
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 98
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 99
         message = Testing!
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/SharedTransport/run_test.pl udp Time:4s Result:0

==============================================================================

tests/DCPS/SharedTransport/run_test.pl multicast #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -o repo.ior 
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/test -DCPSPendingTimeout 3 -DCPSConfigFile multicast.ini 
(6833|6833) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(6826|6826) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(6833|6833) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
TestCase.cpp:49: INFO: TestCase::init_datawriter
TestCase.cpp:62: INFO: TestCase::init_datareader
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 0
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 1
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 2
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 3
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 4
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 5
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 6
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 7
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 8
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 9
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 10
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 11
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 12
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 13
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 14
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 15
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 16
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 17
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 18
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 19
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 20
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 21
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 22
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 23
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 24
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 25
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 26
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 27
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 28
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 29
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 30
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 31
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 32
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 33
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 34
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 35
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 36
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 37
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 38
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 39
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 40
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 41
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 42
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 43
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 44
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 45
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 46
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 47
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 48
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 49
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 50
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 51
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 52
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 53
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 54
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 55
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 56
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 57
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 58
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 59
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 60
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 61
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 62
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 63
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 64
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 65
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 66
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 67
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 68
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 69
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 70
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 71
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 72
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 73
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 74
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 75
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 76
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 77
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 78
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 79
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 80
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 81
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 82
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 83
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 84
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 85
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 86
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 87
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 88
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 89
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 90
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 91
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 92
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 93
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 94
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 95
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 96
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 97
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 98
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 99
         message = Testing!
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/SharedTransport/run_test.pl multicast Time:3s Result:0

==============================================================================

tests/DCPS/SharedTransport/run_test.pl shmem #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -o repo.ior 
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/test -DCPSPendingTimeout 3 -DCPSConfigFile shmem.ini 
(6850|6850) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(6850|6850) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(6843|6843) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
TestCase.cpp:49: INFO: TestCase::init_datawriter
TestCase.cpp:62: INFO: TestCase::init_datareader
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 0
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 1
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 2
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 3
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 4
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 5
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 6
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 7
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 8
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 9
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 10
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 11
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 12
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 13
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 14
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 15
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 16
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 17
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 18
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 19
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 20
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 21
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 22
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 23
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 24
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 25
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 26
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 27
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 28
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 29
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 30
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 31
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 32
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 33
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 34
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 35
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 36
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 37
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 38
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 39
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 40
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 41
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 42
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 43
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 44
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 45
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 46
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 47
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 48
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 49
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 50
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 51
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 52
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 53
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 54
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 55
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 56
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 57
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 58
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 59
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 60
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 61
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 62
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 63
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 64
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 65
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 66
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 67
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 68
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 69
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 70
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 71
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 72
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 73
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 74
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 75
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 76
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 77
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 78
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 79
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 80
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 81
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 82
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 83
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 84
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 85
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 86
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 87
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 88
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 89
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 90
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 91
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 92
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 93
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 94
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 95
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 96
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 97
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 98
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 99
         message = Testing!
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/SharedTransport/run_test.pl shmem Time:4s Result:0

==============================================================================

tests/DCPS/SharedTransport/run_test.pl rtps_disc_tcp #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/test -DCPSPendingTimeout 3 -DCPSConfigFile rtps_disc_tcp.ini 
(6861|6861) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
TestCase.cpp:49: INFO: TestCase::init_datawriter
(6861|6861) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
TestCase.cpp:62: INFO: TestCase::init_datareader
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 0
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 1
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 2
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 3
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 4
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 5
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 6
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 7
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 8
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 9
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 10
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 11
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 12
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 13
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 14
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 15
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 16
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 17
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 18
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 19
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 20
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 21
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 22
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 23
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 24
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 25
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 26
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 27
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 28
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 29
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 30
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 31
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 32
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 33
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 34
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 35
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 36
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 37
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 38
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 39
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 40
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 41
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 42
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 43
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 44
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 45
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 46
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 47
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 48
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 49
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 50
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 51
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 52
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 53
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 54
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 55
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 56
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 57
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 58
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 59
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 60
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 61
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 62
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 63
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 64
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 65
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 66
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 67
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 68
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 69
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 70
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 71
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 72
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 73
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 74
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 75
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 76
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 77
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 78
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 79
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 80
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 81
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 82
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 83
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 84
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 85
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 86
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 87
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 88
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 89
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 90
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 91
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 92
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 93
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 94
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 95
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 96
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 97
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 98
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 99
         message = Testing!
(6861|6861) send_bytes: iov[0].iov_len = 28 .iov_base =E001B4F0
(6861|6861) send_bytes: iov[1].iov_len = 40 .iov_base =265B4D0
(6861|6861) send_bytes: iov[2].iov_len = 20 .iov_base =2603F50
(6861|6861) WARNING: TransportSendStrategy::stop() - terminating with 88 unsent bytes.
(6861|6861) WARNING: TransportSendStrategy::stop() - terminating with 0 unsent elements.
test PASSED.

auto_run_tests_finished: tests/DCPS/SharedTransport/run_test.pl rtps_disc_tcp Time:3s Result:0

==============================================================================

tests/DCPS/ConfigFile/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigFile/ConfigFile -DCPSConfigFile test1.ini
(6875|6875) Service_Participant::set_repo_ior: Repo[DEFAULT_REPO] == file://repo.ior
(6875|6875) [repository/DEFAULT_REPO]: RepositoryIor == file://repo.ior
(6875|6875) NOTICE: "DCPSDefaultDiscovery" is defined in config file, with value "MyDefaultDiscovery".
(6875|6875) NOTICE: "DCPSBidirGIOP" is not defined in config file - using code default.
(6875|6875) NOTICE: "DCPSThreadStatusInterval" is not defined in config file - using code default.
(6875|6875) NOTICE: "ORBLogFile" is not defined in config file - using code default.
(6875|6875) NOTICE: "ORBVerboseLogging" is not defined in config file - using code default.
(6875|6875) NOTICE: "DCPSMonitor" is not defined in config file - using code default.
(6875|6875) NOTICE: "DCPSTypeObjectEncoding" is not defined in config file - using code default.
(6875|6875) NOTICE: "DCPSLogLevel" is not defined in config file - using code default.
(6875|6875) NOTICE: "scheduler" is not defined in config file - using code default.
(6875|6875) NOTICE: "scheduler_slice" is not defined in config file - using code default.
(6875|6875) NOTICE: Service_Participant::load_domain_ranges(): config does not have a [DomainRange] section.
(6875|6875) [repository/xyz]: DCPSBitTransportIPAddress == 1.2.3.4
(6875|6875) [repository/xyz]: DCPSBitTransportPort == 4321
(6875|6875) [repository/xyz]: RepositoryIor == file://repo3.ior
(6875|6875) [repository/MyDefaultDiscovery]: RepositoryIor == file://repo3.ior
(6875|6875) [repository/333]: RepositoryIor == file://repo2.ior
(6875|6875) [repository/333]: RepositoryKey == 333
(6875|6875) NOTICE: TransportRegistry::load_transport_templates(): config does not have a [transport_template] section.
(6875|6875) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(6875|6875) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(6875|6875) NOTICE: "max_packet_size" is not defined in config file - using code default.
(6875|6875) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(6875|6875) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(6875|6875) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(6875|6875) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(6875|6875) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(6875|6875) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(6875|6875) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(6875|6875) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(6875|6875) NOTICE: "local_address" is not defined in config file - using code default.
(6875|6875) NOTICE: "pub_address" is not defined in config file - using code default.
(6875|6875) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(6875|6875) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(6875|6875) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(6875|6875) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(6875|6875) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(6875|6875) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(6875|6875) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(6875|6875) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(6875|6875) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(6875|6875) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(6875|6875) NOTICE: "local_address" is defined in config file, with value "localhost:".
(6875|6875) NOTICE: "pub_address" is not defined in config file - using code default.
(6875|6875) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(6875|6875) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(6875|6875) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(6875|6875) NOTICE: "max_packet_size" is not defined in config file - using code default.
(6875|6875) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(6875|6875) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(6875|6875) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(6875|6875) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(6875|6875) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(6875|6875) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(6875|6875) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(6875|6875) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(6875|6875) NOTICE: "local_address" is not defined in config file - using code default.
(6875|6875) NOTICE: "pub_address" is not defined in config file - using code default.
(6875|6875) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(6875|6875) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(6875|6875) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(6875|6875) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(6875|6875) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(6875|6875) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(6875|6875) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(6875|6875) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(6875|6875) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(6875|6875) NOTICE: "max_packet_size" is not defined in config file - using code default.
(6875|6875) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(6875|6875) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(6875|6875) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(6875|6875) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(6875|6875) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(6875|6875) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(6875|6875) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(6875|6875) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(6875|6875) NOTICE: "local_address" is not defined in config file - using code default.
(6875|6875) NOTICE: "pub_address" is not defined in config file - using code default.
(6875|6875) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(6875|6875) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(6875|6875) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(6875|6875) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(6875|6875) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(6875|6875) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(6875|6875) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(6875|6875) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(6875|6875) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(6875|6875) NOTICE: "max_packet_size" is not defined in config file - using code default.
(6875|6875) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(6875|6875) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(6875|6875) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(6875|6875) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(6875|6875) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(6875|6875) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(6875|6875) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(6875|6875) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(6875|6875) NOTICE: "local_address" is not defined in config file - using code default.
(6875|6875) NOTICE: "pub_address" is not defined in config file - using code default.
(6875|6875) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(6875|6875) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(6875|6875) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(6875|6875) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(6875|6875) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(6875|6875) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(6875|6875) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(6875|6875) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(6875|6875) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(6875|6875) NOTICE: "max_packet_size" is not defined in config file - using code default.
(6875|6875) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(6875|6875) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(6875|6875) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(6875|6875) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(6875|6875) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(6875|6875) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(6875|6875) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(6875|6875) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(6875|6875) NOTICE: "local_address" is not defined in config file - using code default.
(6875|6875) NOTICE: "pub_address" is not defined in config file - using code default.
(6875|6875) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(6875|6875) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(6875|6875) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(6875|6875) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(6875|6875) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(6875|6875) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(6875|6875) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(6875|6875) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(6875|6875) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(6875|6875) NOTICE: "max_packet_size" is not defined in config file - using code default.
(6875|6875) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(6875|6875) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(6875|6875) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(6875|6875) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(6875|6875) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(6875|6875) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(6875|6875) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(6875|6875) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(6875|6875) NOTICE: "local_address" is not defined in config file - using code default.
(6875|6875) NOTICE: "pub_address" is not defined in config file - using code default.
(6875|6875) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(6875|6875) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(6875|6875) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(6875|6875) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(6875|6875) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(6875|6875) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(6875|6875) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(6875|6875) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(6875|6875) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(6875|6875) NOTICE: "max_packet_size" is not defined in config file - using code default.
(6875|6875) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(6875|6875) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(6875|6875) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(6875|6875) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(6875|6875) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(6875|6875) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(6875|6875) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(6875|6875) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(6875|6875) NOTICE: "default_to_ipv6" is not defined in config file - using code default.
(6875|6875) NOTICE: "port_offset" is not defined in config file - using code default.
(6875|6875) NOTICE: "group_address" is not defined in config file - using code default.
(6875|6875) NOTICE: "local_address" is not defined in config file - using code default.
(6875|6875) NOTICE: "reliable" is not defined in config file - using code default.
(6875|6875) NOTICE: "syn_backoff" is not defined in config file - using code default.
(6875|6875) NOTICE: "syn_interval" is not defined in config file - using code default.
(6875|6875) NOTICE: "syn_timeout" is not defined in config file - using code default.
(6875|6875) NOTICE: "nak_depth" is not defined in config file - using code default.
(6875|6875) NOTICE: "nak_interval" is not defined in config file - using code default.
(6875|6875) NOTICE: "nak_delay_intervals" is not defined in config file - using code default.
(6875|6875) NOTICE: "nak_max" is not defined in config file - using code default.
(6875|6875) NOTICE: "nak_timeout" is not defined in config file - using code default.
(6875|6875) NOTICE: "ttl" is not defined in config file - using code default.
(6875|6875) NOTICE: "rcv_buffer_size" is not defined in config file - using code default.
(6875|6875) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(6875|6875) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(6875|6875) NOTICE: "max_packet_size" is not defined in config file - using code default.
(6875|6875) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(6875|6875) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(6875|6875) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(6875|6875) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(6875|6875) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(6875|6875) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(6875|6875) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(6875|6875) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(6875|6875) NOTICE: "local_address" is not defined in config file - using code default.
(6875|6875) NOTICE: "send_buffer_size" is not defined in config file - using code default.
(6875|6875) NOTICE: "rcv_buffer_size" is not defined in config file - using code default.
(6875|6875) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(6875|6875) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(6875|6875) NOTICE: "max_packet_size" is not defined in config file - using code default.
(6875|6875) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(6875|6875) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(6875|6875) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(6875|6875) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(6875|6875) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(6875|6875) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(6875|6875) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(6875|6875) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(6875|6875) NOTICE: "local_address" is not defined in config file - using code default.
(6875|6875) NOTICE: "pub_address" is not defined in config file - using code default.
(6875|6875) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(6875|6875) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(6875|6875) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(6875|6875) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(6875|6875) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(6875|6875) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(6875|6875) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(6875|6875) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(6875|6875) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(6875|6875) NOTICE: "max_packet_size" is not defined in config file - using code default.
(6875|6875) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(6875|6875) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(6875|6875) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(6875|6875) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(6875|6875) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(6875|6875) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(6875|6875) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(6875|6875) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(6875|6875) NOTICE: "local_address" is not defined in config file - using code default.
(6875|6875) NOTICE: "pub_address" is not defined in config file - using code default.
(6875|6875) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(6875|6875) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(6875|6875) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(6875|6875) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(6875|6875) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(6875|6875) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(6875|6875) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(6875|6875) Service_Participant::set_repo_domain: Domain[ 100] = Repo[ DEFAULT_STATIC].
(6875|6875) Service_Participant::set_repo_domain: Domain[ 1235] = Repo[ xyz].
(6875|6875) [domain/1234]: DomainId == 1234
(6875|6875) [domain/1234]: DomainRepoKey == 333
(6875|6875) Service_Participant::set_repo_domain: Domain[ 1234] = Repo[ 333].
(6875|6875) Service_Participant::set_repo_domain: Domain[ 99] = Repo[ MyConfig].
(6875|6875) Service_Participant::set_repo_domain: Domain[ 98] = Repo[ MultiSendAddr].
(6875|6875) [domain/21]: DomainId == 21
(6875|6875) Service_Participant::set_repo_domain: Domain[ 21] = Repo[ DEFAULT_RTPS].
(6875|6875) NOTICE: StaticDiscovery::parse_topics processing [topic/TheTopic] section.
(6875|6875) NOTICE: StaticDiscovery::parse_datawriterqos no [datawriterqos] sections.
(6875|6875) NOTICE: StaticDiscovery::parse_datareaderqos no [datareaderqos] sections.
(6875|6875) NOTICE: StaticDiscovery::parse_publisherqos no [publisherqos] sections.
(6875|6875) NOTICE: StaticDiscovery::parse_subscriberqos no [subscriberqos] sections.
(6875|6875) NOTICE: StaticDiscovery::parse_endpoints processing [endpoint/MyWriter] section.
(6875|6875) DEBUG: StaticDiscovery::parse_endpoints adding entity with id 01030000.00640000.00000000.00000102(44b6056a)
(6875|6875) NOTICE: StaticDiscovery::parse_endpoints processing [endpoint/MyReader] section.
(6875|6875) DEBUG: StaticDiscovery::parse_endpoints adding entity with id 01030000.00640000.00000000.00000007(2dc7c0a4)
(6875|6875) NOTICE: Service_Participant::intializeScheduling() - no scheduling policy specified, not setting policy.
(6875|6875) Service_Participant::get_domain_participant_factory: Creating LinuxNetworkConfigMonitor
(6875|6875) Service_Participant::shutdown
(6875|6875) DomainParticipantFactoryImpl::~DomainParticipantFactoryImpl()
(6875|6875) Service_Participant::~Service_Participant
(6875|6875) Service_Participant::shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ConfigFile/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/ConfigTransports/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 6878
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a process -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 6885 started at 2023-04-28 16:31:33
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a process -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 6886 started at 2023-04-28 16:31:33
(6885|6885) Running colocation opt process
(6885|6885) Topic name: Xyz::Foo
(6885|6885) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(6886|6886) Topic name: Xyz::Foo
(6885|6885) Topic name: Xyz::Foo
(6885|6885) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6885|6885) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6885|6885) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6885|6885) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6885|6885) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6885|6885) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6885|6885) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6885|6885) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6886|6886) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(6886|6886) Topic name: Xyz::Foo
(6886|6886) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6886|6886) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6886|6886) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6886|6886) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6886|6886) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6886|6886) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6886|6886) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6886|6886) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6886|6886) Writer::run_test begins.
(6886|6886)  16:31:33.354768 Writer::run_test starting to write pass 1
(6886|6886)  16:31:33.355667 Writer::run_test done writing.
(6886|6886) Writer::run_test finished.
(6885|6885) Shutting subscriber down ...
(6885|6885) deleting entities1
(6886|6886) deleting entities1
(6886|6886) deleting participant1
(6886|6886) deleting entities2
(6886|6886) deleting participant2
(6885|6885) deleting participant1
(6886|6886) Shutting publisher down ...
(6885|6885) deleting entities2
(6885|6885) deleting participant2
(6885|6885) Subscriber shutting down svc part
(6886|6886) Publisher shutdown complete.
(6886|6886) done.
(6885|6885) Subscriber shutdown complete
(6885|6885) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->1
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 6907
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 6914 started at 2023-04-28 16:31:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 6915 started at 2023-04-28 16:31:34
(6914|6914) Running colocation opt process
(6915|6915) Topic name: Xyz::Foo
(6914|6914) Topic name: Xyz::Foo
(6915|6915) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(6914|6914) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(6915|6915) Topic name: Xyz::Foo
(6914|6914) Topic name: Xyz::Foo
(6915|6915) Check if 'udp1' is among supported [udp1]?
(6915|6915) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6915|6915) Writer::run_test begins.
(6915|6915)  16:31:34.730050 Writer::run_test starting to write pass 1
(6915|6915)  16:31:34.730740 Writer::run_test done writing.
(6914|6914) Check if 'udp1' is among supported [udp1]?
(6914|6914) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6915|6915) Writer::run_test finished.
(6914|6914) Shutting subscriber down ...
(6914|6914) deleting entities1
(6915|6915) deleting entities1
(6915|6915) deleting participant1
(6915|6915) deleting entities2
(6915|6915) deleting participant2
(6914|6914) deleting participant1
(6915|6915) Shutting publisher down ...
(6914|6914) deleting entities2
(6914|6914) deleting participant2
(6914|6914) Subscriber shutting down svc part
(6915|6915) Publisher shutdown complete.
(6915|6915) done.
(6914|6914) Subscriber shutdown complete
(6914|6914) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->2
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 6940
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 6947 started at 2023-04-28 16:31:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 6948 started at 2023-04-28 16:31:35
(6947|6947) Running colocation opt process
(6947|6947) Topic name: Xyz::Foo
(6948|6948) Topic name: Xyz::Foo
(6948|6948) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(6947|6947) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(6948|6948) Topic name: Xyz::Foo
(6947|6947) Topic name: Xyz::Foo
(6948|6948) Check if 'udp1' is among supported [udp1]?
(6948|6948) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6948|6948) Writer::run_test begins.
(6948|6948)  16:31:36.064972 Writer::run_test starting to write pass 1
(6948|6948)  16:31:36.066877 Writer::run_test done writing.
(6947|6947) Check if 'udp1' is among supported [udp1]?
(6947|6947) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6948|6948) Writer::run_test finished.
(6947|6947) Shutting subscriber down ...
(6947|6947) deleting entities1
(6947|6947) deleting participant1
(6947|6947) deleting entities2
(6947|6947) deleting participant2
(6947|6947) Subscriber shutting down svc part
(6948|6948) deleting entities1
(6948|6948) deleting participant1
(6947|6947) Subscriber shutdown complete
(6947|6947) done.
(6948|6948) deleting entities2
(6948|6948) deleting participant2
(6948|6948) Shutting publisher down ...
(6948|6948) Publisher shutdown complete.
(6948|6948) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->3
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 6973
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 6980 started at 2023-04-28 16:31:37
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 6981 started at 2023-04-28 16:31:37
(6980|6980) Running colocation opt process
(6980|6980) Topic name: Xyz::Foo
(6981|6981) Topic name: Xyz::Foo
(6980|6980) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(6981|6981) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(6980|6980) Topic name: Xyz::Foo
(6981|6981) Topic name: Xyz::Foo
(6980|6980) Check if 'udp1' is among supported [udp1]?
(6980|6980) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6981|6981) Check if 'udp1' is among supported [udp1]?
(6981|6981) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6981|6981) Writer::run_test begins.
(6981|6981)  16:31:37.456445 Writer::run_test starting to write pass 1
(6981|6981)  16:31:37.458270 Writer::run_test done writing.
(6981|6981) Writer::run_test finished.
(6980|6980) Shutting subscriber down ...
(6980|6980) deleting entities1
(6981|6981) deleting entities1
(6981|6981) deleting participant1
(6981|6981) deleting entities2
(6981|6981) deleting participant2
(6981|6981) Shutting publisher down ...
(6980|6980) deleting participant1
(6980|6980) deleting entities2
(6980|6980) deleting participant2
(6980|6980) Subscriber shutting down svc part
(6981|6981) Publisher shutdown complete.
(6981|6981) done.
(6980|6980) Subscriber shutdown complete
(6980|6980) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->4
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7006
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0   -c true -e none -a none -s none -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7013 started at 2023-04-28 16:31:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0   -c true -e none -a none -s none -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7014 started at 2023-04-28 16:31:38
(7013|7013) Running colocation opt none
(7013|7013) Topic name: Xyz::Foo
(7014|7014) Topic name: Xyz::Foo
(7013|7013) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7013|7013) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0500_TCP]?
(7014|7014) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7014|7014) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0500_TCP]?
(7014|7014) Writer::run_test begins.
(7014|7014)  16:31:38.770742 Writer::run_test starting to write pass 1
(7014|7014)  16:31:38.772873 Writer::run_test done writing.
(7014|7014)  16:31:39.773212 Writer::run_test starting to write pass 2
(7014|7014)  16:31:39.774144 Writer::run_test done writing.
(7014|7014)  16:31:40.774395 Writer::run_test starting to write pass 3
(7014|7014)  16:31:40.774842 Writer::run_test done writing.
(7014|7014)  16:31:41.775127 Writer::run_test starting to write pass 4
(7014|7014)  16:31:41.775487 Writer::run_test done writing.
(7014|7014)  16:31:42.775748 Writer::run_test starting to write pass 5
(7014|7014)  16:31:42.776117 Writer::run_test done writing.
(7014|7014) Writer::run_test finished.
(7013|7013) Shutting subscriber down ...
(7014|7014) deleting entities1
(7013|7013) deleting entities1
(7014|7014) deleting participant1
(7014|7014) Shutting publisher down ...
(7013|7013) deleting participant1
(7013|7013) Subscriber shutting down svc part
(7014|7014) Publisher shutdown complete.
(7014|7014) done.
(7013|7013) Subscriber shutdown complete
(7013|7013) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->5
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7024
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a none -s whatever_just_to_ensure_there_is_a_config_file_on_the_command_line -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7031 started at 2023-04-28 16:31:44
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a none -s whatever_just_to_ensure_there_is_a_config_file_on_the_command_line -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7032 started at 2023-04-28 16:31:44
(7031|7031) Running colocation opt none
(7031|7031) Topic name: Xyz::Foo
(7032|7032) Topic name: Xyz::Foo
(7031|7031) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7032|7032) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7031|7031) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7031|7031) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7031|7031) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7031|7031) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7032|7032) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7032|7032) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7032|7032) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7032|7032) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7032|7032) Writer::run_test begins.
(7032|7032)  16:31:44.174190 Writer::run_test starting to write pass 1
(7032|7032)  16:31:44.174852 Writer::run_test done writing.
(7032|7032)  16:31:45.175191 Writer::run_test starting to write pass 2
(7032|7032)  16:31:45.175552 Writer::run_test done writing.
(7032|7032)  16:31:46.175909 Writer::run_test starting to write pass 3
(7032|7032)  16:31:46.176264 Writer::run_test done writing.
(7032|7032)  16:31:47.176520 Writer::run_test starting to write pass 4
(7032|7032)  16:31:47.176969 Writer::run_test done writing.
(7032|7032)  16:31:48.177262 Writer::run_test starting to write pass 5
(7032|7032)  16:31:48.177605 Writer::run_test done writing.
(7032|7032) Writer::run_test finished.
(7031|7031) Shutting subscriber down ...
(7032|7032) deleting entities1
(7031|7031) deleting entities1
(7032|7032) deleting participant1
(7032|7032) Shutting publisher down ...
(7031|7031) deleting participant1
(7031|7031) Subscriber shutting down svc part
(7032|7032) Publisher shutdown complete.
(7032|7032) done.
(7031|7031) Subscriber shutdown complete
(7031|7031) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->6
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7053
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7060 started at 2023-04-28 16:31:49
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7061 started at 2023-04-28 16:31:49
(7060|7060) Running colocation opt none
(7060|7060) Topic name: Xyz::Foo
(7061|7061) Topic name: Xyz::Foo
(7061|7061) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7060|7060) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7061|7061) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7061|7061) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7061|7061) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7061|7061) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7061|7061) Writer::run_test begins.
(7060|7060) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7060|7060) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7060|7060) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7060|7060) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7061|7061)  16:31:49.495381 Writer::run_test starting to write pass 1
(7061|7061)  16:31:49.496153 Writer::run_test done writing.
(7061|7061)  16:31:50.496516 Writer::run_test starting to write pass 2
(7061|7061)  16:31:50.497401 Writer::run_test done writing.
(7061|7061)  16:31:51.497758 Writer::run_test starting to write pass 3
(7061|7061)  16:31:51.498238 Writer::run_test done writing.
(7061|7061)  16:31:52.498592 Writer::run_test starting to write pass 4
(7061|7061)  16:31:52.499049 Writer::run_test done writing.
(7061|7061)  16:31:53.499403 Writer::run_test starting to write pass 5
(7061|7061)  16:31:53.499866 Writer::run_test done writing.
(7061|7061) Writer::run_test finished.
(7060|7060) Shutting subscriber down ...
(7060|7060) deleting entities1
(7061|7061) deleting entities1
(7061|7061) deleting participant1
(7061|7061) Shutting publisher down ...
(7060|7060) deleting participant1
(7060|7060) Subscriber shutting down svc part
(7061|7061) Publisher shutdown complete.
(7061|7061) done.
(7060|7060) Subscriber shutdown complete
(7060|7060) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->7
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7083
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7090 started at 2023-04-28 16:31:54
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7091 started at 2023-04-28 16:31:54
(7090|7090) Running colocation opt none
(7090|7090) Topic name: Xyz::Foo
(7091|7091) Topic name: Xyz::Foo
(7090|7090) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7091|7091) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7090|7090) Check if 'udp1' is among supported [udp1]?
(7091|7091) Check if 'udp1' is among supported [udp1]?
(7091|7091) Writer::run_test begins.
(7091|7091)  16:31:54.824563 Writer::run_test starting to write pass 1
(7091|7091)  16:31:54.825345 Writer::run_test done writing.
(7091|7091)  16:31:55.825712 Writer::run_test starting to write pass 2
(7091|7091)  16:31:55.826138 Writer::run_test done writing.
(7091|7091)  16:31:56.826467 Writer::run_test starting to write pass 3
(7091|7091)  16:31:56.826851 Writer::run_test done writing.
(7091|7091)  16:31:57.827167 Writer::run_test starting to write pass 4
(7091|7091)  16:31:57.827597 Writer::run_test done writing.
(7091|7091)  16:31:58.827948 Writer::run_test starting to write pass 5
(7091|7091)  16:31:58.828326 Writer::run_test done writing.
(7091|7091) Writer::run_test finished.
(7090|7090) Shutting subscriber down ...
(7090|7090) deleting entities1
(7090|7090) deleting participant1
(7090|7090) Subscriber shutting down svc part
(7091|7091) deleting entities1
(7091|7091) deleting participant1
(7091|7091) Shutting publisher down ...
(7090|7090) Subscriber shutdown complete
(7090|7090) done.
(7091|7091) Publisher shutdown complete.
(7091|7091) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->8
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7100
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7107 started at 2023-04-28 16:32:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7108 started at 2023-04-28 16:32:00
(7107|7107) Running colocation opt none
(7107|7107) Topic name: Xyz::Foo
(7108|7108) Topic name: Xyz::Foo
(7107|7107) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7108|7108) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7107|7107) Check if 'udp1' is among supported [udp1]?
(7108|7108) Check if 'udp1' is among supported [udp1]?
(7108|7108) Writer::run_test begins.
(7108|7108)  16:32:00.262006 Writer::run_test starting to write pass 1
(7108|7108)  16:32:00.262974 Writer::run_test done writing.
(7108|7108)  16:32:01.263332 Writer::run_test starting to write pass 2
(7108|7108)  16:32:01.263851 Writer::run_test done writing.
(7108|7108)  16:32:02.264081 Writer::run_test starting to write pass 3
(7108|7108)  16:32:02.264506 Writer::run_test done writing.
(7108|7108)  16:32:03.264782 Writer::run_test starting to write pass 4
(7108|7108)  16:32:03.265253 Writer::run_test done writing.
(7108|7108)  16:32:04.265586 Writer::run_test starting to write pass 5
(7108|7108)  16:32:04.265928 Writer::run_test done writing.
(7108|7108) Writer::run_test finished.
(7107|7107) Shutting subscriber down ...
(7107|7107) deleting entities1
(7108|7108) deleting entities1
(7108|7108) deleting participant1
(7108|7108) Shutting publisher down ...
(7107|7107) deleting participant1
(7107|7107) Subscriber shutting down svc part
(7107|7107) Subscriber shutdown complete
(7107|7107) done.
(7108|7108) Publisher shutdown complete.
(7108|7108) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->9
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7126
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7133 started at 2023-04-28 16:32:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7134 started at 2023-04-28 16:32:05
(7133|7133) Running colocation opt none
(7133|7133) Topic name: Xyz::Foo
(7134|7134) Topic name: Xyz::Foo
(7134|7134) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7133|7133) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7134|7134) Check if 'udp1' is among supported [udp1]?
(7134|7134) Writer::run_test begins.
(7133|7133) Check if 'udp1' is among supported [udp1]?
(7134|7134)  16:32:05.642975 Writer::run_test starting to write pass 1
(7134|7134)  16:32:05.643893 Writer::run_test done writing.
(7134|7134)  16:32:06.644213 Writer::run_test starting to write pass 2
(7134|7134)  16:32:06.644722 Writer::run_test done writing.
(7134|7134)  16:32:07.645024 Writer::run_test starting to write pass 3
(7134|7134)  16:32:07.645497 Writer::run_test done writing.
(7134|7134)  16:32:08.645788 Writer::run_test starting to write pass 4
(7134|7134)  16:32:08.646220 Writer::run_test done writing.
(7134|7134)  16:32:09.646495 Writer::run_test starting to write pass 5
(7134|7134)  16:32:09.646998 Writer::run_test done writing.
(7134|7134) Writer::run_test finished.
(7133|7133) Shutting subscriber down ...
(7133|7133) deleting entities1
(7134|7134) deleting entities1
(7134|7134) deleting participant1
(7134|7134) Shutting publisher down ...
(7133|7133) deleting participant1
(7133|7133) Subscriber shutting down svc part
(7134|7134) Publisher shutdown complete.
(7134|7134) done.
(7133|7133) Subscriber shutdown complete
(7133|7133) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->10
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7143
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -f _OPENDDS_0300_UDP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7150 started at 2023-04-28 16:32:10
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -f _OPENDDS_0300_UDP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7151 started at 2023-04-28 16:32:10
(7150|7150) Running colocation opt none
(7150|7150) Topic name: Xyz::Foo
(7151|7151) Topic name: Xyz::Foo
(7150|7150) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7151|7151) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7150|7150) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7150|7150) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7150|7150) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7150|7150) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7151|7151) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7151|7151) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7151|7151) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7151|7151) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7151|7151) Writer::run_test begins.
(7151|7151)  16:32:10.981512 Writer::run_test starting to write pass 1
(7151|7151)  16:32:10.982515 Writer::run_test done writing.
(7151|7151)  16:32:11.982885 Writer::run_test starting to write pass 2
(7151|7151)  16:32:11.983313 Writer::run_test done writing.
(7151|7151)  16:32:12.983605 Writer::run_test starting to write pass 3
(7151|7151)  16:32:12.984164 Writer::run_test done writing.
(7151|7151)  16:32:13.984471 Writer::run_test starting to write pass 4
(7151|7151)  16:32:13.984813 Writer::run_test done writing.
(7151|7151)  16:32:14.985110 Writer::run_test starting to write pass 5
(7151|7151)  16:32:14.985635 Writer::run_test done writing.
(7151|7151) Writer::run_test finished.
(7151|7151) Check if '_OPENDDS_0300_UDP' is among negotiated [_OPENDDS_0300_UDP]?
(7150|7150) Shutting subscriber down ...
(7150|7150) deleting entities1
(7151|7151) deleting entities1
(7151|7151) deleting participant1
(7151|7151) Shutting publisher down ...
(7150|7150) deleting participant1
(7150|7150) Subscriber shutting down svc part
(7151|7151) Publisher shutdown complete.
(7151|7151) done.
(7150|7150) Subscriber shutdown complete
(7150|7150) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->11
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7172
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7179 started at 2023-04-28 16:32:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7180 started at 2023-04-28 16:32:16
(7179|7179) Running colocation opt none
(7179|7179) Topic name: Xyz::Foo
(7180|7180) Topic name: Xyz::Foo
(7179|7179) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7180|7180) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7179|7179) Check if 'udp1' is among supported [udp1]?
(7180|7180) Check if 'udp1' is among supported [udp1]?
(7180|7180) Writer::run_test begins.
(7180|7180)  16:32:16.382523 Writer::run_test starting to write pass 1
(7179|7186) Check if 'udp1' is among negotiated [udp1]?
(7180|7180)  16:32:16.385205 Writer::run_test done writing.
(7180|7180)  16:32:17.385532 Writer::run_test starting to write pass 2
(7179|7186) Check if 'udp1' is among negotiated [udp1]?
(7180|7180)  16:32:17.386488 Writer::run_test done writing.
(7180|7180)  16:32:18.386761 Writer::run_test starting to write pass 3
(7179|7186) Check if 'udp1' is among negotiated [udp1]?
(7180|7180)  16:32:18.387836 Writer::run_test done writing.
(7180|7180)  16:32:19.388117 Writer::run_test starting to write pass 4
(7179|7186) Check if 'udp1' is among negotiated [udp1]?
(7180|7180)  16:32:19.388979 Writer::run_test done writing.
(7180|7180)  16:32:20.389161 Writer::run_test starting to write pass 5
(7179|7186) Check if 'udp1' is among negotiated [udp1]?
(7180|7180)  16:32:20.389978 Writer::run_test done writing.
(7180|7180) Writer::run_test finished.
(7180|7180) Check if 'udp1' is among negotiated [udp1]?
(7179|7186) Check if 'udp1' is among negotiated [udp1]?
(7179|7179) Shutting subscriber down ...
(7179|7179) deleting entities1
(7180|7180) deleting entities1
(7180|7180) deleting participant1
(7179|7179) deleting participant1
(7180|7180) Shutting publisher down ...
(7179|7179) Subscriber shutting down svc part
(7179|7179) Subscriber shutdown complete
(7179|7179) done.
(7180|7180) Publisher shutdown complete.
(7180|7180) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->12
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7189
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7196 started at 2023-04-28 16:32:21
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7197 started at 2023-04-28 16:32:21
(7196|7196) Running colocation opt none
(7196|7196) Topic name: Xyz::Foo
(7197|7197) Topic name: Xyz::Foo
(7196|7196) Check if 'mytcp1' is among supported [mytcp1]?
(7197|7197) Check if 'mytcp1' is among supported [mytcp1]?
(7197|7197) Writer::run_test begins.
(7197|7197)  16:32:21.702208 Writer::run_test starting to write pass 1
(7197|7197)  16:32:21.702703 Writer::run_test done writing.
(7196|7203) Check if 'mytcp1' is among negotiated [mytcp1]?
(7197|7197)  16:32:22.703000 Writer::run_test starting to write pass 2
(7197|7197)  16:32:22.703235 Writer::run_test done writing.
(7196|7203) Check if 'mytcp1' is among negotiated [mytcp1]?
(7197|7197)  16:32:23.703533 Writer::run_test starting to write pass 3
(7197|7197)  16:32:23.703844 Writer::run_test done writing.
(7196|7203) Check if 'mytcp1' is among negotiated [mytcp1]?
(7197|7197)  16:32:24.704113 Writer::run_test starting to write pass 4
(7197|7197)  16:32:24.704336 Writer::run_test done writing.
(7196|7203) Check if 'mytcp1' is among negotiated [mytcp1]?
(7197|7197)  16:32:25.704552 Writer::run_test starting to write pass 5
(7197|7197)  16:32:25.704805 Writer::run_test done writing.
(7196|7203) Check if 'mytcp1' is among negotiated [mytcp1]?
(7197|7197) Writer::run_test finished.
(7197|7197) Check if 'mytcp1' is among negotiated [mytcp1]?
(7196|7203) Check if 'mytcp1' is among negotiated [mytcp1]?
(7197|7197) deleting entities1
(7196|7196) Shutting subscriber down ...
(7196|7196) deleting entities1
(7197|7197) deleting participant1
(7197|7197) Shutting publisher down ...
(7196|7196) deleting participant1
(7196|7196) Subscriber shutting down svc part
(7197|7197) Publisher shutdown complete.
(7197|7197) done.
(7196|7196) Subscriber shutdown complete
(7196|7196) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->13
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7208
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7215 started at 2023-04-28 16:32:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7216 started at 2023-04-28 16:32:26
(7215|7215) Running colocation opt none
(7215|7215) Topic name: Xyz::Foo
(7216|7216) Topic name: Xyz::Foo
(7216|7216) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7215|7215) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7216|7216) Check if 'udp1' is among supported [udp1]?
(7216|7216) Writer::run_test begins.
(7215|7215) Check if 'udp1' is among supported [udp1]?
(7216|7216)  16:32:27.014781 Writer::run_test starting to write pass 1
(7216|7216)  16:32:27.015754 Writer::run_test done writing.
(7215|7224) Check if 'udp1' is among negotiated [udp1]?
(7216|7216)  16:32:28.016122 Writer::run_test starting to write pass 2
(7216|7216)  16:32:28.016491 Writer::run_test done writing.
(7215|7224) Check if 'udp1' is among negotiated [udp1]?
(7216|7216)  16:32:29.016815 Writer::run_test starting to write pass 3
(7216|7216)  16:32:29.017184 Writer::run_test done writing.
(7215|7224) Check if 'udp1' is among negotiated [udp1]?
(7216|7216)  16:32:30.017470 Writer::run_test starting to write pass 4
(7216|7216)  16:32:30.017833 Writer::run_test done writing.
(7215|7224) Check if 'udp1' is among negotiated [udp1]?
(7216|7216)  16:32:31.018056 Writer::run_test starting to write pass 5
(7216|7216)  16:32:31.018457 Writer::run_test done writing.
(7215|7224) Check if 'udp1' is among negotiated [udp1]?
(7216|7216) Writer::run_test finished.
(7216|7216) Check if 'udp1' is among negotiated [udp1]?
(7215|7224) Check if 'udp1' is among negotiated [udp1]?
(7216|7216) deleting entities1
(7215|7215) Shutting subscriber down ...
(7215|7215) deleting entities1
(7216|7216) deleting participant1
(7216|7216) Shutting publisher down ...
(7215|7215) deleting participant1
(7215|7215) Subscriber shutting down svc part
(7216|7216) Publisher shutdown complete.
(7216|7216) done.
(7215|7215) Subscriber shutdown complete
(7215|7215) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->14
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7225
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7232 started at 2023-04-28 16:32:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7233 started at 2023-04-28 16:32:32
(7232|7232) Running colocation opt none
(7232|7232) Topic name: Xyz::Foo
(7233|7233) Topic name: Xyz::Foo
(7232|7232) Check if 'mytcp1' is among supported [mytcp1]?
(7233|7233) Check if 'mytcp1' is among supported [mytcp1]?
(7233|7233) Writer::run_test begins.
(7233|7233)  16:32:32.321779 Writer::run_test starting to write pass 1
(7233|7233)  16:32:32.322245 Writer::run_test done writing.
(7232|7241) Check if 'mytcp1' is among negotiated [mytcp1]?
(7233|7233)  16:32:33.322560 Writer::run_test starting to write pass 2
(7233|7233)  16:32:33.322821 Writer::run_test done writing.
(7232|7241) Check if 'mytcp1' is among negotiated [mytcp1]?
(7233|7233)  16:32:34.323107 Writer::run_test starting to write pass 3
(7233|7233)  16:32:34.323383 Writer::run_test done writing.
(7232|7241) Check if 'mytcp1' is among negotiated [mytcp1]?
(7233|7233)  16:32:35.323708 Writer::run_test starting to write pass 4
(7233|7233)  16:32:35.323987 Writer::run_test done writing.
(7232|7241) Check if 'mytcp1' is among negotiated [mytcp1]?
(7233|7233)  16:32:36.324282 Writer::run_test starting to write pass 5
(7233|7233)  16:32:36.324540 Writer::run_test done writing.
(7232|7241) Check if 'mytcp1' is among negotiated [mytcp1]?
(7233|7233) Writer::run_test finished.
(7233|7233) Check if 'mytcp1' is among negotiated [mytcp1]?
(7232|7241) Check if 'mytcp1' is among negotiated [mytcp1]?
(7233|7233) deleting entities1
(7233|7233) deleting participant1
(7232|7232) Shutting subscriber down ...
(7232|7232) deleting entities1
(7233|7233) Shutting publisher down ...
(7232|7232) deleting participant1
(7232|7232) Subscriber shutting down svc part
(7232|7232) Subscriber shutdown complete
(7233|7233) Publisher shutdown complete.
(7232|7232) done.
(7233|7233) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->15
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7246
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7253 started at 2023-04-28 16:32:37
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7254 started at 2023-04-28 16:32:37
(7253|7253) Running colocation opt none
(7253|7253) Topic name: Xyz::Foo
(7254|7254) Topic name: Xyz::Foo
(7253|7253) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7254|7254) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7253|7253) Check if 'udp1' is among supported [udp1]?
(7254|7254) Check if 'udp1' is among supported [udp1]?
(7254|7254) Writer::run_test begins.
(7254|7254)  16:32:37.649651 Writer::run_test starting to write pass 1
(7254|7254)  16:32:37.650278 Writer::run_test done writing.
(7253|7260) Check if 'udp1' is among negotiated [udp1]?
(7254|7254)  16:32:38.650664 Writer::run_test starting to write pass 2
(7254|7254)  16:32:38.651150 Writer::run_test done writing.
(7253|7260) Check if 'udp1' is among negotiated [udp1]?
(7254|7254)  16:32:39.651434 Writer::run_test starting to write pass 3
(7254|7254)  16:32:39.651792 Writer::run_test done writing.
(7253|7260) Check if 'udp1' is among negotiated [udp1]?
(7254|7254)  16:32:40.652164 Writer::run_test starting to write pass 4
(7254|7254)  16:32:40.652523 Writer::run_test done writing.
(7253|7260) Check if 'udp1' is among negotiated [udp1]?
(7254|7254)  16:32:41.652787 Writer::run_test starting to write pass 5
(7254|7254)  16:32:41.653127 Writer::run_test done writing.
(7253|7260) Check if 'udp1' is among negotiated [udp1]?
(7254|7254) Writer::run_test finished.
(7254|7254) Check if 'udp1' is among negotiated [udp1]?
(7253|7260) Check if 'udp1' is among negotiated [udp1]?
(7253|7253) Shutting subscriber down ...
(7253|7253) deleting entities1
(7254|7254) deleting entities1
(7254|7254) deleting participant1
(7254|7254) Shutting publisher down ...
(7253|7253) deleting participant1
(7253|7253) Subscriber shutting down svc part
(7254|7254) Publisher shutdown complete.
(7254|7254) done.
(7253|7253) Subscriber shutdown complete
(7253|7253) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->16
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7263
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7270 started at 2023-04-28 16:32:42
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7271 started at 2023-04-28 16:32:42
(7270|7270) Running colocation opt none
(7270|7270) Topic name: Xyz::Foo
(7271|7271) Topic name: Xyz::Foo
(7270|7270) Check if 'mytcp1' is among supported [mytcp1]?
(7271|7271) Check if 'mytcp1' is among supported [mytcp1]?
(7271|7271) Writer::run_test begins.
(7271|7271)  16:32:42.959072 Writer::run_test starting to write pass 1
(7271|7271)  16:32:42.959569 Writer::run_test done writing.
(7270|7277) Check if 'mytcp1' is among negotiated [mytcp1]?
(7271|7271)  16:32:43.959916 Writer::run_test starting to write pass 2
(7271|7271)  16:32:43.960199 Writer::run_test done writing.
(7270|7277) Check if 'mytcp1' is among negotiated [mytcp1]?
(7271|7271)  16:32:44.960418 Writer::run_test starting to write pass 3
(7271|7271)  16:32:44.960687 Writer::run_test done writing.
(7270|7277) Check if 'mytcp1' is among negotiated [mytcp1]?
(7271|7271)  16:32:45.960924 Writer::run_test starting to write pass 4
(7271|7271)  16:32:45.961160 Writer::run_test done writing.
(7270|7277) Check if 'mytcp1' is among negotiated [mytcp1]?
(7271|7271)  16:32:46.961402 Writer::run_test starting to write pass 5
(7271|7271)  16:32:46.961714 Writer::run_test done writing.
(7270|7277) Check if 'mytcp1' is among negotiated [mytcp1]?
(7271|7271) Writer::run_test finished.
(7271|7271) Check if 'mytcp1' is among negotiated [mytcp1]?
(7270|7277) Check if 'mytcp1' is among negotiated [mytcp1]?
(7271|7271) deleting entities1
(7271|7271) deleting participant1
(7270|7270) Shutting subscriber down ...
(7270|7270) deleting entities1
(7271|7271) Shutting publisher down ...
(7270|7270) deleting participant1
(7270|7270) Subscriber shutting down svc part
(7271|7271) Publisher shutdown complete.
(7271|7271) done.
(7270|7270) Subscriber shutdown complete
(7270|7270) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->17
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7282
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e none -a process -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7289 started at 2023-04-28 16:32:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e none -a process -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7290 started at 2023-04-28 16:32:48
(7289|7289) Running colocation opt process
(7282|7282) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7290|7290) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7289|7289) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7289|7289) Topic name: Xyz::Foo
(7290|7290) Topic name: Xyz::Foo
(7290|7290) Topic name: Xyz::Foo
(7290|7290) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7290|7290) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7290|7290) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7290|7290) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7290|7290) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7290|7290) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7290|7290) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7290|7290) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7290|7290) Writer::run_test begins.
(7290|7290)  16:32:48.439017 Writer::run_test starting to write pass 1
(7290|7290)  16:32:48.439934 Writer::run_test done writing.
(7289|7289) Topic name: Xyz::Foo
(7289|7289) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7289|7289) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7289|7289) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7289|7289) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7289|7289) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7289|7289) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7289|7289) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7289|7289) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7290|7290) Writer::run_test finished.
(7289|7289) Shutting subscriber down ...
(7289|7289) deleting entities1
(7290|7290) deleting entities1
(7290|7290) deleting participant1
(7289|7289) deleting participant1
(7290|7290) deleting entities2
(7290|7290) deleting participant2
(7289|7289) deleting entities2
(7290|7290) Shutting publisher down ...
(7290|7290) Publisher shutdown complete.
(7290|7290) done.
(7289|7289) deleting participant2
(7289|7289) Subscriber shutting down svc part
(7289|7289) Subscriber shutdown complete
(7289|7289) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->18
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7317
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e participant -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7324 started at 2023-04-28 16:32:49
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e participant -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7325 started at 2023-04-28 16:32:49
(7324|7324) Running colocation opt process
(7317|7317) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7325|7325) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7324|7324) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7325|7325) Topic name: Xyz::Foo
(7324|7324) Topic name: Xyz::Foo
(7325|7325) Topic name: Xyz::Foo
(7325|7325) Check if 'udp1' is among supported [udp1]?
(7325|7325) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7325|7325) Writer::run_test begins.
(7325|7325)  16:32:49.912269 Writer::run_test starting to write pass 1
(7325|7325)  16:32:49.912938 Writer::run_test done writing.
(7324|7324) Topic name: Xyz::Foo
(7324|7324) Check if 'udp1' is among supported [udp1]?
(7324|7324) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7325|7325) Writer::run_test finished.
(7324|7324) Shutting subscriber down ...
(7324|7324) deleting entities1
(7325|7325) deleting entities1
(7325|7325) deleting participant1
(7325|7325) deleting entities2
(7325|7325) deleting participant2
(7325|7325) Shutting publisher down ...
(7325|7325) Publisher shutdown complete.
(7325|7325) done.
(7324|7324) deleting participant1
(7324|7324) deleting entities2
(7324|7324) deleting participant2
(7324|7324) Subscriber shutting down svc part
(7324|7324) Subscriber shutdown complete
(7324|7324) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->19
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7356
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e pubsub -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7363 started at 2023-04-28 16:32:51
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e pubsub -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7364 started at 2023-04-28 16:32:51
(7363|7363) Running colocation opt process
(7356|7356) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7364|7364) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7363|7363) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7363|7363) Topic name: Xyz::Foo
(7364|7364) Topic name: Xyz::Foo
(7364|7364) Topic name: Xyz::Foo
(7364|7364) Check if 'udp1' is among supported [udp1]?
(7364|7364) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7364|7364) Writer::run_test begins.
(7364|7364)  16:32:51.459532 Writer::run_test starting to write pass 1
(7364|7364)  16:32:51.460198 Writer::run_test done writing.
(7363|7363) Topic name: Xyz::Foo
(7363|7363) Check if 'udp1' is among supported [udp1]?
(7363|7363) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7364|7364) Writer::run_test finished.
(7363|7363) Shutting subscriber down ...
(7363|7363) deleting entities1
(7364|7364) deleting entities1
(7364|7364) deleting participant1
(7364|7364) deleting entities2
(7364|7364) deleting participant2
(7364|7364) Shutting publisher down ...
(7364|7364) Publisher shutdown complete.
(7364|7364) done.
(7363|7363) deleting participant1
(7363|7363) deleting entities2
(7363|7363) deleting participant2
(7363|7363) Subscriber shutting down svc part
(7363|7363) Subscriber shutdown complete
(7363|7363) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->20
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7395
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e rw -n false -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7402 started at 2023-04-28 16:32:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e rw -n false -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7403 started at 2023-04-28 16:32:52
(7402|7402) Running colocation opt process
(7395|7395) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7403|7403) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7402|7402) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7403|7403) Topic name: Xyz::Foo
(7402|7402) Topic name: Xyz::Foo
(7403|7403) Topic name: Xyz::Foo
(7403|7403) Check if 'udp1' is among supported [udp1]?
(7403|7403) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7403|7403) Writer::run_test begins.
(7403|7403)  16:32:52.927531 Writer::run_test starting to write pass 1
(7403|7403)  16:32:52.928181 Writer::run_test done writing.
(7402|7402) Topic name: Xyz::Foo
(7402|7402) Check if 'udp1' is among supported [udp1]?
(7402|7402) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7403|7403) Writer::run_test finished.
(7402|7402) Shutting subscriber down ...
(7402|7402) deleting entities1
(7403|7403) deleting entities1
(7403|7403) deleting participant1
(7402|7402) deleting participant1
(7403|7403) deleting entities2
(7403|7403) deleting participant2
(7402|7402) deleting entities2
(7403|7403) Shutting publisher down ...
(7403|7403) Publisher shutdown complete.
(7403|7403) done.
(7402|7402) deleting participant2
(7402|7402) Subscriber shutting down svc part
(7402|7402) Subscriber shutdown complete
(7402|7402) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->21
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7434
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber    -c true -e none -a none -s none -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7441 started at 2023-04-28 16:32:54
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher    -c true -e none -a none -s none -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7442 started at 2023-04-28 16:32:54
(7441|7441) Running colocation opt none
(7434|7434) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7442|7442) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7441|7441) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7442|7442) Topic name: Xyz::Foo
(7441|7441) Topic name: Xyz::Foo
(7442|7442) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0500_TCP]?
(7442|7442) Writer::run_test begins.
(7441|7441) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0500_TCP]?
(7442|7442)  16:32:54.406638 Writer::run_test starting to write pass 1
(7442|7442)  16:32:54.407286 Writer::run_test done writing.
(7442|7442)  16:32:55.407643 Writer::run_test starting to write pass 2
(7442|7442)  16:32:55.408027 Writer::run_test done writing.
(7442|7442)  16:32:56.408344 Writer::run_test starting to write pass 3
(7442|7442)  16:32:56.408789 Writer::run_test done writing.
(7442|7442)  16:32:57.409028 Writer::run_test starting to write pass 4
(7442|7442)  16:32:57.409403 Writer::run_test done writing.
(7442|7442)  16:32:58.409639 Writer::run_test starting to write pass 5
(7442|7442)  16:32:58.410002 Writer::run_test done writing.
(7442|7442) Writer::run_test finished.
(7441|7441) Shutting subscriber down ...
(7441|7441) deleting entities1
(7442|7442) deleting entities1
(7442|7442) deleting participant1
(7442|7442) Shutting publisher down ...
(7442|7442) Publisher shutdown complete.
(7442|7442) done.
(7441|7441) deleting participant1
(7441|7441) Subscriber shutting down svc part
(7441|7441) Subscriber shutdown complete
(7441|7441) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->22
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7458
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e none -a none -s whatever_just_to_ensure_there_is_a_config_file_on_the_command_line -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7465 started at 2023-04-28 16:32:59
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e none -a none -s whatever_just_to_ensure_there_is_a_config_file_on_the_command_line -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7466 started at 2023-04-28 16:32:59
(7465|7465) Running colocation opt none
(7458|7458) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7465|7465) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7466|7466) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7465|7465) Topic name: Xyz::Foo
(7466|7466) Topic name: Xyz::Foo
(7465|7465) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7465|7465) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7465|7465) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7465|7465) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7466|7466) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7466|7466) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7466|7466) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7466|7466) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7466|7466) Writer::run_test begins.
(7466|7466)  16:32:59.827976 Writer::run_test starting to write pass 1
(7466|7466)  16:32:59.828764 Writer::run_test done writing.
(7466|7466)  16:33:00.829054 Writer::run_test starting to write pass 2
(7466|7466)  16:33:00.829570 Writer::run_test done writing.
(7466|7466)  16:33:01.829822 Writer::run_test starting to write pass 3
(7466|7466)  16:33:01.830183 Writer::run_test done writing.
(7466|7466)  16:33:02.830483 Writer::run_test starting to write pass 4
(7466|7466)  16:33:02.830983 Writer::run_test done writing.
(7466|7466)  16:33:03.831203 Writer::run_test starting to write pass 5
(7466|7466)  16:33:03.831542 Writer::run_test done writing.
(7466|7466) Writer::run_test finished.
(7465|7465) Shutting subscriber down ...
(7466|7466) deleting entities1
(7465|7465) deleting entities1
(7466|7466) deleting participant1
(7466|7466) Shutting publisher down ...
(7466|7466) Publisher shutdown complete.
(7466|7466) done.
(7465|7465) deleting participant1
(7465|7465) Subscriber shutting down svc part
(7465|7465) Subscriber shutdown complete
(7465|7465) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->23
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7493
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7500 started at 2023-04-28 16:33:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7501 started at 2023-04-28 16:33:05
(7500|7500) Running colocation opt none
(7493|7493) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7501|7501) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7500|7500) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7501|7501) Topic name: Xyz::Foo
(7500|7500) Topic name: Xyz::Foo
(7501|7501) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7501|7501) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7501|7501) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7501|7501) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7501|7501) Writer::run_test begins.
(7500|7500) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7500|7500) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7500|7500) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7500|7500) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7501|7501)  16:33:05.232697 Writer::run_test starting to write pass 1
(7501|7501)  16:33:05.233353 Writer::run_test done writing.
(7501|7501)  16:33:06.233666 Writer::run_test starting to write pass 2
(7501|7501)  16:33:06.234077 Writer::run_test done writing.
(7501|7501)  16:33:07.234427 Writer::run_test starting to write pass 3
(7501|7501)  16:33:07.234810 Writer::run_test done writing.
(7501|7501)  16:33:08.235119 Writer::run_test starting to write pass 4
(7501|7501)  16:33:08.235583 Writer::run_test done writing.
(7501|7501)  16:33:09.235853 Writer::run_test starting to write pass 5
(7501|7501)  16:33:09.236199 Writer::run_test done writing.
(7501|7501) Writer::run_test finished.
(7500|7500) Shutting subscriber down ...
(7501|7501) deleting entities1
(7500|7500) deleting entities1
(7501|7501) deleting participant1
(7501|7501) Shutting publisher down ...
(7501|7501) Publisher shutdown complete.
(7501|7501) done.
(7500|7500) deleting participant1
(7500|7500) Subscriber shutting down svc part
(7500|7500) Subscriber shutdown complete
(7500|7500) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->24
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7537
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7544 started at 2023-04-28 16:33:10
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7545 started at 2023-04-28 16:33:10
(7544|7544) Running colocation opt none
(7537|7537) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7544|7544) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7545|7545) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7544|7544) Topic name: Xyz::Foo
(7545|7545) Topic name: Xyz::Foo
(7544|7544) Check if 'udp1' is among supported [udp1]?
(7545|7545) Check if 'udp1' is among supported [udp1]?
(7545|7545) Writer::run_test begins.
(7545|7545)  16:33:10.648638 Writer::run_test starting to write pass 1
(7545|7545)  16:33:10.649269 Writer::run_test done writing.
(7545|7545)  16:33:11.649581 Writer::run_test starting to write pass 2
(7545|7545)  16:33:11.650063 Writer::run_test done writing.
(7545|7545)  16:33:12.650384 Writer::run_test starting to write pass 3
(7545|7545)  16:33:12.650740 Writer::run_test done writing.
(7545|7545)  16:33:13.651025 Writer::run_test starting to write pass 4
(7545|7545)  16:33:13.651385 Writer::run_test done writing.
(7545|7545)  16:33:14.651658 Writer::run_test starting to write pass 5
(7545|7545)  16:33:14.651997 Writer::run_test done writing.
(7545|7545) Writer::run_test finished.
(7544|7544) Shutting subscriber down ...
(7544|7544) deleting entities1
(7544|7544) deleting participant1
(7545|7545) deleting entities1
(7545|7545) deleting participant1
(7544|7544) Subscriber shutting down svc part
(7544|7544) Subscriber shutdown complete
(7544|7544) done.
(7545|7545) Shutting publisher down ...
(7545|7545) Publisher shutdown complete.
(7545|7545) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->25
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7560
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7567 started at 2023-04-28 16:33:15
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7568 started at 2023-04-28 16:33:15
(7567|7567) Running colocation opt none
(7560|7560) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7567|7567) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7568|7568) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7567|7567) Topic name: Xyz::Foo
(7568|7568) Topic name: Xyz::Foo
(7567|7567) Check if 'udp1' is among supported [udp1]?
(7568|7568) Check if 'udp1' is among supported [udp1]?
(7568|7568) Writer::run_test begins.
(7568|7568)  16:33:16.136999 Writer::run_test starting to write pass 1
(7568|7568)  16:33:16.137984 Writer::run_test done writing.
(7568|7568)  16:33:17.138340 Writer::run_test starting to write pass 2
(7568|7568)  16:33:17.138853 Writer::run_test done writing.
(7568|7568)  16:33:18.139158 Writer::run_test starting to write pass 3
(7568|7568)  16:33:18.139670 Writer::run_test done writing.
(7568|7568)  16:33:19.140016 Writer::run_test starting to write pass 4
(7568|7568)  16:33:19.140662 Writer::run_test done writing.
(7568|7568)  16:33:20.140938 Writer::run_test starting to write pass 5
(7568|7568)  16:33:20.141477 Writer::run_test done writing.
(7568|7568) Writer::run_test finished.
(7567|7567) Shutting subscriber down ...
(7568|7568) deleting entities1
(7567|7567) deleting entities1
(7568|7568) deleting participant1
(7568|7568) Shutting publisher down ...
(7568|7568) Publisher shutdown complete.
(7568|7568) done.
(7567|7567) deleting participant1
(7567|7567) Subscriber shutting down svc part
(7567|7567) Subscriber shutdown complete
(7567|7567) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->26
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7584
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7591 started at 2023-04-28 16:33:21
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7592 started at 2023-04-28 16:33:21
(7591|7591) Running colocation opt none
(7584|7584) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7591|7591) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7592|7592) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7591|7591) Topic name: Xyz::Foo
(7592|7592) Topic name: Xyz::Foo
(7591|7591) Check if 'udp1' is among supported [udp1]?
(7592|7592) Check if 'udp1' is among supported [udp1]?
(7592|7592) Writer::run_test begins.
(7592|7592)  16:33:21.606355 Writer::run_test starting to write pass 1
(7592|7592)  16:33:21.606950 Writer::run_test done writing.
(7592|7592)  16:33:22.607275 Writer::run_test starting to write pass 2
(7592|7592)  16:33:22.607665 Writer::run_test done writing.
(7592|7592)  16:33:23.607918 Writer::run_test starting to write pass 3
(7592|7592)  16:33:23.608268 Writer::run_test done writing.
(7592|7592)  16:33:24.608615 Writer::run_test starting to write pass 4
(7592|7592)  16:33:24.609076 Writer::run_test done writing.
(7592|7592)  16:33:25.609391 Writer::run_test starting to write pass 5
(7592|7592)  16:33:25.609774 Writer::run_test done writing.
(7592|7592) Writer::run_test finished.
(7591|7591) Shutting subscriber down ...
(7591|7591) deleting entities1
(7592|7592) deleting entities1
(7592|7592) deleting participant1
(7591|7591) deleting participant1
(7592|7592) Shutting publisher down ...
(7592|7592) Publisher shutdown complete.
(7592|7592) done.
(7591|7591) Subscriber shutting down svc part
(7591|7591) Subscriber shutdown complete
(7591|7591) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->27
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7607
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -f _OPENDDS_0300_UDP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7614 started at 2023-04-28 16:33:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -f _OPENDDS_0300_UDP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7615 started at 2023-04-28 16:33:26
(7614|7614) Running colocation opt none
(7607|7607) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7615|7615) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7614|7614) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7615|7615) Topic name: Xyz::Foo
(7614|7614) Topic name: Xyz::Foo
(7615|7615) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7615|7615) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7615|7615) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7615|7615) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7615|7615) Writer::run_test begins.
(7614|7614) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7614|7614) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7614|7614) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7614|7614) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7615|7615)  16:33:27.009666 Writer::run_test starting to write pass 1
(7615|7615)  16:33:27.010296 Writer::run_test done writing.
(7615|7615)  16:33:28.010611 Writer::run_test starting to write pass 2
(7615|7615)  16:33:28.010975 Writer::run_test done writing.
(7615|7615)  16:33:29.011303 Writer::run_test starting to write pass 3
(7615|7615)  16:33:29.011911 Writer::run_test done writing.
(7615|7615)  16:33:30.012266 Writer::run_test starting to write pass 4
(7615|7615)  16:33:30.012639 Writer::run_test done writing.
(7615|7615)  16:33:31.012929 Writer::run_test starting to write pass 5
(7615|7615)  16:33:31.013270 Writer::run_test done writing.
(7615|7615) Writer::run_test finished.
(7615|7615) Check if '_OPENDDS_0300_UDP' is among negotiated [_OPENDDS_0300_UDP]?
(7614|7614) Shutting subscriber down ...
(7614|7614) deleting entities1
(7615|7615) deleting entities1
(7615|7615) deleting participant1
(7615|7615) Shutting publisher down ...
(7615|7615) Publisher shutdown complete.
(7615|7615) done.
(7614|7614) deleting participant1
(7614|7614) Subscriber shutting down svc part
(7614|7614) Subscriber shutdown complete
(7614|7614) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->28
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7642
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7649 started at 2023-04-28 16:33:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7650 started at 2023-04-28 16:33:32
(7649|7649) Running colocation opt none
(7642|7642) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7649|7649) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7650|7650) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7649|7649) Topic name: Xyz::Foo
(7649|7649) Check if 'udp1' is among supported [udp1]?
(7650|7650) Topic name: Xyz::Foo
(7650|7650) Check if 'udp1' is among supported [udp1]?
(7650|7650) Writer::run_test begins.
(7650|7650)  16:33:32.429663 Writer::run_test starting to write pass 1
(7650|7650)  16:33:32.430486 Writer::run_test done writing.
(7649|7664) Check if 'udp1' is among negotiated [udp1]?
(7650|7650)  16:33:33.430795 Writer::run_test starting to write pass 2
(7650|7650)  16:33:33.431168 Writer::run_test done writing.
(7649|7664) Check if 'udp1' is among negotiated [udp1]?
(7650|7650)  16:33:34.431473 Writer::run_test starting to write pass 3
(7650|7650)  16:33:34.431832 Writer::run_test done writing.
(7649|7664) Check if 'udp1' is among negotiated [udp1]?
(7650|7650)  16:33:35.432179 Writer::run_test starting to write pass 4
(7650|7650)  16:33:35.432552 Writer::run_test done writing.
(7649|7664) Check if 'udp1' is among negotiated [udp1]?
(7650|7650)  16:33:36.432830 Writer::run_test starting to write pass 5
(7650|7650)  16:33:36.433176 Writer::run_test done writing.
(7649|7664) Check if 'udp1' is among negotiated [udp1]?
(7650|7650) Writer::run_test finished.
(7650|7650) Check if 'udp1' is among negotiated [udp1]?
(7649|7664) Check if 'udp1' is among negotiated [udp1]?
(7649|7649) Shutting subscriber down ...
(7649|7649) deleting entities1
(7650|7650) deleting entities1
(7650|7650) deleting participant1
(7650|7650) Shutting publisher down ...
(7650|7650) Publisher shutdown complete.
(7649|7649) deleting participant1
(7650|7650) done.
(7649|7649) Subscriber shutting down svc part
(7649|7649) Subscriber shutdown complete
(7649|7649) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->29
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7671
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e participant -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7678 started at 2023-04-28 16:33:37
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e participant -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7679 started at 2023-04-28 16:33:37
(7678|7678) Running colocation opt none
(7671|7671) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7679|7679) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7678|7678) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7678|7678) Topic name: Xyz::Foo
(7679|7679) Topic name: Xyz::Foo
(7678|7678) Check if 'mytcp1' is among supported [mytcp1]?
(7679|7679) Check if 'mytcp1' is among supported [mytcp1]?
(7679|7679) Writer::run_test begins.
(7679|7679)  16:33:37.856914 Writer::run_test starting to write pass 1
(7679|7679)  16:33:37.857385 Writer::run_test done writing.
(7678|7691) Check if 'mytcp1' is among negotiated [mytcp1]?
(7679|7679)  16:33:38.857739 Writer::run_test starting to write pass 2
(7679|7679)  16:33:38.858029 Writer::run_test done writing.
(7678|7691) Check if 'mytcp1' is among negotiated [mytcp1]?
(7679|7679)  16:33:39.858267 Writer::run_test starting to write pass 3
(7679|7679)  16:33:39.858538 Writer::run_test done writing.
(7678|7691) Check if 'mytcp1' is among negotiated [mytcp1]?
(7679|7679)  16:33:40.858843 Writer::run_test starting to write pass 4
(7679|7679)  16:33:40.859076 Writer::run_test done writing.
(7678|7691) Check if 'mytcp1' is among negotiated [mytcp1]?
(7679|7679)  16:33:41.859373 Writer::run_test starting to write pass 5
(7679|7679)  16:33:41.859653 Writer::run_test done writing.
(7678|7691) Check if 'mytcp1' is among negotiated [mytcp1]?
(7679|7679) Writer::run_test finished.
(7679|7679) Check if 'mytcp1' is among negotiated [mytcp1]?
(7678|7691) Check if 'mytcp1' is among negotiated [mytcp1]?
(7678|7678) Shutting subscriber down ...
(7678|7678) deleting entities1
(7679|7679) deleting entities1
(7679|7679) deleting participant1
(7679|7679) Shutting publisher down ...
(7679|7679) Publisher shutdown complete.
(7679|7679) done.
(7678|7678) deleting participant1
(7678|7678) Subscriber shutting down svc part
(7678|7678) Subscriber shutdown complete
(7678|7678) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->30
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7696
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7703 started at 2023-04-28 16:33:43
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7704 started at 2023-04-28 16:33:43
(7703|7703) Running colocation opt none
(7696|7696) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7703|7703) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7704|7704) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7703|7703) Topic name: Xyz::Foo
(7704|7704) Topic name: Xyz::Foo
(7703|7703) Check if 'udp1' is among supported [udp1]?
(7704|7704) Check if 'udp1' is among supported [udp1]?
(7704|7704) Writer::run_test begins.
(7704|7704)  16:33:43.362637 Writer::run_test starting to write pass 1
(7704|7704)  16:33:43.363270 Writer::run_test done writing.
(7703|7716) Check if 'udp1' is among negotiated [udp1]?
(7704|7704)  16:33:44.363632 Writer::run_test starting to write pass 2
(7704|7704)  16:33:44.364022 Writer::run_test done writing.
(7703|7716) Check if 'udp1' is among negotiated [udp1]?
(7704|7704)  16:33:45.364333 Writer::run_test starting to write pass 3
(7704|7704)  16:33:45.364713 Writer::run_test done writing.
(7703|7716) Check if 'udp1' is among negotiated [udp1]?
(7704|7704)  16:33:46.364962 Writer::run_test starting to write pass 4
(7704|7704)  16:33:46.365311 Writer::run_test done writing.
(7703|7716) Check if 'udp1' is among negotiated [udp1]?
(7704|7704)  16:33:47.365583 Writer::run_test starting to write pass 5
(7704|7704)  16:33:47.365935 Writer::run_test done writing.
(7703|7716) Check if 'udp1' is among negotiated [udp1]?
(7704|7704) Writer::run_test finished.
(7704|7704) Check if 'udp1' is among negotiated [udp1]?
(7703|7716) Check if 'udp1' is among negotiated [udp1]?
(7703|7703) Shutting subscriber down ...
(7703|7703) deleting entities1
(7703|7703) deleting participant1
(7703|7703) Subscriber shutting down svc part
(7704|7704) deleting entities1
(7704|7704) deleting participant1
(7703|7703) Subscriber shutdown complete
(7703|7703) done.
(7704|7704) Shutting publisher down ...
(7704|7704) Publisher shutdown complete.
(7704|7704) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->31
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7720
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7727 started at 2023-04-28 16:33:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7728 started at 2023-04-28 16:33:48
(7727|7727) Running colocation opt none
(7720|7720) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7728|7728) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7727|7727) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7728|7728) Topic name: Xyz::Foo
(7727|7727) Topic name: Xyz::Foo
(7728|7728) Check if 'mytcp1' is among supported [mytcp1]?
(7728|7728) Writer::run_test begins.
(7727|7727) Check if 'mytcp1' is among supported [mytcp1]?
(7728|7728)  16:33:48.790065 Writer::run_test starting to write pass 1
(7728|7728)  16:33:48.790551 Writer::run_test done writing.
(7727|7742) Check if 'mytcp1' is among negotiated [mytcp1]?
(7728|7728)  16:33:49.790889 Writer::run_test starting to write pass 2
(7728|7728)  16:33:49.791149 Writer::run_test done writing.
(7727|7742) Check if 'mytcp1' is among negotiated [mytcp1]?
(7728|7728)  16:33:50.791476 Writer::run_test starting to write pass 3
(7728|7728)  16:33:50.791844 Writer::run_test done writing.
(7727|7742) Check if 'mytcp1' is among negotiated [mytcp1]?
(7728|7728)  16:33:51.792157 Writer::run_test starting to write pass 4
(7728|7728)  16:33:51.792451 Writer::run_test done writing.
(7727|7742) Check if 'mytcp1' is among negotiated [mytcp1]?
(7728|7728)  16:33:52.792768 Writer::run_test starting to write pass 5
(7728|7728)  16:33:52.792996 Writer::run_test done writing.
(7727|7742) Check if 'mytcp1' is among negotiated [mytcp1]?
(7728|7728) Writer::run_test finished.
(7728|7728) Check if 'mytcp1' is among negotiated [mytcp1]?
(7727|7742) Check if 'mytcp1' is among negotiated [mytcp1]?
(7728|7728) deleting entities1
(7727|7727) Shutting subscriber down ...
(7727|7727) deleting entities1
(7728|7728) deleting participant1
(7728|7728) Shutting publisher down ...
(7728|7728) Publisher shutdown complete.
(7728|7728) done.
(7727|7727) deleting participant1
(7727|7727) Subscriber shutting down svc part
(7727|7727) Subscriber shutdown complete
(7727|7727) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->32
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7745
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7752 started at 2023-04-28 16:33:54
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7753 started at 2023-04-28 16:33:54
(7752|7752) Running colocation opt none
(7745|7745) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7753|7753) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7752|7752) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7753|7753) Topic name: Xyz::Foo
(7752|7752) Topic name: Xyz::Foo
(7753|7753) Check if 'udp1' is among supported [udp1]?
(7753|7753) Writer::run_test begins.
(7752|7752) Check if 'udp1' is among supported [udp1]?
(7753|7753)  16:33:54.205552 Writer::run_test starting to write pass 1
(7753|7753)  16:33:54.206208 Writer::run_test done writing.
(7752|7767) Check if 'udp1' is among negotiated [udp1]?
(7753|7753)  16:33:55.206480 Writer::run_test starting to write pass 2
(7753|7753)  16:33:55.206865 Writer::run_test done writing.
(7752|7767) Check if 'udp1' is among negotiated [udp1]?
(7753|7753)  16:33:56.207121 Writer::run_test starting to write pass 3
(7753|7753)  16:33:56.207483 Writer::run_test done writing.
(7752|7767) Check if 'udp1' is among negotiated [udp1]?
(7753|7753)  16:33:57.207754 Writer::run_test starting to write pass 4
(7753|7753)  16:33:57.208107 Writer::run_test done writing.
(7752|7767) Check if 'udp1' is among negotiated [udp1]?
(7753|7753)  16:33:58.208416 Writer::run_test starting to write pass 5
(7753|7753)  16:33:58.208783 Writer::run_test done writing.
(7752|7767) Check if 'udp1' is among negotiated [udp1]?
(7753|7753) Writer::run_test finished.
(7753|7753) Check if 'udp1' is among negotiated [udp1]?
(7752|7767) Check if 'udp1' is among negotiated [udp1]?
(7753|7753) deleting entities1
(7753|7753) deleting participant1
(7752|7752) Shutting subscriber down ...
(7752|7752) deleting entities1
(7753|7753) Shutting publisher down ...
(7753|7753) Publisher shutdown complete.
(7753|7753) done.
(7752|7752) deleting participant1
(7752|7752) Subscriber shutting down svc part
(7752|7752) Subscriber shutdown complete
(7752|7752) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->33
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7768
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7775 started at 2023-04-28 16:33:59
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7776 started at 2023-04-28 16:33:59
(7775|7775) Running colocation opt none
(7768|7768) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7775|7775) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7776|7776) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7775|7775) Topic name: Xyz::Foo
(7776|7776) Topic name: Xyz::Foo
(7775|7775) Check if 'mytcp1' is among supported [mytcp1]?
(7776|7776) Check if 'mytcp1' is among supported [mytcp1]?
(7776|7776) Writer::run_test begins.
(7776|7776)  16:33:59.640083 Writer::run_test starting to write pass 1
(7776|7776)  16:33:59.640595 Writer::run_test done writing.
(7775|7789) Check if 'mytcp1' is among negotiated [mytcp1]?
(7776|7776)  16:34:00.641001 Writer::run_test starting to write pass 2
(7776|7776)  16:34:00.641304 Writer::run_test done writing.
(7775|7789) Check if 'mytcp1' is among negotiated [mytcp1]?
(7776|7776)  16:34:01.641631 Writer::run_test starting to write pass 3
(7776|7776)  16:34:01.641876 Writer::run_test done writing.
(7775|7789) Check if 'mytcp1' is among negotiated [mytcp1]?
(7776|7776)  16:34:02.642141 Writer::run_test starting to write pass 4
(7776|7776)  16:34:02.642408 Writer::run_test done writing.
(7775|7789) Check if 'mytcp1' is among negotiated [mytcp1]?
(7776|7776)  16:34:03.642641 Writer::run_test starting to write pass 5
(7776|7776)  16:34:03.642858 Writer::run_test done writing.
(7775|7789) Check if 'mytcp1' is among negotiated [mytcp1]?
(7776|7776) Writer::run_test finished.
(7776|7776) Check if 'mytcp1' is among negotiated [mytcp1]?
(7775|7789) Check if 'mytcp1' is among negotiated [mytcp1]?
(7775|7775) Shutting subscriber down ...
(7775|7775) deleting entities1
(7776|7776) deleting entities1
(7776|7776) deleting participant1
(7775|7775) deleting participant1
(7776|7776) Shutting publisher down ...
(7776|7776) Publisher shutdown complete.
(7775|7775) Subscriber shutting down svc part
(7775|7775) Subscriber shutdown complete
(7775|7775) done.
(7776|7776) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->34
status->0
failed->0
PASSED: 34

auto_run_tests_finished: tests/DCPS/ConfigTransports/run_test.pl Time:151s Result:0

==============================================================================

tests/DCPS/RtpsMessages/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsMessages/RtpsMessagesTest  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile RtpsMessagesTest.log -DCPSPendingTimeout 3 
RtpsMessagesTest PID: 7795 started at 2023-04-28 16:34:04
test PASSED.

auto_run_tests_finished: tests/DCPS/RtpsMessages/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/RtpsDiscovery/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/RtpsDiscoveryTest -DCPSConfigFile rtps_disc.ini -DCPSPendingTimeout 3 
test PID: 7797 started at 2023-04-28 16:34:05
(7797|7797) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
7797 Sub Domain Participant GUID=0103000c.297a35f2.1e7526f2.000001c1(a7c3badc)
7797 Pub Domain Participant GUID=0103000c.297a35f2.1e7526f3.000001c1(9aa3936c)
7797 Read Participant BIT GUID=0103000c.297a35f2.1e7526f3.000001c1(9aa3936c) handle=3
7797 0103000c.297a35f2.1e7526f2.000001c1(a7c3badc) discovered 0103000c.297a35f2.1e7526f3.000001c1(9aa3936c)
7797 0103000c.297a35f2.1e7526f3.000001c1(9aa3936c) discovered 0103000c.297a35f2.1e7526f2.000001c1(a7c3badc)
7797 Read Publication BIT with key: 0103000c.297a35f2.1e7526f3.00000003(f6d201b1) and handle 10
	Participant's GUID=0103000c.297a35f2.1e7526f3.000001c1(9aa3936c)
	Topic: Movie Discussion List	Type: TestMsg
7797 Read Subscription BIT with key: 0103000c.297a35f2.1e7526f2.00000004(55d6bda2) and handle 12
	Participant's GUID=0103000c.297a35f2.1e7526f2.000001c1(a7c3badc)
	Topic: Movie Discussion List	Type: TestMsg
7797 Read Publication BIT with key: 0103000c.297a35f2.1e7526f3.01000003(4e6e66d4) and handle 13
	Participant's GUID=0103000c.297a35f2.1e7526f3.000001c1(9aa3936c)
	Topic: Movie Discussion List	Type: TestMsg
7797 Read data sample: 42
7797 Read Participant BIT GUID=0103000c.297a35f2.1e7526f3.000001c1(9aa3936c) handle=3
7797 Read Publication BIT with key: 0103000c.297a35f2.1e7526f3.01000003(4e6e66d4) and handle 13
	Participant's GUID=0103000c.297a35f2.1e7526f3.000001c1(9aa3936c)
	Topic: Movie Discussion List	Type: TestMsg
7797 Read Subscription BIT with key: 0103000c.297a35f2.1e7526f2.00000004(55d6bda2) and handle 12
	Participant's GUID=0103000c.297a35f2.1e7526f2.000001c1(a7c3badc)
	Topic: Movie Discussion List	Type: TestMsg
7797 Read Publication BIT with key: 0103000c.297a35f2.1e7526f3.01000003(4e6e66d4) and handle 13
	Participant's GUID=0103000c.297a35f2.1e7526f3.000001c1(9aa3936c)
	Topic: Movie Discussion List	Type: TestMsg
7797 Read Subscription BIT with key: 0103000c.297a35f2.1e7526f2.00000004(55d6bda2) and handle 12
	Participant's GUID=0103000c.297a35f2.1e7526f2.000001c1(a7c3badc)
	Topic: Movie Discussion List	Type: TestMsg
7797 Cleaning up test
test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/RtpsDiscoveryTest -DCPSConfigFile rtps_disc_tcp.ini -DCPSPendingTimeout 3 
test PID: 7816 started at 2023-04-28 16:34:16
7816 Sub Domain Participant GUID=0103000c.297a35f2.1e884ea6.000001c1(8b5e05f9)
7816 Pub Domain Participant GUID=0103000c.297a35f2.1e884ea7.000001c1(b63e2c49)
7816 Read Participant BIT GUID=0103000c.297a35f2.1e884ea7.000001c1(b63e2c49) handle=3
7816 0103000c.297a35f2.1e884ea6.000001c1(8b5e05f9) discovered 0103000c.297a35f2.1e884ea7.000001c1(b63e2c49)
7816 0103000c.297a35f2.1e884ea7.000001c1(b63e2c49) discovered 0103000c.297a35f2.1e884ea6.000001c1(8b5e05f9)
(7816|7816) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
7816 Read Publication BIT with key: 0103000c.297a35f2.1e884ea7.00000003(da4fbe94) and handle 10
	Participant's GUID=0103000c.297a35f2.1e884ea7.000001c1(b63e2c49)
	Topic: Movie Discussion List	Type: TestMsg
7816 Read Subscription BIT with key: 0103000c.297a35f2.1e884ea6.00000004(794b0287) and handle 12
	Participant's GUID=0103000c.297a35f2.1e884ea6.000001c1(8b5e05f9)
	Topic: Movie Discussion List	Type: TestMsg
7816 Read Publication BIT with key: 0103000c.297a35f2.1e884ea7.01000003(62f3d9f1) and handle 13
	Participant's GUID=0103000c.297a35f2.1e884ea7.000001c1(b63e2c49)
	Topic: Movie Discussion List	Type: TestMsg
7816 Read data sample: 42
7816 Read Participant BIT GUID=0103000c.297a35f2.1e884ea7.000001c1(b63e2c49) handle=3
7816 Read Publication BIT with key: 0103000c.297a35f2.1e884ea7.01000003(62f3d9f1) and handle 13
	Participant's GUID=0103000c.297a35f2.1e884ea7.000001c1(b63e2c49)
	Topic: Movie Discussion List	Type: TestMsg
7816 Read Subscription BIT with key: 0103000c.297a35f2.1e884ea6.00000004(794b0287) and handle 12
	Participant's GUID=0103000c.297a35f2.1e884ea6.000001c1(8b5e05f9)
	Topic: Movie Discussion List	Type: TestMsg
7816 Read Publication BIT with key: 0103000c.297a35f2.1e884ea7.01000003(62f3d9f1) and handle 13
	Participant's GUID=0103000c.297a35f2.1e884ea7.000001c1(b63e2c49)
	Topic: Movie Discussion List	Type: TestMsg
7816 Read Subscription BIT with key: 0103000c.297a35f2.1e884ea6.00000004(794b0287) and handle 12
	Participant's GUID=0103000c.297a35f2.1e884ea6.000001c1(8b5e05f9)
	Topic: Movie Discussion List	Type: TestMsg
7816 Cleaning up test
test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/RtpsDiscoveryTest -DCPSConfigFile rtps_disc_group.ini -DCPSPendingTimeout 3 
test PID: 7826 started at 2023-04-28 16:34:28
(7826|7826) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
7826 Sub Domain Participant GUID=0103000c.297a35f2.1e92caf3.000001c1(3d22d00c)
7826 Pub Domain Participant GUID=0103000c.297a35f2.1e92caf4.000001c1(8f020c1c)
7826 Read Participant BIT GUID=0103000c.297a35f2.1e92caf4.000001c1(8f020c1c) handle=3
7826 0103000c.297a35f2.1e92caf3.000001c1(3d22d00c) discovered 0103000c.297a35f2.1e92caf4.000001c1(8f020c1c)
7826 0103000c.297a35f2.1e92caf4.000001c1(8f020c1c) discovered 0103000c.297a35f2.1e92caf3.000001c1(3d22d00c)
7826 Read Publication BIT with key: 0103000c.297a35f2.1e92caf4.00000003(e3739ec1) and handle 10
	Participant's GUID=0103000c.297a35f2.1e92caf4.000001c1(8f020c1c)
	Topic: Movie Discussion List	Type: TestMsg
7826 Read Subscription BIT with key: 0103000c.297a35f2.1e92caf3.00000004(cf37d772) and handle 12
	Participant's GUID=0103000c.297a35f2.1e92caf3.000001c1(3d22d00c)
	Topic: Movie Discussion List	Type: TestMsg
7826 Read Publication BIT with key: 0103000c.297a35f2.1e92caf4.01000003(5bcff9a4) and handle 13
	Participant's GUID=0103000c.297a35f2.1e92caf4.000001c1(8f020c1c)
	Topic: Movie Discussion List	Type: TestMsg
7826 Read data sample: 42
7826 Read Participant BIT GUID=0103000c.297a35f2.1e92caf4.000001c1(8f020c1c) handle=3
7826 Read Publication BIT with key: 0103000c.297a35f2.1e92caf4.01000003(5bcff9a4) and handle 13
	Participant's GUID=0103000c.297a35f2.1e92caf4.000001c1(8f020c1c)
	Topic: Movie Discussion List	Type: TestMsg
7826 Read Subscription BIT with key: 0103000c.297a35f2.1e92caf3.00000004(cf37d772) and handle 12
	Participant's GUID=0103000c.297a35f2.1e92caf3.000001c1(3d22d00c)
	Topic: Movie Discussion List	Type: TestMsg
7826 Read Publication BIT with key: 0103000c.297a35f2.1e92caf4.01000003(5bcff9a4) and handle 13
	Participant's GUID=0103000c.297a35f2.1e92caf4.000001c1(8f020c1c)
	Topic: Movie Discussion List	Type: TestMsg
7826 Read Subscription BIT with key: 0103000c.297a35f2.1e92caf3.00000004(cf37d772) and handle 12
	Participant's GUID=0103000c.297a35f2.1e92caf3.000001c1(3d22d00c)
	Topic: Movie Discussion List	Type: TestMsg
7826 Cleaning up test
test PASSED.
Running sedp discovery leak test (different user data)
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/RtpsDiscoveryTest -DCPSConfigFile rtps_disc_group.ini -DCPSPendingTimeout 3 
test1 PID: 7837 started at 2023-04-28 16:34:39
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/RtpsDiscoveryTest -DCPSConfigFile rtps_disc_group.ini -value_base 100 -DCPSPendingTimeout 3 
test2 PID: 7838 started at 2023-04-28 16:34:39
(7837|7837) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(7838|7838) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
7838 TestConfig::set base=100
7837 Sub Domain Participant GUID=0103000c.297a35f2.1e9dc2e9.000001c1(0d69adab)
7837 Pub Domain Participant GUID=0103000c.297a35f2.1e9dc2ea.000001c1(4ac9d77b)
7838 Sub Domain Participant GUID=0103000c.297a35f2.1e9e9427.000001c1(b0730a66)
7838 Pub Domain Participant GUID=0103000c.297a35f2.1e9e9428.000001c1(32239db7)
7837 Read Participant BIT GUID=0103000c.297a35f2.1e9dc2ea.000001c1(4ac9d77b) handle=3
7837 0103000c.297a35f2.1e9dc2e9.000001c1(0d69adab) discovered 0103000c.297a35f2.1e9dc2ea.000001c1(4ac9d77b)
7838 Read Participant BIT GUID=0103000c.297a35f2.1e9e9428.000001c1(32239db7) handle=3
7838 0103000c.297a35f2.1e9e9427.000001c1(b0730a66) discovered 0103000c.297a35f2.1e9e9428.000001c1(32239db7)
7837 0103000c.297a35f2.1e9dc2ea.000001c1(4ac9d77b) discovered 0103000c.297a35f2.1e9dc2e9.000001c1(0d69adab)
7838 0103000c.297a35f2.1e9e9428.000001c1(32239db7) discovered 0103000c.297a35f2.1e9e9427.000001c1(b0730a66)
7837 Read Publication BIT with key: 0103000c.297a35f2.1e9dc2ea.00000003(26b845a6) and handle 10
	Participant's GUID=0103000c.297a35f2.1e9dc2ea.000001c1(4ac9d77b)
	Topic: Movie Discussion List	Type: TestMsg
7837 Read Subscription BIT with key: 0103000c.297a35f2.1e9dc2e9.00000004(ff7caad5) and handle 12
	Participant's GUID=0103000c.297a35f2.1e9dc2e9.000001c1(0d69adab)
	Topic: Movie Discussion List	Type: TestMsg
7838 Read Publication BIT with key: 0103000c.297a35f2.1e9e9428.00000003(5e520f6a) and handle 10
	Participant's GUID=0103000c.297a35f2.1e9e9428.000001c1(32239db7)
	Topic: Movie Discussion List	Type: TestMsg
7838 Read Subscription BIT with key: 0103000c.297a35f2.1e9e9427.00000004(42660d18) and handle 12
	Participant's GUID=0103000c.297a35f2.1e9e9427.000001c1(b0730a66)
	Topic: Movie Discussion List	Type: TestMsg
7837 Read Publication BIT with key: 0103000c.297a35f2.1e9dc2ea.01000003(9e0422c3) and handle 13
	Participant's GUID=0103000c.297a35f2.1e9dc2ea.000001c1(4ac9d77b)
	Topic: Movie Discussion List	Type: TestMsg
7837 Read data sample: 42
7838 Read Publication BIT with key: 0103000c.297a35f2.1e9e9428.01000003(e6ee680f) and handle 13
	Participant's GUID=0103000c.297a35f2.1e9e9428.000001c1(32239db7)
	Topic: Movie Discussion List	Type: TestMsg
7838 Read data sample: 42
7837 Read Participant BIT GUID=0103000c.297a35f2.1e9dc2ea.000001c1(4ac9d77b) handle=3
7837 Read Publication BIT with key: 0103000c.297a35f2.1e9dc2ea.01000003(9e0422c3) and handle 13
	Participant's GUID=0103000c.297a35f2.1e9dc2ea.000001c1(4ac9d77b)
	Topic: Movie Discussion List	Type: TestMsg
7837 Read Subscription BIT with key: 0103000c.297a35f2.1e9dc2e9.00000004(ff7caad5) and handle 12
	Participant's GUID=0103000c.297a35f2.1e9dc2e9.000001c1(0d69adab)
	Topic: Movie Discussion List	Type: TestMsg
7838 Read Participant BIT GUID=0103000c.297a35f2.1e9e9428.000001c1(32239db7) handle=3
7838 Read Publication BIT with key: 0103000c.297a35f2.1e9e9428.01000003(e6ee680f) and handle 13
	Participant's GUID=0103000c.297a35f2.1e9e9428.000001c1(32239db7)
	Topic: Movie Discussion List	Type: TestMsg
7838 Read Subscription BIT with key: 0103000c.297a35f2.1e9e9427.00000004(42660d18) and handle 12
	Participant's GUID=0103000c.297a35f2.1e9e9427.000001c1(b0730a66)
	Topic: Movie Discussion List	Type: TestMsg
7837 Read Publication BIT with key: 0103000c.297a35f2.1e9dc2ea.01000003(9e0422c3) and handle 13
	Participant's GUID=0103000c.297a35f2.1e9dc2ea.000001c1(4ac9d77b)
	Topic: Movie Discussion List	Type: TestMsg
7837 Read Subscription BIT with key: 0103000c.297a35f2.1e9dc2e9.00000004(ff7caad5) and handle 12
	Participant's GUID=0103000c.297a35f2.1e9dc2e9.000001c1(0d69adab)
	Topic: Movie Discussion List	Type: TestMsg
7838 Read Publication BIT with key: 0103000c.297a35f2.1e9e9428.01000003(e6ee680f) and handle 13
	Participant's GUID=0103000c.297a35f2.1e9e9428.000001c1(32239db7)
	Topic: Movie Discussion List	Type: TestMsg
7838 Read Subscription BIT with key: 0103000c.297a35f2.1e9e9427.00000004(42660d18) and handle 12
	Participant's GUID=0103000c.297a35f2.1e9e9427.000001c1(b0730a66)
	Topic: Movie Discussion List	Type: TestMsg
7837 Cleaning up test
7838 Cleaning up test
test PASSED.
Running sedp discovery leak test (same user data)
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/RtpsDiscoveryTest -DCPSConfigFile rtps_disc_group.ini -DCPSPendingTimeout 3 
test1 PID: 7857 started at 2023-04-28 16:34:51
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/RtpsDiscoveryTest -DCPSConfigFile rtps_disc_group.ini  -DCPSPendingTimeout 3 
test2 PID: 7858 started at 2023-04-28 16:34:51
(7858|7858) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(7857|7857) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
7857 Sub Domain Participant GUID=0103000c.297a35f2.1eb18eb5.000001c1(800d169e)
7857 Pub Domain Participant GUID=0103000c.297a35f2.1eb18eb6.000001c1(c7ad6c4e)
7858 Sub Domain Participant GUID=0103000c.297a35f2.1eb27fcd.000001c1(a6896db1)
7858 Pub Domain Participant GUID=0103000c.297a35f2.1eb27fce.000001c1(e1291761)
7857 Read Participant BIT GUID=0103000c.297a35f2.1eb18eb6.000001c1(c7ad6c4e) handle=3
7858 Read Participant BIT GUID=0103000c.297a35f2.1eb27fce.000001c1(e1291761) handle=3
7858 0103000c.297a35f2.1eb27fcd.000001c1(a6896db1) discovered 0103000c.297a35f2.1eb27fce.000001c1(e1291761)
7857 0103000c.297a35f2.1eb18eb5.000001c1(800d169e) discovered 0103000c.297a35f2.1eb18eb6.000001c1(c7ad6c4e)
7857 0103000c.297a35f2.1eb18eb6.000001c1(c7ad6c4e) discovered 0103000c.297a35f2.1eb18eb5.000001c1(800d169e)
7858 0103000c.297a35f2.1eb27fce.000001c1(e1291761) discovered 0103000c.297a35f2.1eb27fcd.000001c1(a6896db1)
7857 Read Publication BIT with key: 0103000c.297a35f2.1eb18eb6.00000003(abdcfe93) and handle 10
	Participant's GUID=0103000c.297a35f2.1eb18eb6.000001c1(c7ad6c4e)
	Topic: Movie Discussion List	Type: TestMsg
7858 Read Publication BIT with key: 0103000c.297a35f2.1eb27fce.00000003(8d5885bc) and handle 10
	Participant's GUID=0103000c.297a35f2.1eb27fce.000001c1(e1291761)
	Topic: Movie Discussion List	Type: TestMsg
7857 Read Subscription BIT with key: 0103000c.297a35f2.1eb18eb5.00000004(721811e0) and handle 12
	Participant's GUID=0103000c.297a35f2.1eb18eb5.000001c1(800d169e)
	Topic: Movie Discussion List	Type: TestMsg
7858 Read Subscription BIT with key: 0103000c.297a35f2.1eb27fcd.00000004(549c6acf) and handle 12
	Participant's GUID=0103000c.297a35f2.1eb27fcd.000001c1(a6896db1)
	Topic: Movie Discussion List	Type: TestMsg
7857 Read Publication BIT with key: 0103000c.297a35f2.1eb18eb6.01000003(136099f6) and handle 13
	Participant's GUID=0103000c.297a35f2.1eb18eb6.000001c1(c7ad6c4e)
	Topic: Movie Discussion List	Type: TestMsg
7858 Read Publication BIT with key: 0103000c.297a35f2.1eb27fce.01000003(35e4e2d9) and handle 13
	Participant's GUID=0103000c.297a35f2.1eb27fce.000001c1(e1291761)
	Topic: Movie Discussion List	Type: TestMsg
7858 Read data sample: 42
7857 Read data sample: 42
7858 Read Participant BIT GUID=0103000c.297a35f2.1eb27fce.000001c1(e1291761) handle=3
7857 Read Participant BIT GUID=0103000c.297a35f2.1eb18eb6.000001c1(c7ad6c4e) handle=3
7858 Read Publication BIT with key: 0103000c.297a35f2.1eb27fce.01000003(35e4e2d9) and handle 13
	Participant's GUID=0103000c.297a35f2.1eb27fce.000001c1(e1291761)
	Topic: Movie Discussion List	Type: TestMsg
7857 Read Publication BIT with key: 0103000c.297a35f2.1eb18eb6.01000003(136099f6) and handle 13
	Participant's GUID=0103000c.297a35f2.1eb18eb6.000001c1(c7ad6c4e)
	Topic: Movie Discussion List	Type: TestMsg
7858 Read Subscription BIT with key: 0103000c.297a35f2.1eb27fcd.00000004(549c6acf) and handle 12
	Participant's GUID=0103000c.297a35f2.1eb27fcd.000001c1(a6896db1)
	Topic: Movie Discussion List	Type: TestMsg
7857 Read Subscription BIT with key: 0103000c.297a35f2.1eb18eb5.00000004(721811e0) and handle 12
	Participant's GUID=0103000c.297a35f2.1eb18eb5.000001c1(800d169e)
	Topic: Movie Discussion List	Type: TestMsg
7858 Read Publication BIT with key: 0103000c.297a35f2.1eb27fce.01000003(35e4e2d9) and handle 13
	Participant's GUID=0103000c.297a35f2.1eb27fce.000001c1(e1291761)
	Topic: Movie Discussion List	Type: TestMsg
7858 Read Subscription BIT with key: 0103000c.297a35f2.1eb27fcd.00000004(549c6acf) and handle 12
	Participant's GUID=0103000c.297a35f2.1eb27fcd.000001c1(a6896db1)
	Topic: Movie Discussion List	Type: TestMsg
7857 Read Publication BIT with key: 0103000c.297a35f2.1eb18eb6.01000003(136099f6) and handle 13
	Participant's GUID=0103000c.297a35f2.1eb18eb6.000001c1(c7ad6c4e)
	Topic: Movie Discussion List	Type: TestMsg
7857 Read Subscription BIT with key: 0103000c.297a35f2.1eb18eb5.00000004(721811e0) and handle 12
	Participant's GUID=0103000c.297a35f2.1eb18eb5.000001c1(800d169e)
	Topic: Movie Discussion List	Type: TestMsg
7858 Cleaning up test
7857 Cleaning up test
test PASSED.

auto_run_tests_finished: tests/DCPS/RtpsDiscovery/run_test.pl Time:58s Result:0

==============================================================================

tests/DCPS/MultiDiscovery/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7878
*********************************
MultiDiscoveryTest creates 3 processes, each with a DW and DR.
DW's in each process use different forms of discovery to find/associate with the DR in their respective domain.
One DW is specified as the 'origin' and sends 10 messages to its associated DR.
Upon receipt, DR's pass the message to their process's DW which add's its id to the message's from field
and relays the messgae on until the loop is completed at the 'origin' DR
*********************************
Spawning alpha - Writer (12) in domain 12 using default discovery and Reader (13) in domain 31 using rtps discovery
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiDiscovery/MultiDiscoveryTest -DCPSConfigFile config.ini -DCPSDebugLevel 0 -origin 1 -reliable 1 -dw_static_disc 0 -dr_static_disc 0 -wdomain 12 -rdomain 31 -writer 000012 -reader 000013 -DCPSPendingTimeout 3 
alpha PID: 7885 started at 2023-04-28 16:35:03
Spawning beta - Writer (23) in domain 23 using static discovery and Reader (21) in domain 12 using default discovery
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiDiscovery/MultiDiscoveryTest -DCPSConfigFile config.ini -DCPSDebugLevel 0 -origin 0 -reliable 1 -dw_static_disc 1 -dr_static_disc 0 -wdomain 23 -rdomain 12 -dw_participant 000000000023 -writer 000023 -reader 000021 -DCPSPendingTimeout 3 
beta PID: 7886 started at 2023-04-28 16:35:03
Spawning gamma - Writer (31) in domain 31 using rtps discovery and Reader (32) in domain 23 using static discovery
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiDiscovery/MultiDiscoveryTest -DCPSConfigFile config.ini -DCPSDebugLevel 0 -origin 0 -reliable 1 -dw_static_disc 0 -dr_static_disc 1 -wdomain 31 -rdomain 23 -dr_participant 000000000032 -writer 000031 -reader 000032 -DCPSPendingTimeout 3 
gamma PID: 7887 started at 2023-04-28 16:35:03
(7885|7885) INFO: set_DCPS_debug_level: set to 0
(7885|7885) NOTICE: using DCPSDebugLevel value from command option (overrides value if it's in config file)
(7885|7885) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(7885|7885) Service_Participant::get_domain_participant_factory: This is OpenDDS 3.25.0-dev using ACE 6.2a_p27
(7885|7885) Service_Participant::get_domain_participant_factory: log_level: debug DCPS_debug_level: 0
(7885|7885) Service_Participant::get_domain_participant_factory: machine: flea, x86_64 platform: Linux, 2.6.32-642.el6.x86_64, #1 SMP Wed Apr 13 00:51:26 EDT 2016
(7885|7885) Service_Participant::get_domain_participant_factory: compiler: g++ version 4.4.0
(7886|7886) INFO: set_DCPS_debug_level: set to 0
(7886|7886) NOTICE: using DCPSDebugLevel value from command option (overrides value if it's in config file)
(7886|7886) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(7885|7885) main() - writer domain: 12
(7885|7885) main() - reader domain: 31
(7886|7886) Service_Participant::get_domain_participant_factory: This is OpenDDS 3.25.0-dev using ACE 6.2a_p27
(7886|7886) Service_Participant::get_domain_participant_factory: log_level: debug DCPS_debug_level: 0
(7886|7886) Service_Participant::get_domain_participant_factory: machine: flea, x86_64 platform: Linux, 2.6.32-642.el6.x86_64, #1 SMP Wed Apr 13 00:51:26 EDT 2016
(7886|7886) Service_Participant::get_domain_participant_factory: compiler: g++ version 4.4.0
(7886|7886) main() - writer domain: 23
(7886|7886) main() - reader domain: 12
(7887|7887) INFO: set_DCPS_debug_level: set to 0
(7887|7887) NOTICE: using DCPSDebugLevel value from command option (overrides value if it's in config file)
(7887|7887) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(7887|7887) Service_Participant::get_domain_participant_factory: This is OpenDDS 3.25.0-dev using ACE 6.2a_p27
(7887|7887) Service_Participant::get_domain_participant_factory: log_level: debug DCPS_debug_level: 0
(7887|7887) Service_Participant::get_domain_participant_factory: machine: flea, x86_64 platform: Linux, 2.6.32-642.el6.x86_64, #1 SMP Wed Apr 13 00:51:26 EDT 2016
(7887|7887) Service_Participant::get_domain_participant_factory: compiler: g++ version 4.4.0
(7885|7892) INFO: MulticastManager::join: joined group 239.255.0.1:15282 on eth0/10.201.200.79 (0xda47a0 joined count 1)
(7885|7892) INFO: MulticastManager::join: joined group ff03::1:15282 on eth0/fe80::20c:29ff:fe7a:35f2 (0xda47a0 joined count 2)
(7885|7892) INFO: MulticastManager::join: joined group 239.255.0.1:15282 on lo/127.0.0.1 (0xda47a0 joined count 3)
(7885|7892) INFO: MulticastManager::join: joined group ff03::1:15282 on lo/::1 (0xda47a0 joined count 4)
(7885|7892) INFO: MulticastManager::join: joined group 239.255.0.1:15282 on virbr0/192.168.122.1 (0xda47a0 joined count 5)
(7887|7887) main() - writer domain: 31
(7887|7887) main() - reader domain: 23
(7878|7878) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7887|7887) Starting DataWriter 000031
(7887|7898) INFO: MulticastManager::join: joined group 239.255.0.1:15282 on eth0/10.201.200.79 (0x10cc5b0 joined count 1)
(7887|7898) INFO: MulticastManager::join: joined group ff03::1:15282 on eth0/fe80::20c:29ff:fe7a:35f2 (0x10cc5b0 joined count 2)
(7887|7898) INFO: MulticastManager::join: joined group 239.255.0.1:15282 on lo/127.0.0.1 (0x10cc5b0 joined count 3)
(7887|7898) INFO: MulticastManager::join: joined group ff03::1:15282 on lo/::1 (0x10cc5b0 joined count 4)
(7887|7898) INFO: MulticastManager::join: joined group 239.255.0.1:15282 on virbr0/192.168.122.1 (0x10cc5b0 joined count 5)
(7887|7887) Starting DataReader 000032 using writer with id: 000031
(7885|7885) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7886|7886) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7886|7886) Starting DataWriter 000023
(7885|7885) Starting DataWriter 000012
(7886|7886) Starting DataReader 000021 using writer with id: 000023
(7885|7885) Starting DataReader 000013 using writer with id: 000012
(7885|7885) DataWriter 000012 is the 'origin' so spawn writer task
(7885|7915) WriterTask::svc - starting for writer_id: 000012
(7885|7915) DataWriter 000012 has 0 of 1 readers
(7885|7915) DataWriter 000012 has 1 of 1 readers
(7886|7912) DataReader 000021 has received message: 0 from: 000012
(7886|7912) DataWriter 000023 has 0 of 1 readers
(7885|7915) DataWriter 000012 is waiting for acknowledgments
(7886|7912) DataWriter 000023 has 1 of 1 readers
(7887|7902) DataReader 000032 has received message: 0 from: 000012->000023
(7887|7902) DataWriter 000031 has 0 of 1 readers
(7886|7912) DataReader 000021 has received message: 1 from: 000012
(7886|7912) DataWriter 000023 has 1 of 1 readers
(7886|7912) DataReader 000021 has received message: 2 from: 000012
(7886|7912) DataWriter 000023 has 1 of 1 readers
(7886|7912) DataReader 000021 has received message: 3 from: 000012
(7886|7912) DataWriter 000023 has 1 of 1 readers
(7886|7912) DataReader 000021 has received message: 4 from: 000012
(7886|7912) DataWriter 000023 has 1 of 1 readers
(7886|7912) DataReader 000021 has received message: 5 from: 000012
(7886|7912) DataWriter 000023 has 1 of 1 readers
(7886|7912) DataReader 000021 has received message: 6 from: 000012
(7886|7912) DataWriter 000023 has 1 of 1 readers
(7886|7912) DataReader 000021 has received message: 7 from: 000012
(7886|7912) DataWriter 000023 has 1 of 1 readers
(7886|7912) DataReader 000021 has received message: 8 from: 000012
(7886|7912) DataWriter 000023 has 1 of 1 readers
(7886|7912) DataReader 000021 has received message: 9 from: 000012
(7886|7912) DataWriter 000023 has 1 of 1 readers
(7886|7912) DataReader 000021 has received expected number of samples
(7886|7912) DataWriter 000023 is waiting for acknowledgments
(7887|7902) DataWriter 000031 has 1 of 1 readers
(7887|7902) DataReader 000032 has received message: 1 from: 000012->000023
(7887|7902) DataWriter 000031 has 1 of 1 readers
(7887|7902) DataReader 000032 has received message: 2 from: 000012->000023
(7887|7902) DataWriter 000031 has 1 of 1 readers
(7887|7902) DataReader 000032 has received message: 3 from: 000012->000023
(7887|7902) DataWriter 000031 has 1 of 1 readers
(7887|7902) DataReader 000032 has received message: 4 from: 000012->000023
(7887|7902) DataWriter 000031 has 1 of 1 readers
(7887|7902) DataReader 000032 has received message: 5 from: 000012->000023
(7887|7902) DataWriter 000031 has 1 of 1 readers
(7887|7902) DataReader 000032 has received message: 6 from: 000012->000023
(7887|7902) DataWriter 000031 has 1 of 1 readers
(7887|7902) DataReader 000032 has received message: 7 from: 000012->000023
(7887|7902) DataWriter 000031 has 1 of 1 readers
(7887|7902) DataReader 000032 has received message: 8 from: 000012->000023
(7887|7902) DataWriter 000031 has 1 of 1 readers
(7887|7902) DataReader 000032 has received message: 9 from: 000012->000023
(7887|7902) DataWriter 000031 has 1 of 1 readers
(7887|7902) DataReader 000032 has received expected number of samples
(7887|7902) DataWriter 000031 is waiting for acknowledgments
(7885|7914) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(7885|7914) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(7885|7914) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(7885|7914) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(7885|7914) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(7885|7914) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(7885|7914) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(7885|7914) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(7885|7914) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(7885|7914) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(7885|7914) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(7885|7914) DataReader 000013 has received message: 0 from: 000012->000023->000031
(7885|7914) DataReader 000013 has received message: 1 from: 000012->000023->000031
(7885|7914) DataReader 000013 has received message: 2 from: 000012->000023->000031
(7885|7914) DataReader 000013 has received message: 3 from: 000012->000023->000031
(7885|7914) DataReader 000013 has received message: 4 from: 000012->000023->000031
(7885|7914) DataReader 000013 has received message: 5 from: 000012->000023->000031
(7885|7914) DataReader 000013 has received message: 6 from: 000012->000023->000031
(7885|7914) DataReader 000013 has received message: 7 from: 000012->000023->000031
(7885|7914) DataReader 000013 has received message: 8 from: 000012->000023->000031
(7885|7914) DataReader 000013 has received message: 9 from: 000012->000023->000031
(7885|7914) DataReader 000013 has received expected number of samples
(7885|7915) DataWriter 000012 is done
(7885|7885) DataReader 000013 is done
(7885|7885) DataReader 000013 Expected number of samples received
(7887|7887) DataReader 000032 is done
(7887|7887) DataReader 000032 Expected number of samples received
(7886|7886) DataReader 000021 is done
(7886|7886) DataReader 000021 Expected number of samples received
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/MultiDiscovery/run_test.pl Time:7s Result:0

==============================================================================

tests/DCPS/DomainRange/run_test.pl #

*********************************
DomainRangeTest creates a single process with 1 DW and 4 DRs.

Domains and transports are dynamically configured from the
templates in config.ini. The DW in each domain sends 10
messages to its DRs.
*********************************
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DomainRange/DomainRangeTest -DCPSConfigFile config.ini -DCPSDebugLevel 10  -domain 2 -domain 8 -domain 20 -domain 50 -domain 8 -DCPSTransportDebugLevel 1 -ORBLogFile alpha.log -DCPSPendingTimeout 3 
alpha PID: 7925 started at 2023-04-28 16:35:09
test PASSED.
*********************************
DomainRangeTest creates a single process with 1 DW and 4 DRs.

Domains and transports are dynamically configured from the
templates in config.ini. The DW in each domain sends 10
messages to its DRs.
*********************************
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DomainRange/DomainRangeTest -DCPSConfigFile config.ini -DCPSDebugLevel 10 -bind secondary_config -domain 2 -domain 8 -domain 20 -domain 50 -domain 8 -DCPSTransportDebugLevel 1 -ORBLogFile alpha.log -DCPSPendingTimeout 3 
alpha PID: 8032 started at 2023-04-28 16:35:20
test PASSED.

auto_run_tests_finished: tests/DCPS/DomainRange/run_test.pl Time:20s Result:0

==============================================================================

tests/DCPS/StaticDiscoveryReconnect/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StaticDiscoveryReconnect/StaticDiscoveryTest -DCPSConfigFile config.ini -writer -DCPSPendingTimeout 3 
writer1 PID: 8141 started at 2023-04-28 16:35:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StaticDiscoveryReconnect/StaticDiscoveryTest -DCPSConfigFile config.ini -reader -toggle -DCPSPendingTimeout 3 
reader1 PID: 8142 started at 2023-04-28 16:35:30
(8142|8142) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(8141|8141) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
Creating reader
Writer found reader
Deleting reader
Writer lost reader
Creating reader
Writer found reader
test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StaticDiscoveryReconnect/StaticDiscoveryTest -DCPSConfigFile config.ini -reader -DCPSPendingTimeout 3 
reader2 PID: 8155 started at 2023-04-28 16:36:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StaticDiscoveryReconnect/StaticDiscoveryTest -DCPSConfigFile config.ini -writer -toggle -DCPSPendingTimeout 3 
writer2 PID: 8156 started at 2023-04-28 16:36:03
(8156|8156) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(8155|8155) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
Creating writer
Reader found writer
Deleting writer
Reader lost writer
Creating writer
Reader found writer
test PASSED.

auto_run_tests_finished: tests/DCPS/StaticDiscoveryReconnect/run_test.pl Time:67s Result:0

==============================================================================

tests/transport/rtps/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps/subscriber -h 127.0.0.1 -p 12354 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 8173 started at 2023-04-28 16:36:36
STARTING MAIN IN SUBSCRIBER
***Ready written to subready.txt
***Association Data created for Publication for SimpleDataReader to init
Associating with pub...
***Simple Data Reader init:: publication completed
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps/publisher -h 127.0.0.1 -p 12354 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 8177 started at 2023-04-28 16:36:36
test PASSED.
Running with multicast...
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps/subscriber -h 127.0.0.1 -p 15734 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 8181 started at 2023-04-28 16:36:37
STARTING MAIN IN SUBSCRIBER
***Ready written to subready.txt
***Association Data created for Publication for SimpleDataReader to init
Associating with pub...
***Simple Data Reader init:: publication completed
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps/publisher -h 239.255.0.2 -p 7401 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 8185 started at 2023-04-28 16:36:37
test PASSED.

auto_run_tests_finished: tests/transport/rtps/run_test.pl Time:2s Result:0

==============================================================================

tests/transport/rtps_reliability/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_reliability/rtps_reliability  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile rtps_reliability.log -DCPSPendingTimeout 3 
rtps_reliability PID: 8190 started at 2023-04-28 16:36:38
>>> Starting test of DataReader
data_received with seq#: 1
Received submessage type: 14
recv_an() data retransmit 1
Received submessage type: 14
recv_an() no retransmission requested
Received submessage type: 14
recv_an() data retransmit 2
recv_an() gap retransmit 4
data_received with seq#: 2
data_received with seq#: 3
data_received with seq#: 5
Received submessage type: 14
recv_an() no retransmission requested
Received submessage type: 14
recv_an() no retransmission requested
recv_nackfrag() retransmit 6:2
data_received with seq#: 6
Received submessage type: 14
recv_an() no retransmission requested
Received submessage type: 14
recv_an() no retransmission requested
Received submessage type: 14
recv_an() no retransmission requested
recv_nackfrag() retransmit 7:2
Received submessage type: 14
recv_an() no retransmission requested
data_received with seq#: 7
data_received with seq#: 8
Received submessage type: 14
recv_an() no retransmission requested
>>> Starting test of DataWriter
Received submessage type: 14
recv_hb() first = 1 last = 0
Received submessage type: 14
recv_hb() first = 1 last = 0
Received submessage type: 14
recv_hb() first = 1 last = 0
Received submessage type: 14
recv_hb() first = 1 last = 0
sending with seq#: 1
sending with seq#: 2
sending with seq#: 3
Received submessage type: 9
recv_data() seq = 1
Received submessage type: 9
recv_data() seq = 2
Received submessage type: 9
recv_data() seq = 3
Received submessage type: 14
recv_hb() first = 1 last = 1
Received submessage type: 14
recv_hb() first = 1 last = 3
recv_hb() requesting retransmit of #2
SimpleDataWriter::data_delivered()
Received submessage type: 9
recv_data() seq = 2
Received submessage type: 14
recv_hb() first = 2 last = 3
Received submessage type: 14
recv_hb() first = 2 last = 3
sending with seq#: 5
recv_gap() gapStart = 4 gapListBase = 5
Received submessage type: 9
recv_data() seq = 5
Received submessage type: 14
recv_hb() first = 2 last = 3
Received submessage type: 14
recv_hb() first = 2 last = 5
SimpleDataWriter::data_delivered()
SimpleDataWriter::data_delivered()
SimpleDataWriter::data_delivered()
test PASSED.

auto_run_tests_finished: tests/transport/rtps_reliability/run_test.pl Time:14s Result:0

==============================================================================

tests/transport/spdp/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/spdp/spdp_transport  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile spdp_transport.log -DCPSPendingTimeout 3 
spdp_transport PID: 8197 started at 2023-04-28 16:36:52
(8197|8197) INFO: set_DCPS_debug_level: set to 1
(8197|8200) INFO: MulticastManager::join: joined group 239.255.0.1:7402 on eth0/10.201.200.79 (0x17c90b8 joined count 1)
(8197|8200) INFO: MulticastManager::join: joined group ff03::2:7401 on eth0/fe80::20c:29ff:fe7a:35f2 (0x17c90b8 joined count 2)
(8197|8200) INFO: MulticastManager::join: joined group 239.255.0.1:7402 on lo/127.0.0.1 (0x17c90b8 joined count 3)
(8197|8200) INFO: MulticastManager::join: joined group ff03::2:7401 on lo/::1 (0x17c90b8 joined count 4)
(8197|8200) INFO: MulticastManager::join: joined group 239.255.0.1:7402 on virbr0/192.168.122.1 (0x17c90b8 joined count 5)
(8197|8200) INFO: MulticastManager::join: joined group 239.255.0.1:7532 on eth0/10.201.200.79 (0x179e750 joined count 1)
(8197|8200) INFO: MulticastManager::join: joined group ff03::1:7532 on eth0/fe80::20c:29ff:fe7a:35f2 (0x179e750 joined count 2)
(8197|8200) INFO: MulticastManager::join: joined group 239.255.0.1:7532 on lo/127.0.0.1 (0x179e750 joined count 3)
(8197|8200) INFO: MulticastManager::join: joined group ff03::1:7532 on lo/::1 (0x179e750 joined count 4)
(8197|8200) INFO: MulticastManager::join: joined group 239.255.0.1:7532 on virbr0/192.168.122.1 (0x179e750 joined count 5)
(8197|8197) spdp_transport.cpp:run_test() addr_array[0]: 127.0.0.1:12345
(8197|8197) spdp_transport.cpp:run_test() addr_array[1]: 10.201.200.79:12345
(8197|8197) spdp_transport.cpp:run_test() addr_array[2]: 192.168.122.1:12345
(8197|8197) spdp_transport.cpp:run_test() addr_array[3]: ::1:12345
(8197|8197) spdp_transport.cpp:run_test() addr_array[4]: fe80::20c:29ff:fe7a:35f2%2:12345
Basic Reset Test
seq: 1
(8197|8200) Spdp::handle_participant_data - 0103000c.297a35f2.2005e662.000001c1(1b02121c) discovered 0103000c.297a35f2.200519cb.000001c1(82f14437) lease 5:00 from 10.201.200.79:45228 (0)
(8197|8200) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.2005e662.000300c3(ee51fc28) remote 0103000c.297a35f2.200519cb.000300c4(e9c63fa0)
(8197|8200) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.2005e662.000301c3(f74acd69) remote 0103000c.297a35f2.200519cb.000301c4(f0dd0ee1)
(8197|8200) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.2005e662.000003c2(b03d2124) remote 0103000c.297a35f2.200519cb.000003c7(59a48380)
(8197|8200) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.2005e662.000004c2(ff7cb7e3) remote 0103000c.297a35f2.200519cb.000004c7(16e51547)
(8197|8200) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.2005e662.000200c2(9894a689) remote 0103000c.297a35f2.200519cb.000200c7(710d042d)
seq: 2
seq: 3
seq: 4
seq: 5
seq: 1
seq: 2
seq: 3
seq: 4
(8197|8200) Spdp::handle_participant_data - 0103000c.297a35f2.2005e662.000001c1(1b02121c) discovered 0103000c.297a35f2.200519cb.000001c1(82f14437) lease 5:00 from 10.201.200.79:45228 (0)
(8197|8200) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.2005e662.000300c3(ee51fc28) remote 0103000c.297a35f2.200519cb.000300c4(e9c63fa0)
(8197|8200) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.2005e662.000301c3(f74acd69) remote 0103000c.297a35f2.200519cb.000301c4(f0dd0ee1)
(8197|8200) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.2005e662.000003c2(b03d2124) remote 0103000c.297a35f2.200519cb.000003c7(59a48380)
(8197|8200) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.2005e662.000004c2(ff7cb7e3) remote 0103000c.297a35f2.200519cb.000004c7(16e51547)
(8197|8200) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.2005e662.000200c2(9894a689) remote 0103000c.297a35f2.200519cb.000200c7(710d042d)
seq: 5
seq: 6
seq: 7
Reset Within Limits Test
seq: 8
seq: 6
seq: 7
seq: 8
seq: 9
Duplicate Sequence Numbers Test
seq: 1
(8197|8200) Spdp::handle_participant_data - 0103000c.297a35f2.2005e662.000001c1(1b02121c) discovered 0103000c.297a35f2.200519cb.000001c1(82f14437) lease 5:00 from 10.201.200.79:45228 (0)
(8197|8200) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.2005e662.000300c3(ee51fc28) remote 0103000c.297a35f2.200519cb.000300c4(e9c63fa0)
(8197|8200) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.2005e662.000301c3(f74acd69) remote 0103000c.297a35f2.200519cb.000301c4(f0dd0ee1)
(8197|8200) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.2005e662.000003c2(b03d2124) remote 0103000c.297a35f2.200519cb.000003c7(59a48380)
(8197|8200) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.2005e662.000004c2(ff7cb7e3) remote 0103000c.297a35f2.200519cb.000004c7(16e51547)
(8197|8200) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.2005e662.000200c2(9894a689) remote 0103000c.297a35f2.200519cb.000200c7(710d042d)
  seq: 2
  seq: 3
  seq: 4
seq: 2
  seq: 3
  seq: 4
  seq: 5
seq: 3
  seq: 4
  seq: 5
  seq: 6
seq: 4
  seq: 5
  seq: 6
  seq: 7
seq: 5
  seq: 6
  seq: 7
  seq: 8
Overflow Test
  seq: 2147483647 4294967290
(8197|8200) Spdp::handle_participant_data - 0103000c.297a35f2.2005e662.000001c1(1b02121c) discovered 0103000c.297a35f2.200519cb.000001c1(82f14437) lease 5:00 from 10.201.200.79:45228 (0)
(8197|8200) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.2005e662.000300c3(ee51fc28) remote 0103000c.297a35f2.200519cb.000300c4(e9c63fa0)
(8197|8200) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.2005e662.000301c3(f74acd69) remote 0103000c.297a35f2.200519cb.000301c4(f0dd0ee1)
(8197|8200) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.2005e662.000003c2(b03d2124) remote 0103000c.297a35f2.200519cb.000003c7(59a48380)
(8197|8200) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.2005e662.000004c2(ff7cb7e3) remote 0103000c.297a35f2.200519cb.000004c7(16e51547)
(8197|8200) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.2005e662.000200c2(9894a689) remote 0103000c.297a35f2.200519cb.000200c7(710d042d)
  seq: 2147483647 4294967291
  seq: 2147483647 4294967292
  seq: 2147483647 4294967293
  seq: 2147483647 4294967294
  seq: 2147483647 4294967295
  seq: 0 0
  seq: 0 1
  seq: 0 2
  seq: 0 3
(8197|8197) WARNING: DataLink[0x17c9118]::~DataLink() - link still in use by 5 entities when deleted!
(8197|8197) Service_Participant::shutdown
(8197|8197) DomainParticipantFactoryImpl::~DomainParticipantFactoryImpl()
(8197|8197) Service_Participant::~Service_Participant
(8197|8197) Service_Participant::shutdown
test PASSED.

auto_run_tests_finished: tests/transport/spdp/run_test.pl Time:24s Result:0

==============================================================================

tests/transport/rtps_directed_write/run_test.pl #

Testing with best-effort readers...
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/subscriber -h 127.0.0.1 -p 17462 -r 0 -ORBLogFile sub_BestEffort.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
subscriber PID: 8212 started at 2023-04-28 16:37:16
Reader 01030000.01234567.76543210.11111107(3bef1e14) called associate()
Reader 01030000.01234567.76543210.22222207(0b3777a2) called associate()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/publisher -h 127.0.0.1 -p 17462 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 8216 started at 2023-04-28 16:37:17
test PASSED.

Testing with best-effort readers, multicast...
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/subscriber -h 127.0.0.1 -p 10889 -r 0 -ORBLogFile sub_BestEffort_multicast.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
subscriber PID: 8218 started at 2023-04-28 16:37:18
Reader 01030000.01234567.76543210.11111107(3bef1e14) called associate()
Reader 01030000.01234567.76543210.22222207(0b3777a2) called associate()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/publisher -h 239.255.0.2 -p 7401 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 8222 started at 2023-04-28 16:37:18
test PASSED.

Testing with reliable readers...
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/subscriber -h 127.0.0.1 -p 13254 -r 1 -ORBLogFile sub_Reliable.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
subscriber PID: 8224 started at 2023-04-28 16:37:19
Reader 01030000.01234567.76543210.11111107(3bef1e14) called associate()
Reader 01030000.01234567.76543210.22222207(0b3777a2) called associate()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/publisher -h 127.0.0.1 -p 13254 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 8228 started at 2023-04-28 16:37:19
test PASSED.

Testing with reliable readers, multicast...
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/subscriber -h 127.0.0.1 -p 15361 -r 1 -ORBLogFile sub_Reliable_multicast.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
subscriber PID: 8230 started at 2023-04-28 16:37:20
Reader 01030000.01234567.76543210.11111107(3bef1e14) called associate()
Reader 01030000.01234567.76543210.22222207(0b3777a2) called associate()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/publisher -h 239.255.0.2 -p 7401 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 8234 started at 2023-04-28 16:37:20
test PASSED.

auto_run_tests_finished: tests/transport/rtps_directed_write/run_test.pl Time:5s Result:0

==============================================================================

tests/transport/best_effort_reader/run_test.pl #

Testing best-effort readers...
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/best_effort_reader/subscriber -h 127.0.0.1 -p 13065 -ORBLogFile sub.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
subscriber PID: 8237 started at 2023-04-28 16:37:21
01030000.01234567.11111111.11111102(3bc047cf) <- 01030000.01234567.22222222.11111107(bd0cef44) associated
01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.11111107(bd0cef44) associated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.11111107(bd0cef44) associated
Reader1 associated with 3 writer(s)

01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.22222207(8dd486f2) associated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.22222207(8dd486f2) associated
Reader2 associated with 2 writer(s)

01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.33333307(2b4c5c5f) associated
Reader3 associated with 1 writer(s)

*** Ready written to subready.txt ***
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/best_effort_reader/publisher -h 127.0.0.1 -p 13065 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 8241 started at 2023-04-28 16:37:21
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.33333307(2b4c5c5f) disassociated
01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.22222207(8dd486f2) disassociated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.22222207(8dd486f2) disassociated
01030000.01234567.11111111.11111102(3bc047cf) <- 01030000.01234567.22222222.11111107(bd0cef44) disassociated
01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.11111107(bd0cef44) disassociated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.11111107(bd0cef44) disassociated
test PASSED.

Testing best-effort readers, multicast...
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/best_effort_reader/subscriber -h 127.0.0.1 -p 16834 -ORBLogFile sub_multicast.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
subscriber PID: 8243 started at 2023-04-28 16:37:22
01030000.01234567.11111111.11111102(3bc047cf) <- 01030000.01234567.22222222.11111107(bd0cef44) associated
01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.11111107(bd0cef44) associated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.11111107(bd0cef44) associated
Reader1 associated with 3 writer(s)

01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.22222207(8dd486f2) associated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.22222207(8dd486f2) associated
Reader2 associated with 2 writer(s)

01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.33333307(2b4c5c5f) associated
Reader3 associated with 1 writer(s)

*** Ready written to subready.txt ***
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/best_effort_reader/publisher -h 239.255.0.2 -p 7401 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 8247 started at 2023-04-28 16:37:22
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.33333307(2b4c5c5f) disassociated
01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.22222207(8dd486f2) disassociated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.22222207(8dd486f2) disassociated
01030000.01234567.11111111.11111102(3bc047cf) <- 01030000.01234567.22222222.11111107(bd0cef44) disassociated
01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.11111107(bd0cef44) disassociated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.11111107(bd0cef44) disassociated
test PASSED.

auto_run_tests_finished: tests/transport/best_effort_reader/run_test.pl Time:2s Result:0

==============================================================================

tests/DCPS/ManyTopicTest/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 8250
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/publisher -t all -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3  -o /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/
publisher PID: 8257 started at 2023-04-28 16:37:23
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/subscriber -t all -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber1.log -DCPSPendingTimeout 3  -o /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/
subscriber1 PID: 8258 started at 2023-04-28 16:37:23
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/subscriber -t all -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber2.log -DCPSPendingTimeout 3  -o /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/
subscriber2 PID: 8259 started at 2023-04-28 16:37:23
(8257|8257)  16:37:23.850093 publisher main
(8259|8259)  16:37:23.868794 subscriber main
(8258|8258)  16:37:23.869567 subscriber main
(8250|8250) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 50637000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 50637000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 81500000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 81500000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 122911000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 122911000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 128862000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 128862000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 131764000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 131764000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 133298000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 133298000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 136859000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 136859000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 148759000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 148759000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 160401000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 160401000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 191540000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 191540000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 211400000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 211400000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 213676000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 213676000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 214524000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 214524000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 220458000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 220458000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 231275000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 231275000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 262930000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 262930000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 266860000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 266860000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 279242000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 279242000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 287241000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 287241000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 299395000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 299395000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 320566000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 320566000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 331768000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 331768000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 340065000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 340065000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 352782000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 352782000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 354358000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 354358000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 402405000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 402405000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 404354000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 404354000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 414861000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 414861000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 419602000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 419602000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 461340000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 461340000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 463531000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 463531000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 483661000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 483661000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 485432000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 485432000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 515325000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 515325000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 552948000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 552948000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 609859000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 609859000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 615742000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 615742000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 617536000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 617536000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 649843000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 649843000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 661630000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717846 661630000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 3
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 3
foo2[0]: text = message 1, key = 2
foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 1
foo2[0]: text = message 2, key = 2
foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 4
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 3
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 3
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 4
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 4
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 4
foo2[0]: text = message 3, key = 2
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 3
foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 1
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 3
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 4
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 4
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 3
foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 1
foo2[0]: text = message 4, key = 2
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 3
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 4
foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 1
foo2[0]: text = message 5, key = 2
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 4
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 4
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 3
foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 1
foo2[0]: text = message 6, key = 2
foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 1
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 4
foo2[0]: text = message 7, key = 2
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 3
foo2[0]: text = message 8, key = 2
foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 1
foo2[0]: text = message 9, key = 2
foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 1
foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 1
foo2[0]: text = message 10, key = 2
foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 1

*** T1 received 10 samples.

*** T2 received 10 samples.

*** T3 received 10 samples.

*** T4 received 10 samples.
foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 3
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 3
foo2[0]: text = message 1, key = 2
foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 1
foo2[0]: text = message 2, key = 2
foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 4
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 3
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 3
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 4
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 4
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 4
foo2[0]: text = message 3, key = 2
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 3
foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 1
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 3
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 4
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 4
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 3
foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 1
foo2[0]: text = message 4, key = 2
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 3
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 4
foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 1
foo2[0]: text = message 5, key = 2
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 4
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 4
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 3
foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 1
foo2[0]: text = message 6, key = 2
foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 1
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 4
foo2[0]: text = message 7, key = 2
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 3
foo2[0]: text = message 8, key = 2
foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 1
foo2[0]: text = message 9, key = 2
foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 1
foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 1
foo2[0]: text = message 10, key = 2
foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 1

*** T1 received 10 samples.

*** T2 received 10 samples.

*** T3 received 10 samples.

*** T4 received 10 samples.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ManyTopicTest/run_test.pl Time:4s Result:0

==============================================================================

tests/DCPS/ManyTopicTest/run_test.pl rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/publisher -t all -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3  -o /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/
publisher PID: 8285 started at 2023-04-28 16:37:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/subscriber -t all -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber1.log -DCPSPendingTimeout 3  -o /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/
subscriber1 PID: 8286 started at 2023-04-28 16:37:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/subscriber -t all -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber2.log -DCPSPendingTimeout 3  -o /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/
subscriber2 PID: 8287 started at 2023-04-28 16:37:27
(8285|8285)  16:37:27.721929 publisher main
(8286|8286)  16:37:27.733834 subscriber main
(8287|8287)  16:37:27.737448 subscriber main
  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717849 968519999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717849 968519999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 19709999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 19709999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 43820999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 43820999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 48298999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 48298999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 55441999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 55441999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 60191999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 60191999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 80266999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 80266999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 81899999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 81899999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 102028999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 102028999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 110083999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 110083999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 118407999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 118407999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 121299999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 121299999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 166951999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 166951999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 168380999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 168380999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 179901999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 179901999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 208681999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 208681999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 211728999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 211728999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 228787999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 228787999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 245272999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 245272999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 254975999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 254975999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 293387999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 293387999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 294430999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 294430999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 313795999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 313795999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 322526999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 322526999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 324759999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 324759999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 343892999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 343892999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 364450999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 364450999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 376527999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 376527999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 378661999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 378661999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 381977999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 381977999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 388515999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 388515999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 392374999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 392374999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 431996999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 431996999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 453043999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 453043999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 478707999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 478707999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 488081999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 488081999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 499063999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 499063999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 502791999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 502791999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 506313999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 506313999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 585279999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682717850 585279999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

foo2[0]: text = message 1, key = 1
foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 4
foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 3
foo2[0]: text = message 2, key = 1
foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 2
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 4
foo2[0]: text = message 3, key = 1
foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 2
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 4
foo2[0]: text = message 4, key = 1
foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 2
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 3
foo2[0]: text = message 5, key = 1
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 4
foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 2
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 3
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 3
foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 2
foo2[0]: text = message 6, key = 1
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 4
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 3
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 4
foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 2
foo2[0]: text = message 7, key = 1
foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 2
foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 2
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 3
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 4
foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 2
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 3
foo2[0]: text = message 8, key = 1
foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 2
foo2[0]: text = message 9, key = 1
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 4
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 3
foo2[0]: text = message 10, key = 1
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 3
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 3
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 4
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 4

*** T1 received 10 samples.

*** T2 received 10 samples.

*** T3 received 10 samples.

*** T4 received 10 samples.
foo2[0]: text = message 1, key = 1
foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 4
foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 3
foo2[0]: text = message 2, key = 1
foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 2
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 4
foo2[0]: text = message 3, key = 1
foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 2
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 4
foo2[0]: text = message 4, key = 1
foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 2
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 3
foo2[0]: text = message 5, key = 1
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 4
foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 2
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 3
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 3
foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 2
foo2[0]: text = message 6, key = 1
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 4
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 3
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 4
foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 2
foo2[0]: text = message 7, key = 1
foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 2
foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 2
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 3
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 4
foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 2
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 3
foo2[0]: text = message 8, key = 1
foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 2
foo2[0]: text = message 9, key = 1
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 4
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 3
foo2[0]: text = message 10, key = 1
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 3
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 3
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 4
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 4

*** T1 received 10 samples.

*** T2 received 10 samples.

*** T3 received 10 samples.

*** T4 received 10 samples.
test PASSED.

auto_run_tests_finished: tests/DCPS/ManyTopicTest/run_test.pl rtps Time:4s Result:0

==============================================================================

tests/DCPS/ManyTopicMultiProcess/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 8308
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/publisher -p1 -p2 -s6 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile proc1.log -DCPSPendingTimeout 3  -T /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/
proc1 PID: 8315 started at 2023-04-28 16:37:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/publisher -p3 -p4 -p5 -s7 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile proc2.log -DCPSPendingTimeout 3  -T /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/
proc2 PID: 8316 started at 2023-04-28 16:37:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/subscriber -s1 -s2 -s3 -s4 -s5 -p6 -p7 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile proc3.log -DCPSPendingTimeout 3  -T /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/
proc3 PID: 8317 started at 2023-04-28 16:37:31
(8315|8315)  16:37:31.600391 publisher main
(8317|8317)  16:37:31.617146 subscriber main
(8308|8308) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(8316|8316)  16:37:31.623671 publisher main
T1 foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 0.000000
	foo4[0][1]: value = -1.000000
	foo4[0][2]: value = -2.000000
	foo4[0][3]: value = -3.000000
	foo4[0][4]: value = -4.000000
	foo4[0][5]: value = -5.000000
	foo4[0][6]: value = -6.000000
	foo4[0][7]: value = -7.000000
	foo4[0][8]: value = -8.000000
	foo4[0][9]: value = -9.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 0.000000
	foo4[0][1]: value = -1.000000
	foo4[0][2]: value = -2.000000
	foo4[0][3]: value = -3.000000
	foo4[0][4]: value = -4.000000
	foo4[0][5]: value = -5.000000
	foo4[0][6]: value = -6.000000
	foo4[0][7]: value = -7.000000
	foo4[0][8]: value = -8.000000
	foo4[0][9]: value = -9.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 0.000000
	foo4[0][1]: value = -1.000000
	foo4[0][2]: value = -2.000000
	foo4[0][3]: value = -3.000000
	foo4[0][4]: value = -4.000000
	foo4[0][5]: value = -5.000000
	foo4[0][6]: value = -6.000000
	foo4[0][7]: value = -7.000000
	foo4[0][8]: value = -8.000000
	foo4[0][9]: value = -9.000000
T1 foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 1.000000
	foo4[0][1]: value = 0.000000
	foo4[0][2]: value = -1.000000
	foo4[0][3]: value = -2.000000
	foo4[0][4]: value = -3.000000
	foo4[0][5]: value = -4.000000
	foo4[0][6]: value = -5.000000
	foo4[0][7]: value = -6.000000
	foo4[0][8]: value = -7.000000
	foo4[0][9]: value = -8.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 1.000000
	foo4[0][1]: value = 0.000000
	foo4[0][2]: value = -1.000000
	foo4[0][3]: value = -2.000000
	foo4[0][4]: value = -3.000000
	foo4[0][5]: value = -4.000000
	foo4[0][6]: value = -5.000000
	foo4[0][7]: value = -6.000000
	foo4[0][8]: value = -7.000000
	foo4[0][9]: value = -8.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 1.000000
	foo4[0][1]: value = 0.000000
	foo4[0][2]: value = -1.000000
	foo4[0][3]: value = -2.000000
	foo4[0][4]: value = -3.000000
	foo4[0][5]: value = -4.000000
	foo4[0][6]: value = -5.000000
	foo4[0][7]: value = -6.000000
	foo4[0][8]: value = -7.000000
	foo4[0][9]: value = -8.000000
T4 foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 1
T3 foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 2
T5 foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 3
T1 foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 4.000000
	foo4[0][1]: value = 3.000000
	foo4[0][2]: value = 2.000000
	foo4[0][3]: value = 1.000000
	foo4[0][4]: value = 0.000000
	foo4[0][5]: value = -1.000000
	foo4[0][6]: value = -2.000000
	foo4[0][7]: value = -3.000000
	foo4[0][8]: value = -4.000000
	foo4[0][9]: value = -5.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 4.000000
	foo4[0][1]: value = 3.000000
	foo4[0][2]: value = 2.000000
	foo4[0][3]: value = 1.000000
	foo4[0][4]: value = 0.000000
	foo4[0][5]: value = -1.000000
	foo4[0][6]: value = -2.000000
	foo4[0][7]: value = -3.000000
	foo4[0][8]: value = -4.000000
	foo4[0][9]: value = -5.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 4.000000
	foo4[0][1]: value = 3.000000
	foo4[0][2]: value = 2.000000
	foo4[0][3]: value = 1.000000
	foo4[0][4]: value = 0.000000
	foo4[0][5]: value = -1.000000
	foo4[0][6]: value = -2.000000
	foo4[0][7]: value = -3.000000
	foo4[0][8]: value = -4.000000
	foo4[0][9]: value = -5.000000
T4 foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 1
T3 foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 2
T5 foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 3
T1 foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 9.000000
	foo4[0][1]: value = 8.000000
	foo4[0][2]: value = 7.000000
	foo4[0][3]: value = 6.000000
	foo4[0][4]: value = 5.000000
	foo4[0][5]: value = 4.000000
	foo4[0][6]: value = 3.000000
	foo4[0][7]: value = 2.000000
	foo4[0][8]: value = 1.000000
	foo4[0][9]: value = 0.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 9.000000
	foo4[0][1]: value = 8.000000
	foo4[0][2]: value = 7.000000
	foo4[0][3]: value = 6.000000
	foo4[0][4]: value = 5.000000
	foo4[0][5]: value = 4.000000
	foo4[0][6]: value = 3.000000
	foo4[0][7]: value = 2.000000
	foo4[0][8]: value = 1.000000
	foo4[0][9]: value = 0.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 9.000000
	foo4[0][1]: value = 8.000000
	foo4[0][2]: value = 7.000000
	foo4[0][3]: value = 6.000000
	foo4[0][4]: value = 5.000000
	foo4[0][5]: value = 4.000000
	foo4[0][6]: value = 3.000000
	foo4[0][7]: value = 2.000000
	foo4[0][8]: value = 1.000000
	foo4[0][9]: value = 0.000000
T4 foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 1
T5 foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 3
T3 foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 2
T1 foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 16.000000
	foo4[0][1]: value = 15.000000
	foo4[0][2]: value = 14.000000
	foo4[0][3]: value = 13.000000
	foo4[0][4]: value = 12.000000
	foo4[0][5]: value = 11.000000
	foo4[0][6]: value = 10.000000
	foo4[0][7]: value = 9.000000
	foo4[0][8]: value = 8.000000
	foo4[0][9]: value = 7.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 16.000000
	foo4[0][1]: value = 15.000000
	foo4[0][2]: value = 14.000000
	foo4[0][3]: value = 13.000000
	foo4[0][4]: value = 12.000000
	foo4[0][5]: value = 11.000000
	foo4[0][6]: value = 10.000000
	foo4[0][7]: value = 9.000000
	foo4[0][8]: value = 8.000000
	foo4[0][9]: value = 7.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 16.000000
	foo4[0][1]: value = 15.000000
	foo4[0][2]: value = 14.000000
	foo4[0][3]: value = 13.000000
	foo4[0][4]: value = 12.000000
	foo4[0][5]: value = 11.000000
	foo4[0][6]: value = 10.000000
	foo4[0][7]: value = 9.000000
	foo4[0][8]: value = 8.000000
	foo4[0][9]: value = 7.000000
T3 foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 2
T4 foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 1
T5 foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 3
T1 foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 25.000000
	foo4[0][1]: value = 24.000000
	foo4[0][2]: value = 23.000000
	foo4[0][3]: value = 22.000000
	foo4[0][4]: value = 21.000000
	foo4[0][5]: value = 20.000000
	foo4[0][6]: value = 19.000000
	foo4[0][7]: value = 18.000000
	foo4[0][8]: value = 17.000000
	foo4[0][9]: value = 16.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 25.000000
	foo4[0][1]: value = 24.000000
	foo4[0][2]: value = 23.000000
	foo4[0][3]: value = 22.000000
	foo4[0][4]: value = 21.000000
	foo4[0][5]: value = 20.000000
	foo4[0][6]: value = 19.000000
	foo4[0][7]: value = 18.000000
	foo4[0][8]: value = 17.000000
	foo4[0][9]: value = 16.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 25.000000
	foo4[0][1]: value = 24.000000
	foo4[0][2]: value = 23.000000
	foo4[0][3]: value = 22.000000
	foo4[0][4]: value = 21.000000
	foo4[0][5]: value = 20.000000
	foo4[0][6]: value = 19.000000
	foo4[0][7]: value = 18.000000
	foo4[0][8]: value = 17.000000
	foo4[0][9]: value = 16.000000
T4 foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 1
T5 foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 3
T3 foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 2
T1 foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 36.000000
	foo4[0][1]: value = 35.000000
	foo4[0][2]: value = 34.000000
	foo4[0][3]: value = 33.000000
	foo4[0][4]: value = 32.000000
	foo4[0][5]: value = 31.000000
	foo4[0][6]: value = 30.000000
	foo4[0][7]: value = 29.000000
	foo4[0][8]: value = 28.000000
	foo4[0][9]: value = 27.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 36.000000
	foo4[0][1]: value = 35.000000
	foo4[0][2]: value = 34.000000
	foo4[0][3]: value = 33.000000
	foo4[0][4]: value = 32.000000
	foo4[0][5]: value = 31.000000
	foo4[0][6]: value = 30.000000
	foo4[0][7]: value = 29.000000
	foo4[0][8]: value = 28.000000
	foo4[0][9]: value = 27.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 36.000000
	foo4[0][1]: value = 35.000000
	foo4[0][2]: value = 34.000000
	foo4[0][3]: value = 33.000000
	foo4[0][4]: value = 32.000000
	foo4[0][5]: value = 31.000000
	foo4[0][6]: value = 30.000000
	foo4[0][7]: value = 29.000000
	foo4[0][8]: value = 28.000000
	foo4[0][9]: value = 27.000000
T5 foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 3
T4 foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 1
T3 foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 2
T1 foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 49.000000
	foo4[0][1]: value = 48.000000
	foo4[0][2]: value = 47.000000
	foo4[0][3]: value = 46.000000
	foo4[0][4]: value = 45.000000
	foo4[0][5]: value = 44.000000
	foo4[0][6]: value = 43.000000
	foo4[0][7]: value = 42.000000
	foo4[0][8]: value = 41.000000
	foo4[0][9]: value = 40.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 49.000000
	foo4[0][1]: value = 48.000000
	foo4[0][2]: value = 47.000000
	foo4[0][3]: value = 46.000000
	foo4[0][4]: value = 45.000000
	foo4[0][5]: value = 44.000000
	foo4[0][6]: value = 43.000000
	foo4[0][7]: value = 42.000000
	foo4[0][8]: value = 41.000000
	foo4[0][9]: value = 40.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 49.000000
	foo4[0][1]: value = 48.000000
	foo4[0][2]: value = 47.000000
	foo4[0][3]: value = 46.000000
	foo4[0][4]: value = 45.000000
	foo4[0][5]: value = 44.000000
	foo4[0][6]: value = 43.000000
	foo4[0][7]: value = 42.000000
	foo4[0][8]: value = 41.000000
	foo4[0][9]: value = 40.000000
T5 foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 3
T4 foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 1
T3 foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 2
T1 foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 64.000000
	foo4[0][1]: value = 63.000000
	foo4[0][2]: value = 62.000000
	foo4[0][3]: value = 61.000000
	foo4[0][4]: value = 60.000000
	foo4[0][5]: value = 59.000000
	foo4[0][6]: value = 58.000000
	foo4[0][7]: value = 57.000000
	foo4[0][8]: value = 56.000000
	foo4[0][9]: value = 55.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 64.000000
	foo4[0][1]: value = 63.000000
	foo4[0][2]: value = 62.000000
	foo4[0][3]: value = 61.000000
	foo4[0][4]: value = 60.000000
	foo4[0][5]: value = 59.000000
	foo4[0][6]: value = 58.000000
	foo4[0][7]: value = 57.000000
	foo4[0][8]: value = 56.000000
	foo4[0][9]: value = 55.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 64.000000
	foo4[0][1]: value = 63.000000
	foo4[0][2]: value = 62.000000
	foo4[0][3]: value = 61.000000
	foo4[0][4]: value = 60.000000
	foo4[0][5]: value = 59.000000
	foo4[0][6]: value = 58.000000
	foo4[0][7]: value = 57.000000
	foo4[0][8]: value = 56.000000
	foo4[0][9]: value = 55.000000
T4 foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 1
T3 foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 2
T5 foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 3
T1 foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 81.000000
	foo4[0][1]: value = 80.000000
	foo4[0][2]: value = 79.000000
	foo4[0][3]: value = 78.000000
	foo4[0][4]: value = 77.000000
	foo4[0][5]: value = 76.000000
	foo4[0][6]: value = 75.000000
	foo4[0][7]: value = 74.000000
	foo4[0][8]: value = 73.000000
	foo4[0][9]: value = 72.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 81.000000
	foo4[0][1]: value = 80.000000
	foo4[0][2]: value = 79.000000
	foo4[0][3]: value = 78.000000
	foo4[0][4]: value = 77.000000
	foo4[0][5]: value = 76.000000
	foo4[0][6]: value = 75.000000
	foo4[0][7]: value = 74.000000
	foo4[0][8]: value = 73.000000
	foo4[0][9]: value = 72.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 81.000000
	foo4[0][1]: value = 80.000000
	foo4[0][2]: value = 79.000000
	foo4[0][3]: value = 78.000000
	foo4[0][4]: value = 77.000000
	foo4[0][5]: value = 76.000000
	foo4[0][6]: value = 75.000000
	foo4[0][7]: value = 74.000000
	foo4[0][8]: value = 73.000000
	foo4[0][9]: value = 72.000000
T3 foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 2
T5 foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 3
T4 foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 1
T5 foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 3
T4 foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 1
T3 foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 2

*** T1 received 10 samples.

*** T2 received 10 samples.

*** T2 received 10 samples.

*** T2 received 10 samples.

*** T3 receiveT6 foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 1
T6 foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 1
T6 foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 1
T6 foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 1
T6 foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 1
T6 foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 1
T6 foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 1
T6 foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 1
T6 foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 1
T6 foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 1

*** T6 received 10 samples.
T7 foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 2
T7 foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 2
T7 foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 2
T7 foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 2
T7 foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 2
T7 foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 2
T7 foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 2
T7 foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 2
T7 foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 2
T7 foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 2

*** T7 received 10 samples.
d 10 samples.

*** T4 received 10 samples.

*** T5 received 10 samples.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ManyTopicMultiProcess/run_test.pl Time:16s Result:0

==============================================================================

tests/DCPS/Monitor/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -DCPSDebugLevel 6 -ORBDebugLevel 10 -ORBLogFile DCPSInfoRepo.log -o repo.ior 
TAO (8354|8354) - Completed initializing the process-wide service context
TAO (8354|8354) - Default ORB services initialization begins
TAO (8354|8354) - ORBInitializer_Registry::register_orb_initializer 0 @0x12e28d0
TAO (8354|8354) - ORBInitializer_Registry::register_orb_initializer 1 @0x12dfcd0
TAO (8354|8354) - Default ORB services initialization completed
TAO (8354|8354) - We are the default ORB ...
TAO (8354|8354) - Initializing the orb-specific services
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Monitor/monitor  -DCPSTransportDebugLevel 6 -ORBDebugLevel 10 -ORBLogFile mon.log -DCPSConfigFile mon.ini -DCPSDebugLevel 10
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Monitor/publisher  -i 0 -ORBDebugLevel 10 -ORBLogFile pub.log -DCPSConfigFile pub.ini -DCPSDebugLevel 10
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Monitor/subscriber  -DCPSTransportDebugLevel 6 -ORBDebugLevel 10 -ORBLogFile sub.log -DCPSConfigFile sub.ini -DCPSDebugLevel 10
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
INFO: monitor being killed.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
mon_count=59
test PASSED.

auto_run_tests_finished: tests/DCPS/Monitor/run_test.pl Time:4s Result:0

==============================================================================

tests/DCPS/PersistentInfoRepo/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -ORBSvcConf mySvc.conf -orbendpoint iiop://:17615 
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/PersistentInfoRepo/publisher -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub1.log -DCPSBit 0   -DCPSConfigFile tcp.ini -stage 1 -messages 60
(8391)Pub Stage Creating App
(8391)Pub Creating topic
Options::parse
(8391)Pub Stage 1 Creating writer
(8391)Pub Stage 1 waiting for 1 readers
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/PersistentInfoRepo/subscriber -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub1.log -DCPSBit 0   -DCPSConfigFile tcp.ini -reliable 1 -stage 1
(8396)Sub Creating App
(8396)Sub Creating topic
Options::parse
(8396)Sub Creating Stage 1 reader
(8391)Pub Stage 1 done waiting for reader
(8391)Pub Stage 1 sending id=1
(8396)Sub Stage 1 waiting for 2 writer to come and go
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
(8391)Pub Stage 1 waiting for acks from sub
(8391)Pub Stage 1 done waiting for acks from sub
(8391)Pub Stage 1 waiting for 1 readers
(8391)Pub Stage 1 done waiting for reader
(8391)Pub Stage 1 sending id=2
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -ORBSvcConf mySvc.conf -orbendpoint iiop://:17615 
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/PersistentInfoRepo/publisher -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub2.log -DCPSBit 0   -DCPSConfigFile tcp.ini -stage 2 -messages 60
(8419)Pub Stage Creating App
(8419)Pub Creating topic
Options::parse
(8419)Pub Stage 2 Creating writer
(8419)Pub Stage 2 waiting for 2 readers
(8419)Pub Stage 2 done waiting for reader
(8419)Pub Stage 2 sending id=3
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/PersistentInfoRepo/subscriber -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub2.log -DCPSBit 0   -DCPSConfigFile tcp.ini -reliable 1 -stage 2
(8424)Sub Creating App
(8424)Sub Creating topic
Options::parse
(8424)Sub Creating Stage 2 reader
(8424)Sub Stage 2 waiting for 2 writer to come and go
(8391)Pub Stage 1 waiting for acks from sub
(8391)Pub Stage 1 done waiting for acks from sub
(8391)Pub Stage 1 DDSTopic going out of scope
(8391)Pub Stage 1 DDSApp going out of scope (shutdown)
(8391)Pub Stage 1 returning status=0
(8419)Pub Stage 2 waiting for acks from sub
(8419)Pub Stage 2 done waiting for acks from sub
(8419)Pub Stage 2 DDSTopic going out of scope
(8424)Sub Stage 2 done waiting
(8396)(8424)Sub Stage Sub Stage 12 done waiting
 DDSApp going out of scope
(8396)Sub Stage 1 DDSApp going out of scope
(8424)Sub Stage 2 returning status=0
(8419)Pub Stage 2 DDSApp going out of scope (shutdown)
(8419)Pub Stage 2 returning status=0
(8396)Sub Stage 1 returning status=0
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/PersistentInfoRepo/run_test.pl Time:122s Result:0

==============================================================================

tests/FACE/Messenger/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Subscriber/subscriber face_config.ini  -DCPSPendingTimeout 3 
Subscriber PID: 8443 started at 2023-04-28 16:39:53
Subscriber: about to Receive_Message()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Publisher/publisher face_config.ini -DCPSPendingTimeout 3 
Publisher PID: 8449 started at 2023-04-28 16:39:58
Publisher: about to Send_Message()
Hello, world.	0
test PASSED.

auto_run_tests_finished: tests/FACE/Messenger/run_test.pl Time:25s Result:0

==============================================================================

tests/FACE/Messenger/run_test.pl callback #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Subscriber/subscriber face_config.ini callback -DCPSPendingTimeout 3 
Subscriber PID: 8469 started at 2023-04-28 16:40:18
Subscriber: about to Register_Callback()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Publisher/publisher face_config.ini -DCPSPendingTimeout 3 
Publisher PID: 8475 started at 2023-04-28 16:40:23
Publisher: about to Send_Message()
In callback() (the 1 time): Hello, world.	0	message_type_id: 1	message_size: 32
In callback() (the 2 time): Hello, world.	0	message_type_id: 1	message_size: 32
test PASSED.

auto_run_tests_finished: tests/FACE/Messenger/run_test.pl callback Time:25s Result:0

==============================================================================

tests/FACE/Messenger/run_test.pl static #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Subscriber/subscriber face_config_static.ini  -DCPSPendingTimeout 3 
Subscriber PID: 8485 started at 2023-04-28 16:40:43
Subscriber: about to Receive_Message()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Publisher/publisher face_config_static.ini -DCPSPendingTimeout 3 
Publisher PID: 8489 started at 2023-04-28 16:40:48
Publisher: about to Send_Message()
Hello, world.	0
test PASSED.

auto_run_tests_finished: tests/FACE/Messenger/run_test.pl static Time:25s Result:0

==============================================================================

tests/FACE/Messenger/run_test.pl static callback #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Subscriber/subscriber face_config_static.ini callback -DCPSPendingTimeout 3 
Subscriber PID: 8503 started at 2023-04-28 16:41:08
Subscriber: about to Register_Callback()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Publisher/publisher face_config_static.ini -DCPSPendingTimeout 3 
Publisher PID: 8507 started at 2023-04-28 16:41:13
Publisher: about to Send_Message()
In callback() (the 1 time): Hello, world.	0	message_type_id: 1	message_size: 32
In callback() (the 2 time): Hello, world.	0	message_type_id: 1	message_size: 32
test PASSED.

auto_run_tests_finished: tests/FACE/Messenger/run_test.pl static callback Time:25s Result:0

==============================================================================

tests/FACE/MultiDomainMessenger/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/MultiDomainMessenger/Subscriber/subscriber  -DCPSPendingTimeout 3 
Subscriber PID: 8512 started at 2023-04-28 16:41:33
Subscriber1: about to Receive_Message()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/MultiDomainMessenger/Publisher/publisher  -DCPSPendingTimeout 3 
Publisher PID: 8522 started at 2023-04-28 16:41:38
Publisher1: about to Send_Message()
Publisher2: about to Send_Message()
Hello, world.	0
Subscriber2: about to Receive_Message()
Hello, world.	0
test PASSED.

auto_run_tests_finished: tests/FACE/MultiDomainMessenger/run_test.pl Time:26s Result:0

==============================================================================

tests/FACE/MultiDomainMessenger/run_test.pl callback #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/MultiDomainMessenger/Subscriber/subscriber callback -DCPSPendingTimeout 3 
Subscriber PID: 8535 started at 2023-04-28 16:41:59
Subscriber1: about to Register_Callback()
Subscriber2: about to Register_Callback()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/MultiDomainMessenger/Publisher/publisher  -DCPSPendingTimeout 3 
Publisher PID: 8546 started at 2023-04-28 16:42:04
Publisher1: about to Send_Message()
Publisher2: about to Send_Message()
In callback() (the 1 time): Hello, world.	0	message_type_id: 1	message_size: 32
In callback() (the 2 time): Hello, world.	0	message_type_id: 1	message_size: 32
In callback() (the 3 time): Hello, world.	0	message_type_id: 1	message_size: 32
In callback() (the 4 time): Hello, world.	0	message_type_id: 1	message_size: 32
test PASSED.

auto_run_tests_finished: tests/FACE/MultiDomainMessenger/run_test.pl callback Time:25s Result:0

==============================================================================

tests/FACE/SingleProcessMessenger/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/SingleProcessMessenger/SingleProcess/singleprocess face_config.ini  -DCPSPendingTimeout 3 
SingleProcess PID: 8568 started at 2023-04-28 16:42:24
Publisher: about to Send_Message()
Subscriber: about to Receive_Message()
Hello, world.	0
test PASSED.

auto_run_tests_finished: tests/FACE/SingleProcessMessenger/run_test.pl Time:5s Result:0

==============================================================================

tests/FACE/SingleProcessMessenger/run_test.pl callback #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/SingleProcessMessenger/SingleProcess/singleprocess face_config.ini callback -DCPSPendingTimeout 3 
SingleProcess PID: 8575 started at 2023-04-28 16:42:29
Subscriber: about to Register_Callback()
Publisher: about to Send_Message()
In callback() (the 1 time): Hello, world.	0	message_type_id: 1	message_size: 32
test PASSED.

auto_run_tests_finished: tests/FACE/SingleProcessMessenger/run_test.pl callback Time:10s Result:0

==============================================================================

tests/FACE/CallbackAndReceive/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/CallbackAndReceive/Subscriber/subscriber  -DCPSPendingTimeout 3 
Subscriber PID: 8585 started at 2023-04-28 16:42:39
Subscriber: about to Register_Callback()
Subscriber: about to Receive_Message()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/CallbackAndReceive/Publisher/publisher  -DCPSPendingTimeout 3 
Publisher PID: 8591 started at 2023-04-28 16:42:44
Publisher: about to send_message()
  sending 0
  sending 1
  sending 2
  sending 3
  sending 4
  sending 5
  sending 6
  sending 7
  sending 8
  sending 9
  sending 10
  sending 11
  sending 12
  sending 13
  sending 14
  sending 15
  sending 16
  sending 17
  sending 18
  sending 19
In callback() (the 1 time): Hello, world.	0	message_type_id: 1	message_size: 32
In callback() (the 2 time): Hello, world.	1	message_type_id: 1	message_size: 32
Subscriber: about to Unregister_Callback()
Receive_Message: (the 0 time): Hello, world.	2	ttid: 3
Receive_Message: (the 1 time): Hello, world.	3	ttid: 4
Receive_Message: (the 2 time): Hello, world.	4	ttid: 5
Receive_Message: (the 3 time): Hello, world.	5	ttid: 6
Receive_Message: (the 4 time): Hello, world.	6	ttid: 7
Receive_Message: (the 5 time): Hello, world.	7	ttid: 8
Receive_Message: (the 6 time): Hello, world.	8	ttid: 9
Receive_Message: (the 7 time): Hello, world.	9	ttid: 10
Receive_Message: (the 8 time): Hello, world.	10	ttid: 11
Receive_Message: (the 9 time): Hello, world.	11	ttid: 12
Receive_Message: (the 10 time): Hello, world.	12	ttid: 13
Receive_Message: (the 11 time): Hello, world.	13	ttid: 14
Receive_Message: (the 12 time): Hello, world.	14	ttid: 15
Receive_Message: (the 13 time): Hello, world.	15	ttid: 16
Receive_Message: (the 14 time): Hello, world.	16	ttid: 17
Receive_Message: (the 15 time): Hello, world.	17	ttid: 18
Receive_Message: (the 16 time): Hello, world.	18	ttid: 19
Receive_Message: (the 17 time): Hello, world.	19	ttid: 20
(8585|8585) Callback was triggered 2 times
(8585|8585) Receive Message was triggered 18 times
test PASSED.

auto_run_tests_finished: tests/FACE/CallbackAndReceive/run_test.pl Time:35s Result:0

==============================================================================

tests/FACE/Header/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Header/Subscriber/subscriber  -DCPSPendingTimeout 3 
Subscriber PID: 8608 started at 2023-04-28 16:43:15
Subscriber: about to Register_Callback()
Subscriber: about to Receive_Message()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Header/Publisher/publisher  -DCPSPendingTimeout 3 
Publisher PID: 8615 started at 2023-04-28 16:43:20
Publisher: about to send_message() 10x for callbacks
  sending 0
  sending 1
  sending 2
  sending 3
  sending 4
  sending 5
  sending 6
  sending 7
  sending 8
  sending 9
Sleep - wait for callback to unregister
In callback() (the 1 time): Hello, world.	0	msg_instance_guid: 31c93a300000002	message_type_id: 1	message_size: 24	transaction_id: 1
In callback() Message Header - tid: 1
	platform view guid: 1
	source timestamp: 1682718210075175999
	instance guid: 31c93a300000002
	source guid: 9645061
	validity 1
In callback() (the 2 time): Hello, world.	1	msg_instance_guid: 31c93a300000003	message_type_id: 1	message_size: 24	transaction_id: 2
In callback() Message Header - tid: 2
	platform view guid: 1
	source timestamp: 1682718210076250999
	instance guid: 31c93a300000003
	source guid: 9645061
	validity 1
In callback() (the 3 time): Hello, world.	2	msg_instance_guid: 31c93a300000004	message_type_id: 1	message_size: 24	transaction_id: 3
In callback() Message Header - tid: 3
	platform view guid: 1
	source timestamp: 1682718210076469999
	instance guid: 31c93a300000004
	source guid: 9645061
	validity 1
In callback() (the 4 time): Hello, world.	3	msg_instance_guid: 31c93a300000005	message_type_id: 1	message_size: 24	transaction_id: 4
In callback() Message Header - tid: 4
	platform view guid: 1
	source timestamp: 1682718210076683999
	instance guid: 31c93a300000005
	source guid: 9645061
	validity 1
In callback() (the 5 time): Hello, world.	4	msg_instance_guid: 31c93a300000006	message_type_id: 1	message_size: 24	transaction_id: 5
In callback() Message Header - tid: 5
	platform view guid: 1
	source timestamp: 1682718210076889999
	instance guid: 31c93a300000006
	source guid: 9645061
	validity 1
In callback() (the 6 time): Hello, world.	5	msg_instance_guid: 31c93a300000007	message_type_id: 1	message_size: 24	transaction_id: 6
In callback() Message Header - tid: 6
	platform view guid: 1
	source timestamp: 1682718210077085999
	instance guid: 31c93a300000007
	source guid: 9645061
	validity 1
In callback() (the 7 time): Hello, world.	6	msg_instance_guid: 31c93a300000008	message_type_id: 1	message_size: 24	transaction_id: 7
In callback() Message Header - tid: 7
	platform view guid: 1
	source timestamp: 1682718210077280999
	instance guid: 31c93a300000008
	source guid: 9645061
	validity 1
In callback() (the 8 time): Hello, world.	7	msg_instance_guid: 31c93a300000009	message_type_id: 1	message_size: 24	transaction_id: 8
In callback() Message Header - tid: 8
	platform view guid: 1
	source timestamp: 1682718210077481999
	instance guid: 31c93a300000009
	source guid: 9645061
	validity 1
In callback() (the 9 time): Hello, world.	8	msg_instance_guid: 31c93a30000000a	message_type_id: 1	message_size: 24	transaction_id: 9
In callback() Message Header - tid: 9
	platform view guid: 1
	source timestamp: 1682718210077691999
	instance guid: 31c93a30000000a
	source guid: 9645061
	validity 1
In callback() (the 10 time): Hello, world.	9	msg_instance_guid: 31c93a30000000b	message_type_id: 1	message_size: 24	transaction_id: 10
In callback() Message Header - tid: 10
	platform view guid: 1
	source timestamp: 1682718210077897999
	instance guid: 31c93a30000000b
	source guid: 9645061
	validity 1
Subscriber: about to Unregister_Callback()
Sleep - done waiting for callback to unregister
Publisher: about to send_message() 10x for receives
  sending 10
  sending 11
  sending 12
  sending 13
  sending 14
  sending 15
  sending 16
  sending 17
  sending 18
  sending 19
Receive_Message: (the 0 time): Hello, world.	13	msg_instance_guid: 31c93a30000000f	ttid: 11
Message Header - tid: 11
	platform view guid: 1
	source timestamp: 1682718230079314999
	instance guid: 31c93a30000000f
	source guid: 9645061
	validity 1
Receive_Message: (the 1 time): Hello, world.	14	msg_instance_guid: 31c93a300000010	ttid: 12
Message Header - tid: 12
	platform view guid: 1
	source timestamp: 1682718230079509999
	instance guid: 31c93a300000010
	source guid: 9645061
	validity 1
Receive_Message: (the 2 time): Hello, world.	15	msg_instance_guid: 31c93a300000011	ttid: 13
Message Header - tid: 13
	platform view guid: 1
	source timestamp: 1682718230079745999
	instance guid: 31c93a300000011
	source guid: 9645061
	validity 1
Receive_Message: (the 3 time): Hello, world.	16	msg_instance_guid: 31c93a300000012	ttid: 14
Message Header - tid: 14
	platform view guid: 1
	source timestamp: 1682718230079941999
	instance guid: 31c93a300000012
	source guid: 9645061
	validity 1
Receive_Message: (the 4 time): Hello, world.	17	msg_instance_guid: 31c93a300000013	ttid: 15
Message Header - tid: 15
	platform view guid: 1
	source timestamp: 1682718230080138999
	instance guid: 31c93a300000013
	source guid: 9645061
	validity 1
Receive_Message: (the 5 time): Hello, world.	18	msg_instance_guid: 31c93a300000014	ttid: 16
Message Header - tid: 16
	platform view guid: 1
	source timestamp: 1682718230080335999
	instance guid: 31c93a300000014
	source guid: 9645061
	validity 1
Receive_Message: (the 6 time): Hello, world.	19	msg_instance_guid: 31c93a300000015	ttid: 17
Message Header - tid: 17
	platform view guid: 1
	source timestamp: 1682718230080530999
	instance guid: 31c93a300000015
	source guid: 9645061
	validity 1
(8608|8608) Callback was triggered 10 times
(8608|8608) Receive Message was triggered 7 times
test PASSED.

auto_run_tests_finished: tests/FACE/Header/run_test.pl Time:51s Result:0

==============================================================================

tests/FACE/Reliability/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Reliability/Subscriber/subscriber  -DCPSPendingTimeout 3 
Subscriber PID: 8622 started at 2023-04-28 16:44:05
Subscriber: about to receive_message()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Reliability/Publisher/publisher  -DCPSPendingTimeout 3 
Publisher PID: 8636 started at 2023-04-28 16:44:10
Publisher: about to test timeout values in send_message()
Test 1: sending with TIMEOUT=1 MAX_BLOCKING=INF, should return INVALID_PARAM
Test 1: PASSED
Test 2: sending with TIMEOUT=0 MAX_BLOCKING=Default (100000000 nsec), should return INVALID_PARAM
Test 2: PASSED
Test 3: sending msg 0 with TIMEOUT=100000000 nsec MAX_BLOCKING=Default (100000000 nsec), should succeed
Hello, world.	0
Test 3: PASSED
Test 4: sending msg 1 with TIMEOUT=200000000 nsec MAX_BLOCKING=Default (100000000 nsec), should succeed
Hello, world.	1
Test 4: PASSED
Publisher: about to send_message()
  sending 2
Hello, world.	2
  sending 3
Hello, world.	3
  sending 4
Hello, world.	4
  sending 5
Hello, world.	5
  sending 6
Hello, world.	6
  sending 7
Hello, world.	7
  sending 8
Hello, world.	8
  sending 9
Hello, world.	9
  sending 10
Hello, world.	10
  sending 11
Hello, world.	11
  sending 12
Hello, world.	12
  sending 13
Hello, world.	13
  sending 14
Hello, world.	14
  sending 15
Hello, world.	15
  sending 16
Hello, world.	16
  sending 17
Hello, world.	17
  sending 18
Hello, world.	18
  sending 19
Hello, world.	19
test PASSED.

auto_run_tests_finished: tests/FACE/Reliability/run_test.pl Time:52s Result:0

==============================================================================

tests/FACE/Partition/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Subscriber/subscriber 1 -DCPSPendingTimeout 3 
Subscriber1 PID: 8648 started at 2023-04-28 16:44:57
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Subscriber/subscriber 2 -DCPSPendingTimeout 3 
Subscriber2 PID: 8649 started at 2023-04-28 16:44:57
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Subscriber/subscriber 3 -DCPSPendingTimeout 3 
Subscriber3 PID: 8650 started at 2023-04-28 16:44:57
(8649|8649) Subscriber: about to receive_message()
(8648|8648) Subscriber: about to receive_message()
(8650|8650) Subscriber: about to receive_message()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Publisher/publisher 1 -DCPSPendingTimeout 3 
Publisher1 PID: 8666 started at 2023-04-28 16:45:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Publisher/publisher 2 -DCPSPendingTimeout 3 
Publisher2 PID: 8667 started at 2023-04-28 16:45:00
(8667|8667) Publisher: about to send_message()
(8667|8667) sending part: 2
(8649|8649) Subscriber2: Hello, world. part: 2
(8649|8649) Subscriber: about to receive_message()
(8650|8650) Subscriber3: Hello, world. part: 2
(8650|8650) Subscriber: about to receive_message()
(8666|8666) Publisher: about to send_message()
(8666|8666) sending part: 1
(8648|8648) Subscriber1: Hello, world. part: 1
(8650|8650) Subscriber3: Hello, world. part: 1
(8650|8650) Subscriber: about to receive_message()
(8667|8667) sending part: 2
(8649|8649) Subscriber2: Hello, world. part: 2
(8650|8650) Subscriber3: Hello, world. part: 2
test PASSED.

auto_run_tests_finished: tests/FACE/Partition/run_test.pl Time:19s Result:0

==============================================================================

tests/FACE/Compiler/idl_test1_main/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test1_main/idl_test1  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile idl_test1.log -DCPSPendingTimeout 3 
idl_test1 PID: 8687 started at 2023-04-28 16:45:16
serialized_size_bound => <unbounded>
serialized_size => 90
BEFORE WRITING, LENGTH: 0, BUFFER:

AFTER WRITING, LENGTH: 90, BUFFER:
4d 00 00 00 13 00 00 00  00 ff 80 3d 02 00 00 00   M..........=....
07 00 0b 00 01 00 00 00  00 00 00 00 00 00 00 00   ................
05 00 00 00 66 6f 75 72  00 00 fd 97 7e 00 00 00   ....four....~...
00 c0 10 d6 5e 00 00 00  00 b0 85 fa 5f 00 00 00   ....^......._...
00 a4 70 7d 3f ae 47 e1  3d 00 00 00 00 09 00 00   ..p}?.G.=.......
00 61 20 73 74 72 69 6e  67 00                     .a string.      

AFTER READING, LENGTH: 0, BUFFER:
4d 00 00 00 13 00 00 00  00 ff 80 3d 02 00 00 00   M..........=....
07 00 0b 00 01 00 00 00  00 00 00 00 00 00 00 00   ................
05 00 00 00 66 6f 75 72  00 00 fd 97 7e 00 00 00   ....four....~...
00 c0 10 d6 5e 00 00 00  00 b0 85 fa 5f 00 00 00   ....^......._...
00 a4 70 7d 3f ae 47 e1  3d 00 00 00 00 09 00 00   ..p}?.G.=.......
00 61 20 73 74 72 69 6e  67 00                     .a string.      

key (expected:
4d 00 00 00                                        M...            
, observed:
4d 00 00 00                                        M...            
)
x (expected:
a4 70 7d 3f                                        .p}?            
, observed:
a4 70 7d 3f                                        .p}?            
)
y (expected:
ae 47 e1 3d                                        .G.=            
, observed:
ae 47 e1 3d                                        .G.=            
)
xcolor (expected:
00 00                                              ..              
, observed:
00 00                                              ..              
)
octer (expected:
13                                                 .               
, observed:
13                                                 .               
)
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test1_main/idl_test1 PASSED
test PASSED.

auto_run_tests_finished: tests/FACE/Compiler/idl_test1_main/run_test.pl Time:0s Result:0

==============================================================================

tests/FACE/Compiler/idl_test3_main/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test3_main/idl_test3  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile idl_test3.log -DCPSPendingTimeout 3 
idl_test3 PID: 8689 started at 2023-04-28 16:45:16
Xyz::StructOfArrayOfBoolean: serialized_size_bound(unaligned_encoding) => 5
Xyz::StructOfArrayOfBoolean: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfBoolean: serialized_size(unaligned_encoding, foo) => 5
Xyz::StructOfArrayOfBoolean: serialized_size(aligned_encoding, foo) => 5
Xyz::StructOfArrayOfBoolean: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfBoolean: AFTER WRITING, LENGTH: 5, BUFFER:
01 00 01 00 01                                     .....           

Xyz::StructOfArrayOfBoolean: try_marshaling PASSED
Xyz::StructOfArrayOfString: serialized_size_bound(unaligned_encoding) => 60
Xyz::StructOfArrayOfString: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfString: serialized_size(unaligned_encoding, foo) => 39
Xyz::StructOfArrayOfString: serialized_size(aligned_encoding, foo) => 49
Xyz::StructOfArrayOfString: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfString: AFTER WRITING, LENGTH: 39, BUFFER:
02 00 00 00 49 00 05 00  00 00 68 6f 70 65 00 05   ....I.....hope..
00 00 00 74 68 69 73 00  06 00 00 00 77 6f 72 6b   ...this.....work
73 00 01 00 00 00 00                               s......         

Xyz::StructOfArrayOfString: try_marshaling PASSED
Xyz::StructOfArrayOfChar: serialized_size_bound(unaligned_encoding) => 5
Xyz::StructOfArrayOfChar: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfChar: serialized_size(unaligned_encoding, foo) => 5
Xyz::StructOfArrayOfChar: serialized_size(aligned_encoding, foo) => 5
Xyz::StructOfArrayOfChar: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfChar: AFTER WRITING, LENGTH: 5, BUFFER:
41 42 43 44 45                                     ABCDE           

Xyz::StructOfArrayOfChar: try_marshaling PASSED
Xyz::StructOfArrayOfOctet: serialized_size_bound(unaligned_encoding) => 5
Xyz::StructOfArrayOfOctet: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfOctet: serialized_size(unaligned_encoding, foo) => 5
Xyz::StructOfArrayOfOctet: serialized_size(aligned_encoding, foo) => 5
Xyz::StructOfArrayOfOctet: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfOctet: AFTER WRITING, LENGTH: 5, BUFFER:
00 01 02 03 04                                     .....           

Xyz::StructOfArrayOfOctet: try_marshaling PASSED
Xyz::StructOfArrayOfLong: serialized_size_bound(unaligned_encoding) => 20
Xyz::StructOfArrayOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfLong: serialized_size(unaligned_encoding, foo) => 20
Xyz::StructOfArrayOfLong: serialized_size(aligned_encoding, foo) => 20
Xyz::StructOfArrayOfLong: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfLong: AFTER WRITING, LENGTH: 20, BUFFER:
00 00 00 00 01 00 00 00  02 00 00 00 03 00 00 00   ................
04 00 00 00                                        ....            

Xyz::StructOfArrayOfLong: try_marshaling PASSED
Xyz::StructOfArrayOfAnEnum: serialized_size_bound(unaligned_encoding) => 20
Xyz::StructOfArrayOfAnEnum: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfAnEnum: serialized_size(unaligned_encoding, foo) => 20
Xyz::StructOfArrayOfAnEnum: serialized_size(aligned_encoding, foo) => 20
Xyz::StructOfArrayOfAnEnum: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfAnEnum: AFTER WRITING, LENGTH: 20, BUFFER:
01 00 00 00 02 00 00 00  01 00 00 00 02 00 00 00   ................
01 00 00 00                                        ....            

Xyz::StructOfArrayOfAnEnum: try_marshaling PASSED
Xyz::StructOfArrayOfArrayOfLong: serialized_size_bound(unaligned_encoding) => 140
Xyz::StructOfArrayOfArrayOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfArrayOfLong: serialized_size(unaligned_encoding, foo) => 140
Xyz::StructOfArrayOfArrayOfLong: serialized_size(aligned_encoding, foo) => 140
Xyz::StructOfArrayOfArrayOfLong: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfArrayOfLong: AFTER WRITING, LENGTH: 140, BUFFER:
00 00 00 00 01 00 00 00  02 00 00 00 03 00 00 00   ................
04 00 00 00 05 00 00 00  06 00 00 00 07 00 00 00   ................
08 00 00 00 09 00 00 00  0a 00 00 00 0b 00 00 00   ................
0c 00 00 00 0d 00 00 00  0e 00 00 00 0f 00 00 00   ................
10 00 00 00 11 00 00 00  12 00 00 00 13 00 00 00   ................
14 00 00 00 15 00 00 00  16 00 00 00 17 00 00 00   ................
18 00 00 00 19 00 00 00  1a 00 00 00 1b 00 00 00   ................
1c 00 00 00 1d 00 00 00  1e 00 00 00 1f 00 00 00   ................
20 00 00 00 21 00 00 00  22 00 00 00                ...!..."...    

Xyz::StructOfArrayOfArrayOfLong: try_marshaling PASSED
Xyz::StructOfSeqOfBoolean: serialized_size_bound(unaligned_encoding) => 10
Xyz::StructOfSeqOfBoolean: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfBoolean: serialized_size(unaligned_encoding, foo) => 9
Xyz::StructOfSeqOfBoolean: serialized_size(aligned_encoding, foo) => 9
Xyz::StructOfSeqOfBoolean: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfBoolean: AFTER WRITING, LENGTH: 9, BUFFER:
05 00 00 00 01 00 01 00  01                        .........       

Xyz::StructOfSeqOfBoolean: try_marshaling PASSED
Xyz::StructOfSeqOfString: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size(unaligned_encoding, foo) => 43
Xyz::StructOfSeqOfString: serialized_size(aligned_encoding, foo) => 53
Xyz::StructOfSeqOfString: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfString: AFTER WRITING, LENGTH: 43, BUFFER:
05 00 00 00 02 00 00 00  49 00 05 00 00 00 68 6f   ........I.....ho
70 65 00 05 00 00 00 74  68 69 73 00 06 00 00 00   pe.....this.....
77 6f 72 6b 73 00 01 00  00 00 00                  works......     

Xyz::StructOfSeqOfString: try_marshaling PASSED
Xyz::StructOfSeqOfChar: serialized_size_bound(unaligned_encoding) => 10
Xyz::StructOfSeqOfChar: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfChar: serialized_size(unaligned_encoding, foo) => 9
Xyz::StructOfSeqOfChar: serialized_size(aligned_encoding, foo) => 9
Xyz::StructOfSeqOfChar: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfChar: AFTER WRITING, LENGTH: 9, BUFFER:
05 00 00 00 41 42 43 44  45                        ....ABCDE       

Xyz::StructOfSeqOfChar: try_marshaling PASSED
Xyz::StructOfSeqOfOctet: serialized_size_bound(unaligned_encoding) => 10
Xyz::StructOfSeqOfOctet: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfOctet: serialized_size(unaligned_encoding, foo) => 9
Xyz::StructOfSeqOfOctet: serialized_size(aligned_encoding, foo) => 9
Xyz::StructOfSeqOfOctet: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfOctet: AFTER WRITING, LENGTH: 9, BUFFER:
05 00 00 00 00 01 02 03  04                        .........       

Xyz::StructOfSeqOfOctet: try_marshaling PASSED
Xyz::StructOfSeqOfLong: serialized_size_bound(unaligned_encoding) => 28
Xyz::StructOfSeqOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfLong: serialized_size(unaligned_encoding, foo) => 24
Xyz::StructOfSeqOfLong: serialized_size(aligned_encoding, foo) => 24
Xyz::StructOfSeqOfLong: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfLong: AFTER WRITING, LENGTH: 24, BUFFER:
05 00 00 00 00 00 00 00  01 00 00 00 02 00 00 00   ................
03 00 00 00 04 00 00 00                            ........        

Xyz::StructOfSeqOfLong: try_marshaling PASSED
Xyz::StructOfSeqOfAnEnum: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::StructOfSeqOfAnEnum: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfAnEnum: serialized_size(unaligned_encoding, foo) => 24
Xyz::StructOfSeqOfAnEnum: serialized_size(aligned_encoding, foo) => 24
Xyz::StructOfSeqOfAnEnum: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfAnEnum: AFTER WRITING, LENGTH: 24, BUFFER:
05 00 00 00 01 00 00 00  02 00 00 00 01 00 00 00   ................
02 00 00 00 01 00 00 00                            ........        

Xyz::StructOfSeqOfAnEnum: try_marshaling PASSED
Xyz::StructOfArrayOfSeqOfLong: serialized_size_bound(unaligned_encoding) => 168
Xyz::StructOfArrayOfSeqOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfSeqOfLong: serialized_size(unaligned_encoding, foo) => 144
Xyz::StructOfArrayOfSeqOfLong: serialized_size(aligned_encoding, foo) => 144
Xyz::StructOfArrayOfSeqOfLong: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfSeqOfLong: AFTER WRITING, LENGTH: 144, BUFFER:
05 00 00 00 00 00 00 00  01 00 00 00 02 00 00 00   ................
03 00 00 00 04 00 00 00  05 00 00 00 05 00 00 00   ................
06 00 00 00 07 00 00 00  08 00 00 00 09 00 00 00   ................
05 00 00 00 0a 00 00 00  0b 00 00 00 0c 00 00 00   ................
0d 00 00 00 0e 00 00 00  05 00 00 00 0f 00 00 00   ................
10 00 00 00 11 00 00 00  12 00 00 00 13 00 00 00   ................
05 00 00 00 14 00 00 00  15 00 00 00 16 00 00 00   ................
17 00 00 00 18 00 00 00  05 00 00 00 19 00 00 00   ................
1a 00 00 00 1b 00 00 00  1c 00 00 00 1d 00 00 00   ................

Xyz::StructOfArrayOfSeqOfLong: try_marshaling PASSED
Xyz::StructOfSeqOfSeqOfLong: serialized_size_bound(unaligned_encoding) => 116
Xyz::StructOfSeqOfSeqOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfSeqOfLong: serialized_size(unaligned_encoding, foo) => 100
Xyz::StructOfSeqOfSeqOfLong: serialized_size(aligned_encoding, foo) => 100
Xyz::StructOfSeqOfSeqOfLong: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfSeqOfLong: AFTER WRITING, LENGTH: 100, BUFFER:
04 00 00 00 05 00 00 00  00 00 00 00 01 00 00 00   ................
02 00 00 00 03 00 00 00  04 00 00 00 05 00 00 00   ................
05 00 00 00 06 00 00 00  07 00 00 00 08 00 00 00   ................
09 00 00 00 05 00 00 00  0a 00 00 00 0b 00 00 00   ................
0c 00 00 00 0d 00 00 00  0e 00 00 00 05 00 00 00   ................
0f 00 00 00 10 00 00 00  11 00 00 00 12 00 00 00   ................
13 00 00 00                                        ....            

Xyz::StructOfSeqOfSeqOfLong: try_marshaling PASSED
Xyz::StructOfSeqOfString: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size(unaligned_encoding, foo) => 23
Xyz::StructOfSeqOfString: serialized_size(aligned_encoding, foo) => 26
Xyz::StructOfSeqOfString: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfString: AFTER WRITING, LENGTH: 23, BUFFER:
02 00 00 00 05 00 00 00  66 6f 75 72 00 06 00 00   ........four....
00 66 69 76 65 35 00                               .five5.         

Xyz::StructOfSeqOfString: try_marshaling PASSED
Xyz::Foo: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::Foo: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::Foo: serialized_size(unaligned_encoding, foo) => 3625
Xyz::Foo: serialized_size(aligned_encoding, foo) => 4136
Xyz::Foo: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::Foo: AFTER WRITING, LENGTH: 3625, BUFFER:
4d 00 00 00 13 14 00 00  00 00 ff 80 3d 05 00 00   M...........=...
00 66 6f 75 72 00 00 00  00 00 00 00 00 00 00 00   .four...........
00 00 00 01 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  01 00 00 00 00 01 00 00   ................
00 00 01 00 00 00 00 01  00 00 00 00 01 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 01 00  00 00 00 01 00 00 00 00   ................
01 00 00 00 00 01 00 00  00 00 01 00 00 00 00 01   ................
00 00 00 00 01 00 00 00  00 01 00 00 00 00 01 00   ................
00 00 00 01 00 00 00 00  01 00 00 00 00 01 00 00   ................
00 00 01 00 00 00 00 01  00 00 00 00 01 00 00 00   ................
00 01 00 00 00 00 01 00  00 00 00 01 00 00 00 00   ................
01 00 00 00 00 01 00 00  00 00 01 00 00 00 00 01   ................
00 00 00 00 01 00 00 00  00 01 00 00 00 00 01 00   ................
00 00 00 01 00 00 00 00  01 00 00 00 00 01 00 00   ................
00 00 01 00 00 00 00 01  00 00 00 00 01 00 00 00   ................
00 01 00 00 00 00 01 00  00 00 00 01 00 00 00 00   ................
01 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 5c f0 20   .............\. 
6b 3b 00 00 00 00 00 00  00 00 00 7b 38 e0 6b 3b   k;.........{8.k;
00 00 00 a0 a9 c9 24 2b  7f 00 00 aa 82 20 6b 3b   ......$+..... k;
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 b9 40 20 6c 3b  00 00 00 01 00 00 00 00   ....@ l;........
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 e0 fc fd 92 fc ff 00  00 00 00 00 01 00 00 00   ................
00 fc 5c a1 3b 00 00 00  00 00 00 00 2b 7f 00 00   ..\.;.......+...
00 01 00 00 00 00 01 00  00 00 00 01Xyz::Foo: try_marshaling PASSED
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test3_main/idl_test3 PASSED
test PASSED.

auto_run_tests_finished: tests/FACE/Compiler/idl_test3_main/run_test.pl Time:0s Result:0

==============================================================================

tests/FACE/Compiler/idl_test_fixed/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test_fixed/TestFixed  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile TestFixed.log -DCPSPendingTimeout 3 
TestFixed PID: 8691 started at 2023-04-28 16:45:16
test PASSED.

auto_run_tests_finished: tests/FACE/Compiler/idl_test_fixed/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/XTypes/run_test.pl #

----- IgnoreMemberNames_MutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableStructMatch.log --type MutableStruct --ignore-member-names -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableStructMatch PID: 8693 started at 2023-04-28 16:45:17
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableStructMatch.log --type ModifiedNameMutableStruct -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableStructMatch PID: 8694 started at 2023-04-28 16:45:17
test PASSED.
----- MutableUnionNoMatchDisc --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchDisc.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchDisc PID: 8705 started at 2023-04-28 16:45:17
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchDisc.log --type ModifiedDiscMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchDisc PID: 8706 started at 2023-04-28 16:45:17
test PASSED.
----- AppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableMatch.log --type AppendableStruct -DCPSPendingTimeout 3 
reader_AppendableMatch PID: 8717 started at 2023-04-28 16:45:18
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableMatch.log --type AdditionalPostfixFieldStruct -DCPSPendingTimeout 3 
writer_AppendableMatch PID: 8718 started at 2023-04-28 16:45:18
test PASSED.
----- FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructNoMatch.log --type FinalStructSub -DCPSPendingTimeout 3 
reader_FinalStructNoMatch PID: 8729 started at 2023-04-28 16:45:19
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructNoMatch.log --type ModifiedFinalStruct -DCPSPendingTimeout 3 
writer_FinalStructNoMatch PID: 8730 started at 2023-04-28 16:45:19
test PASSED.
----- IgnoreMemberNames_MutableUnionMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableUnionMatch.log --type MutableUnion --ignore-member-names -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableUnionMatch PID: 8741 started at 2023-04-28 16:45:19
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableUnionMatch.log --type ModifiedNameMutableUnion -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableUnionMatch PID: 8742 started at 2023-04-28 16:45:19
test PASSED.
----- NoXTypesMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName -DCPSPendingTimeout 3 
reader_NoXTypesMatchTypeNames PID: 8753 started at 2023-04-28 16:45:20
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName -DCPSPendingTimeout 3 
writer_NoXTypesMatchTypeNames PID: 8754 started at 2023-04-28 16:45:20
test PASSED.
----- Dependency --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Dependency.log --type AppendableStruct -DCPSPendingTimeout 3 
reader_Dependency PID: 8765 started at 2023-04-28 16:45:21
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Dependency.log --type AppendableStructWithDependency -DCPSPendingTimeout 3 
writer_Dependency PID: 8766 started at 2023-04-28 16:45:21
test PASSED.
----- DisallowTypeCoercion_FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructNoMatch.log --type FinalStructSub --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructNoMatch PID: 8777 started at 2023-04-28 16:45:21
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructNoMatch.log --type ModifiedFinalStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructNoMatch PID: 8778 started at 2023-04-28 16:45:21
test PASSED.
----- MutableUnion --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnion.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnion PID: 8789 started at 2023-04-28 16:45:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnion.log --type ModifiedMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnion PID: 8790 started at 2023-04-28 16:45:22
test PASSED.
----- MutableStruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStruct.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStruct PID: 8801 started at 2023-04-28 16:45:23
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStruct.log --type ModifiedMutableStruct -DCPSPendingTimeout 3 
writer_MutableStruct PID: 8802 started at 2023-04-28 16:45:23
test PASSED.
----- FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructMatch.log --type FinalStructSub -DCPSPendingTimeout 3 
reader_FinalStructMatch PID: 8813 started at 2023-04-28 16:45:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructMatch.log --type FinalStructPub -DCPSPendingTimeout 3 
writer_FinalStructMatch PID: 8814 started at 2023-04-28 16:45:24
test PASSED.
----- MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchType.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStructNoMatchType PID: 8825 started at 2023-04-28 16:45:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct -DCPSPendingTimeout 3 
writer_MutableStructNoMatchType PID: 8826 started at 2023-04-28 16:45:24
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes --force-type-validation -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_ny PID: 8837 started at 2023-04-28 16:45:25
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_ny PID: 8838 started at 2023-04-28 16:45:25
test PASSED.
----- Match_no_xtypes_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_nn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
reader_Match_no_xtypes_nn PID: 8849 started at 2023-04-28 16:45:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_nn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_Match_no_xtypes_nn PID: 8850 started at 2023-04-28 16:45:26
test PASSED.
----- DisallowTypeCoercion_FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructSub --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructMatch PID: 8861 started at 2023-04-28 16:45:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructPub -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructMatch PID: 8862 started at 2023-04-28 16:45:27
test PASSED.
----- Match_no_xtypes_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_yn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
reader_Match_no_xtypes_yn PID: 8873 started at 2023-04-28 16:45:28
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Match_no_xtypes_yn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_Match_no_xtypes_yn PID: 8874 started at 2023-04-28 16:45:28
test PASSED.
----- Match_no_xtypes_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Match_no_xtypes_ny.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
reader_Match_no_xtypes_ny PID: 8886 started at 2023-04-28 16:45:28
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_ny.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_Match_no_xtypes_ny PID: 8887 started at 2023-04-28 16:45:28
test PASSED.
----- ExtendedMutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedMutableStructMatch.log --type MutableStruct -DCPSPendingTimeout 3 
reader_ExtendedMutableStructMatch PID: 8898 started at 2023-04-28 16:45:29
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedMutableStructMatch.log --type MutableBaseStruct -DCPSPendingTimeout 3 
writer_ExtendedMutableStructMatch PID: 8899 started at 2023-04-28 16:45:29
test PASSED.
----- Tryconstruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Tryconstruct.log --type Trim20Struct -DCPSPendingTimeout 3 
reader_Tryconstruct PID: 8910 started at 2023-04-28 16:45:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Tryconstruct.log --type Trim64Struct -DCPSPendingTimeout 3 
writer_Tryconstruct PID: 8911 started at 2023-04-28 16:45:30
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchType.log --type MutableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchType PID: 8922 started at 2023-04-28 16:45:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchType PID: 8923 started at 2023-04-28 16:45:31
test PASSED.
----- ExtendedAppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedAppendableMatch.log --type ExtendedAppendableStruct -DCPSPendingTimeout 3 
reader_ExtendedAppendableMatch PID: 8934 started at 2023-04-28 16:45:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedAppendableMatch.log --type BaseAppendableStruct -DCPSPendingTimeout 3 
writer_ExtendedAppendableMatch PID: 8935 started at 2023-04-28 16:45:32
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch2 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AppendableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 8946 started at 2023-04-28 16:45:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AdditionalPrefixFieldStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 8947 started at 2023-04-28 16:45:32
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatchType.log --type MutableUnion --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatchType PID: 8958 started at 2023-04-28 16:45:33
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatchType PID: 8959 started at 2023-04-28 16:45:33
test PASSED.
----- NoXTypesNoMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type ReaderTypeName -DCPSPendingTimeout 3 
reader_NoXTypesNoMatchTypeNames PID: 8970 started at 2023-04-28 16:45:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type WriterTypeName -DCPSPendingTimeout 3 
writer_NoXTypesNoMatchTypeNames PID: 8971 started at 2023-04-28 16:45:34
test PASSED.
----- MutableStructNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchName.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStructNoMatchName PID: 8982 started at 2023-04-28 16:45:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchName.log --type ModifiedNameMutableStruct -DCPSPendingTimeout 3 
writer_MutableStructNoMatchName PID: 8983 started at 2023-04-28 16:45:34
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatch.log --type MutableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatch PID: 8994 started at 2023-04-28 16:45:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatch.log --type ModifiedMutableStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatch PID: 8995 started at 2023-04-28 16:45:35
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatch.log --type MutableUnion --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatch PID: 9006 started at 2023-04-28 16:45:36
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatch.log --type ModifiedMutableUnion -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatch PID: 9007 started at 2023-04-28 16:45:36
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes --force-type-validation -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_nn PID: 9018 started at 2023-04-28 16:45:37
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_nn PID: 9019 started at 2023-04-28 16:45:37
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes --force-type-validation -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_yn PID: 9030 started at 2023-04-28 16:45:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_yn PID: 9031 started at 2023-04-28 16:45:38
test PASSED.
----- MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchId.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStructNoMatchId PID: 9043 started at 2023-04-28 16:45:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchId.log --type ModifiedIdMutableStruct -DCPSPendingTimeout 3 
writer_MutableStructNoMatchId PID: 9044 started at 2023-04-28 16:45:38
test PASSED.
----- AppendableNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableNoMatch.log --type AppendableStruct -DCPSPendingTimeout 3 
reader_AppendableNoMatch PID: 9055 started at 2023-04-28 16:45:39
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableNoMatch.log --type AdditionalPrefixFieldStruct -DCPSPendingTimeout 3 
writer_AppendableNoMatch PID: 9056 started at 2023-04-28 16:45:39
test PASSED.
----- MutableUnionNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchName.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchName PID: 9067 started at 2023-04-28 16:45:40
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchName.log --type ModifiedNameMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchName PID: 9068 started at 2023-04-28 16:45:40
test PASSED.
----- PlainCdr --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_PlainCdr.log --type PlainCdrStruct -DCPSPendingTimeout 3 
reader_PlainCdr PID: 9079 started at 2023-04-28 16:45:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_PlainCdr.log --type PlainCdrStruct -DCPSPendingTimeout 3 
writer_PlainCdr PID: 9080 started at 2023-04-28 16:45:41
test PASSED.
----- MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchType.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchType PID: 9091 started at 2023-04-28 16:45:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchType PID: 9092 started at 2023-04-28 16:45:41
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch1 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AppendableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 9103 started at 2023-04-28 16:45:42
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AdditionalPostfixFieldStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 9104 started at 2023-04-28 16:45:42
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchId.log --type MutableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchId PID: 9115 started at 2023-04-28 16:45:43
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchId.log --type ModifiedIdMutableStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchId PID: 9116 started at 2023-04-28 16:45:43
test PASSED.

auto_run_tests_finished: tests/DCPS/XTypes/run_test.pl Time:27s Result:0

==============================================================================

tests/DCPS/XTypes/run_test.pl --dynamic-writers #

----- IgnoreMemberNames_MutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableStructMatch.log --type MutableStruct --ignore-member-names -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableStructMatch PID: 9128 started at 2023-04-28 16:45:44
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableStructMatch.log --type ModifiedNameMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableStructMatch PID: 9129 started at 2023-04-28 16:45:44
test PASSED.
----- MutableUnionNoMatchDisc --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchDisc.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchDisc PID: 9140 started at 2023-04-28 16:45:44
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchDisc.log --type ModifiedDiscMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchDisc PID: 9141 started at 2023-04-28 16:45:44
test PASSED.
----- AppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableMatch.log --type AppendableStruct -DCPSPendingTimeout 3 
reader_AppendableMatch PID: 9152 started at 2023-04-28 16:45:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableMatch.log --type AdditionalPostfixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_AppendableMatch PID: 9153 started at 2023-04-28 16:45:45
test PASSED.
----- FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructNoMatch.log --type FinalStructSub -DCPSPendingTimeout 3 
reader_FinalStructNoMatch PID: 9164 started at 2023-04-28 16:45:46
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructNoMatch.log --type ModifiedFinalStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_FinalStructNoMatch PID: 9165 started at 2023-04-28 16:45:46
test PASSED.
----- IgnoreMemberNames_MutableUnionMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableUnionMatch.log --type MutableUnion --ignore-member-names -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableUnionMatch PID: 9176 started at 2023-04-28 16:45:47
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableUnionMatch.log --type ModifiedNameMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableUnionMatch PID: 9177 started at 2023-04-28 16:45:47
test PASSED.
----- NoXTypesMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName -DCPSPendingTimeout 3 
reader_NoXTypesMatchTypeNames PID: 9189 started at 2023-04-28 16:45:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName --dynamic-ts -DCPSPendingTimeout 3 
writer_NoXTypesMatchTypeNames PID: 9190 started at 2023-04-28 16:45:48
test PASSED.
----- Dependency --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Dependency.log --type AppendableStruct -DCPSPendingTimeout 3 
reader_Dependency PID: 9201 started at 2023-04-28 16:45:50
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Dependency.log --type AppendableStructWithDependency --dynamic-ts -DCPSPendingTimeout 3 
writer_Dependency PID: 9202 started at 2023-04-28 16:45:50
test PASSED.
----- DisallowTypeCoercion_FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructNoMatch.log --type FinalStructSub --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructNoMatch PID: 9213 started at 2023-04-28 16:45:50
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructNoMatch.log --type ModifiedFinalStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructNoMatch PID: 9214 started at 2023-04-28 16:45:50
test PASSED.
----- MutableUnion --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnion.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnion PID: 9225 started at 2023-04-28 16:45:51
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnion.log --type ModifiedMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnion PID: 9226 started at 2023-04-28 16:45:51
test PASSED.
----- MutableStruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStruct.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStruct PID: 9237 started at 2023-04-28 16:45:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStruct.log --type ModifiedMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStruct PID: 9238 started at 2023-04-28 16:45:52
test PASSED.
----- FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructMatch.log --type FinalStructSub -DCPSPendingTimeout 3 
reader_FinalStructMatch PID: 9249 started at 2023-04-28 16:45:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructMatch.log --type FinalStructPub --dynamic-ts -DCPSPendingTimeout 3 
writer_FinalStructMatch PID: 9250 started at 2023-04-28 16:45:52
test PASSED.
----- MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchType.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStructNoMatchType PID: 9261 started at 2023-04-28 16:45:53
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStructNoMatchType PID: 9262 started at 2023-04-28 16:45:53
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes --force-type-validation -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_ny PID: 9273 started at 2023-04-28 16:45:54
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_ny PID: 9274 started at 2023-04-28 16:45:54
test PASSED.
----- Match_no_xtypes_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_nn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
reader_Match_no_xtypes_nn PID: 9285 started at 2023-04-28 16:45:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_nn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_Match_no_xtypes_nn PID: 9286 started at 2023-04-28 16:45:55
test PASSED.
----- DisallowTypeCoercion_FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructSub --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructMatch PID: 9297 started at 2023-04-28 16:45:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructPub --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructMatch PID: 9298 started at 2023-04-28 16:45:56
test PASSED.
----- Match_no_xtypes_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_yn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
reader_Match_no_xtypes_yn PID: 9309 started at 2023-04-28 16:45:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Match_no_xtypes_yn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_Match_no_xtypes_yn PID: 9310 started at 2023-04-28 16:45:56
test PASSED.
----- Match_no_xtypes_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Match_no_xtypes_ny.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
reader_Match_no_xtypes_ny PID: 9321 started at 2023-04-28 16:45:57
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_ny.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_Match_no_xtypes_ny PID: 9322 started at 2023-04-28 16:45:57
test PASSED.
----- ExtendedMutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedMutableStructMatch.log --type MutableStruct -DCPSPendingTimeout 3 
reader_ExtendedMutableStructMatch PID: 9333 started at 2023-04-28 16:45:58
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedMutableStructMatch.log --type MutableBaseStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_ExtendedMutableStructMatch PID: 9334 started at 2023-04-28 16:45:58
test PASSED.
----- Tryconstruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Tryconstruct.log --type Trim20Struct -DCPSPendingTimeout 3 
reader_Tryconstruct PID: 9345 started at 2023-04-28 16:45:59
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Tryconstruct.log --type Trim64Struct --dynamic-ts -DCPSPendingTimeout 3 
writer_Tryconstruct PID: 9346 started at 2023-04-28 16:45:59
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchType.log --type MutableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchType PID: 9357 started at 2023-04-28 16:45:59
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchType PID: 9358 started at 2023-04-28 16:45:59
test PASSED.
----- ExtendedAppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedAppendableMatch.log --type ExtendedAppendableStruct -DCPSPendingTimeout 3 
reader_ExtendedAppendableMatch PID: 9369 started at 2023-04-28 16:46:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedAppendableMatch.log --type BaseAppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_ExtendedAppendableMatch PID: 9370 started at 2023-04-28 16:46:00
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch2 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AppendableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 9381 started at 2023-04-28 16:46:01
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AdditionalPrefixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 9382 started at 2023-04-28 16:46:01
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatchType.log --type MutableUnion --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatchType PID: 9393 started at 2023-04-28 16:46:01
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatchType PID: 9394 started at 2023-04-28 16:46:01
test PASSED.
----- NoXTypesNoMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type ReaderTypeName -DCPSPendingTimeout 3 
reader_NoXTypesNoMatchTypeNames PID: 9405 started at 2023-04-28 16:46:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type WriterTypeName --dynamic-ts -DCPSPendingTimeout 3 
writer_NoXTypesNoMatchTypeNames PID: 9406 started at 2023-04-28 16:46:02
test PASSED.
----- MutableStructNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchName.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStructNoMatchName PID: 9417 started at 2023-04-28 16:46:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchName.log --type ModifiedNameMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStructNoMatchName PID: 9418 started at 2023-04-28 16:46:02
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatch.log --type MutableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatch PID: 9429 started at 2023-04-28 16:46:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatch.log --type ModifiedMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatch PID: 9430 started at 2023-04-28 16:46:03
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatch.log --type MutableUnion --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatch PID: 9441 started at 2023-04-28 16:46:04
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatch.log --type ModifiedMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatch PID: 9442 started at 2023-04-28 16:46:04
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes --force-type-validation -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_nn PID: 9453 started at 2023-04-28 16:46:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_nn PID: 9454 started at 2023-04-28 16:46:05
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes --force-type-validation -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_yn PID: 9465 started at 2023-04-28 16:46:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_yn PID: 9466 started at 2023-04-28 16:46:05
test PASSED.
----- MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchId.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStructNoMatchId PID: 9485 started at 2023-04-28 16:46:06
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchId.log --type ModifiedIdMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStructNoMatchId PID: 9486 started at 2023-04-28 16:46:06
test PASSED.
----- AppendableNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableNoMatch.log --type AppendableStruct -DCPSPendingTimeout 3 
reader_AppendableNoMatch PID: 9497 started at 2023-04-28 16:46:07
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableNoMatch.log --type AdditionalPrefixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_AppendableNoMatch PID: 9498 started at 2023-04-28 16:46:07
test PASSED.
----- MutableUnionNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchName.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchName PID: 9509 started at 2023-04-28 16:46:07
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchName.log --type ModifiedNameMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchName PID: 9510 started at 2023-04-28 16:46:07
test PASSED.
----- PlainCdr --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_PlainCdr.log --type PlainCdrStruct -DCPSPendingTimeout 3 
reader_PlainCdr PID: 9521 started at 2023-04-28 16:46:08
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_PlainCdr.log --type PlainCdrStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_PlainCdr PID: 9522 started at 2023-04-28 16:46:08
test PASSED.
----- MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchType.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchType PID: 9533 started at 2023-04-28 16:46:09
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchType PID: 9534 started at 2023-04-28 16:46:09
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch1 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AppendableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 9545 started at 2023-04-28 16:46:10
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AdditionalPostfixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 9546 started at 2023-04-28 16:46:10
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchId.log --type MutableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchId PID: 9557 started at 2023-04-28 16:46:10
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchId.log --type ModifiedIdMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchId PID: 9558 started at 2023-04-28 16:46:10
test PASSED.

auto_run_tests_finished: tests/DCPS/XTypes/run_test.pl --dynamic-writers Time:27s Result:0

==============================================================================

tests/DCPS/XTypes/run_test.pl --dynamic-readers #

----- IgnoreMemberNames_MutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableStructMatch.log --type MutableStruct --ignore-member-names --dynamic-ts -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableStructMatch PID: 9570 started at 2023-04-28 16:46:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableStructMatch.log --type ModifiedNameMutableStruct -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableStructMatch PID: 9571 started at 2023-04-28 16:46:11
test PASSED.
----- MutableUnionNoMatchDisc --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchDisc.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchDisc PID: 9582 started at 2023-04-28 16:46:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchDisc.log --type ModifiedDiscMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchDisc PID: 9583 started at 2023-04-28 16:46:12
test PASSED.
----- AppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableMatch.log --type AppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_AppendableMatch PID: 9594 started at 2023-04-28 16:46:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableMatch.log --type AdditionalPostfixFieldStruct -DCPSPendingTimeout 3 
writer_AppendableMatch PID: 9595 started at 2023-04-28 16:46:12
test PASSED.
----- FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructNoMatch.log --type FinalStructSub --dynamic-ts -DCPSPendingTimeout 3 
reader_FinalStructNoMatch PID: 9606 started at 2023-04-28 16:46:13
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructNoMatch.log --type ModifiedFinalStruct -DCPSPendingTimeout 3 
writer_FinalStructNoMatch PID: 9607 started at 2023-04-28 16:46:13
test PASSED.
----- IgnoreMemberNames_MutableUnionMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableUnionMatch.log --type MutableUnion --ignore-member-names --dynamic-ts -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableUnionMatch PID: 9618 started at 2023-04-28 16:46:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableUnionMatch.log --type ModifiedNameMutableUnion -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableUnionMatch PID: 9619 started at 2023-04-28 16:46:14
test PASSED.
----- NoXTypesMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName --dynamic-ts -DCPSPendingTimeout 3 
reader_NoXTypesMatchTypeNames PID: 9630 started at 2023-04-28 16:46:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName -DCPSPendingTimeout 3 
writer_NoXTypesMatchTypeNames PID: 9631 started at 2023-04-28 16:46:14
test PASSED.
----- Dependency --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Dependency.log --type AppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_Dependency PID: 9642 started at 2023-04-28 16:46:15
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Dependency.log --type AppendableStructWithDependency -DCPSPendingTimeout 3 
writer_Dependency PID: 9643 started at 2023-04-28 16:46:15
test PASSED.
----- DisallowTypeCoercion_FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructNoMatch.log --type FinalStructSub --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructNoMatch PID: 9654 started at 2023-04-28 16:46:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructNoMatch.log --type ModifiedFinalStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructNoMatch PID: 9655 started at 2023-04-28 16:46:16
test PASSED.
----- MutableUnion --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnion.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnion PID: 9666 started at 2023-04-28 16:46:17
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnion.log --type ModifiedMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnion PID: 9667 started at 2023-04-28 16:46:17
test PASSED.
----- MutableStruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStruct.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStruct PID: 9678 started at 2023-04-28 16:46:18
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStruct.log --type ModifiedMutableStruct -DCPSPendingTimeout 3 
writer_MutableStruct PID: 9679 started at 2023-04-28 16:46:18
test PASSED.
----- FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructMatch.log --type FinalStructSub --dynamic-ts -DCPSPendingTimeout 3 
reader_FinalStructMatch PID: 9690 started at 2023-04-28 16:46:19
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructMatch.log --type FinalStructPub -DCPSPendingTimeout 3 
writer_FinalStructMatch PID: 9691 started at 2023-04-28 16:46:19
test PASSED.
----- MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchType.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStructNoMatchType PID: 9702 started at 2023-04-28 16:46:20
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct -DCPSPendingTimeout 3 
writer_MutableStructNoMatchType PID: 9703 started at 2023-04-28 16:46:20
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes --force-type-validation --dynamic-ts -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_ny PID: 9714 started at 2023-04-28 16:46:20
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_ny PID: 9715 started at 2023-04-28 16:46:20
test PASSED.
----- Match_no_xtypes_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_nn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
reader_Match_no_xtypes_nn PID: 9726 started at 2023-04-28 16:46:21
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_nn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_Match_no_xtypes_nn PID: 9727 started at 2023-04-28 16:46:21
test PASSED.
----- DisallowTypeCoercion_FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructSub --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructMatch PID: 9738 started at 2023-04-28 16:46:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructPub -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructMatch PID: 9739 started at 2023-04-28 16:46:22
test PASSED.
----- Match_no_xtypes_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_yn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
reader_Match_no_xtypes_yn PID: 9750 started at 2023-04-28 16:46:23
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Match_no_xtypes_yn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_Match_no_xtypes_yn PID: 9751 started at 2023-04-28 16:46:23
test PASSED.
----- Match_no_xtypes_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Match_no_xtypes_ny.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
reader_Match_no_xtypes_ny PID: 9762 started at 2023-04-28 16:46:23
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_ny.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_Match_no_xtypes_ny PID: 9763 started at 2023-04-28 16:46:23
test PASSED.
----- ExtendedMutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedMutableStructMatch.log --type MutableStruct --dynamic-ts --skip-read -DCPSPendingTimeout 3 
reader_ExtendedMutableStructMatch PID: 9774 started at 2023-04-28 16:46:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedMutableStructMatch.log --type MutableBaseStruct -DCPSPendingTimeout 3 
writer_ExtendedMutableStructMatch PID: 9775 started at 2023-04-28 16:46:24
test PASSED.
----- Tryconstruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Tryconstruct.log --type Trim20Struct --dynamic-ts --skip-read -DCPSPendingTimeout 3 
reader_Tryconstruct PID: 9786 started at 2023-04-28 16:46:25
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Tryconstruct.log --type Trim64Struct -DCPSPendingTimeout 3 
writer_Tryconstruct PID: 9787 started at 2023-04-28 16:46:25
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchType.log --type MutableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchType PID: 9798 started at 2023-04-28 16:46:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchType PID: 9799 started at 2023-04-28 16:46:26
test PASSED.
----- ExtendedAppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedAppendableMatch.log --type ExtendedAppendableStruct --dynamic-ts --skip-read -DCPSPendingTimeout 3 
reader_ExtendedAppendableMatch PID: 9810 started at 2023-04-28 16:46:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedAppendableMatch.log --type BaseAppendableStruct -DCPSPendingTimeout 3 
writer_ExtendedAppendableMatch PID: 9811 started at 2023-04-28 16:46:27
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch2 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AppendableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 9822 started at 2023-04-28 16:46:28
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AdditionalPrefixFieldStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 9823 started at 2023-04-28 16:46:28
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatchType.log --type MutableUnion --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatchType PID: 9834 started at 2023-04-28 16:46:28
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatchType PID: 9835 started at 2023-04-28 16:46:28
test PASSED.
----- NoXTypesNoMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type ReaderTypeName --dynamic-ts -DCPSPendingTimeout 3 
reader_NoXTypesNoMatchTypeNames PID: 9846 started at 2023-04-28 16:46:29
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type WriterTypeName -DCPSPendingTimeout 3 
writer_NoXTypesNoMatchTypeNames PID: 9847 started at 2023-04-28 16:46:29
test PASSED.
----- MutableStructNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchName.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStructNoMatchName PID: 9858 started at 2023-04-28 16:46:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchName.log --type ModifiedNameMutableStruct -DCPSPendingTimeout 3 
writer_MutableStructNoMatchName PID: 9859 started at 2023-04-28 16:46:30
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatch.log --type MutableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatch PID: 9870 started at 2023-04-28 16:46:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatch.log --type ModifiedMutableStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatch PID: 9871 started at 2023-04-28 16:46:31
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatch.log --type MutableUnion --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatch PID: 9882 started at 2023-04-28 16:46:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatch.log --type ModifiedMutableUnion -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatch PID: 9883 started at 2023-04-28 16:46:31
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes --force-type-validation --dynamic-ts -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_nn PID: 9894 started at 2023-04-28 16:46:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_nn PID: 9895 started at 2023-04-28 16:46:32
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes --force-type-validation --dynamic-ts -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_yn PID: 9906 started at 2023-04-28 16:46:33
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_yn PID: 9907 started at 2023-04-28 16:46:33
test PASSED.
----- MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchId.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStructNoMatchId PID: 9918 started at 2023-04-28 16:46:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchId.log --type ModifiedIdMutableStruct -DCPSPendingTimeout 3 
writer_MutableStructNoMatchId PID: 9919 started at 2023-04-28 16:46:34
test PASSED.
----- AppendableNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableNoMatch.log --type AppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_AppendableNoMatch PID: 9930 started at 2023-04-28 16:46:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableNoMatch.log --type AdditionalPrefixFieldStruct -DCPSPendingTimeout 3 
writer_AppendableNoMatch PID: 9931 started at 2023-04-28 16:46:34
test PASSED.
----- MutableUnionNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchName.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchName PID: 9942 started at 2023-04-28 16:46:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchName.log --type ModifiedNameMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchName PID: 9943 started at 2023-04-28 16:46:35
test PASSED.
----- PlainCdr --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_PlainCdr.log --type PlainCdrStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_PlainCdr PID: 9954 started at 2023-04-28 16:46:36
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_PlainCdr.log --type PlainCdrStruct -DCPSPendingTimeout 3 
writer_PlainCdr PID: 9955 started at 2023-04-28 16:46:36
test PASSED.
----- MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchType.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchType PID: 9966 started at 2023-04-28 16:46:37
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchType PID: 9967 started at 2023-04-28 16:46:37
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch1 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AppendableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 9978 started at 2023-04-28 16:46:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AdditionalPostfixFieldStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 9979 started at 2023-04-28 16:46:38
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchId.log --type MutableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchId PID: 9990 started at 2023-04-28 16:46:39
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchId.log --type ModifiedIdMutableStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchId PID: 9991 started at 2023-04-28 16:46:39
test PASSED.

auto_run_tests_finished: tests/DCPS/XTypes/run_test.pl --dynamic-readers Time:29s Result:0

==============================================================================

tests/DCPS/XTypes/run_test.pl --dynamic-writers --dynamic-readers #

----- IgnoreMemberNames_MutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableStructMatch.log --type MutableStruct --ignore-member-names --dynamic-ts -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableStructMatch PID: 10003 started at 2023-04-28 16:46:40
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableStructMatch.log --type ModifiedNameMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableStructMatch PID: 10004 started at 2023-04-28 16:46:40
test PASSED.
----- MutableUnionNoMatchDisc --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchDisc.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchDisc PID: 10015 started at 2023-04-28 16:46:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchDisc.log --type ModifiedDiscMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchDisc PID: 10016 started at 2023-04-28 16:46:41
test PASSED.
----- AppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableMatch.log --type AppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_AppendableMatch PID: 10027 started at 2023-04-28 16:46:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableMatch.log --type AdditionalPostfixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_AppendableMatch PID: 10028 started at 2023-04-28 16:46:41
test PASSED.
----- FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructNoMatch.log --type FinalStructSub --dynamic-ts -DCPSPendingTimeout 3 
reader_FinalStructNoMatch PID: 10039 started at 2023-04-28 16:46:42
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructNoMatch.log --type ModifiedFinalStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_FinalStructNoMatch PID: 10040 started at 2023-04-28 16:46:42
test PASSED.
----- IgnoreMemberNames_MutableUnionMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableUnionMatch.log --type MutableUnion --ignore-member-names --dynamic-ts -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableUnionMatch PID: 10051 started at 2023-04-28 16:46:43
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableUnionMatch.log --type ModifiedNameMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableUnionMatch PID: 10052 started at 2023-04-28 16:46:43
test PASSED.
----- NoXTypesMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName --dynamic-ts -DCPSPendingTimeout 3 
reader_NoXTypesMatchTypeNames PID: 10064 started at 2023-04-28 16:46:44
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName --dynamic-ts -DCPSPendingTimeout 3 
writer_NoXTypesMatchTypeNames PID: 10065 started at 2023-04-28 16:46:44
test PASSED.
----- Dependency --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Dependency.log --type AppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_Dependency PID: 10076 started at 2023-04-28 16:46:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Dependency.log --type AppendableStructWithDependency --dynamic-ts -DCPSPendingTimeout 3 
writer_Dependency PID: 10077 started at 2023-04-28 16:46:45
test PASSED.
----- DisallowTypeCoercion_FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructNoMatch.log --type FinalStructSub --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructNoMatch PID: 10088 started at 2023-04-28 16:46:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructNoMatch.log --type ModifiedFinalStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructNoMatch PID: 10089 started at 2023-04-28 16:46:45
test PASSED.
----- MutableUnion --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnion.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnion PID: 10100 started at 2023-04-28 16:46:46
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnion.log --type ModifiedMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnion PID: 10101 started at 2023-04-28 16:46:46
test PASSED.
----- MutableStruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStruct.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStruct PID: 10112 started at 2023-04-28 16:46:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStruct.log --type ModifiedMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStruct PID: 10113 started at 2023-04-28 16:46:48
test PASSED.
----- FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructMatch.log --type FinalStructSub --dynamic-ts -DCPSPendingTimeout 3 
reader_FinalStructMatch PID: 10124 started at 2023-04-28 16:46:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructMatch.log --type FinalStructPub --dynamic-ts -DCPSPendingTimeout 3 
writer_FinalStructMatch PID: 10125 started at 2023-04-28 16:46:48
test PASSED.
----- MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchType.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStructNoMatchType PID: 10136 started at 2023-04-28 16:46:49
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStructNoMatchType PID: 10137 started at 2023-04-28 16:46:49
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes --force-type-validation --dynamic-ts -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_ny PID: 10148 started at 2023-04-28 16:46:50
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_ny PID: 10149 started at 2023-04-28 16:46:50
test PASSED.
----- Match_no_xtypes_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_nn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
reader_Match_no_xtypes_nn PID: 10160 started at 2023-04-28 16:46:51
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_nn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_Match_no_xtypes_nn PID: 10161 started at 2023-04-28 16:46:51
test PASSED.
----- DisallowTypeCoercion_FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructSub --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructMatch PID: 10172 started at 2023-04-28 16:46:51
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructPub --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructMatch PID: 10173 started at 2023-04-28 16:46:51
test PASSED.
----- Match_no_xtypes_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_yn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
reader_Match_no_xtypes_yn PID: 10184 started at 2023-04-28 16:46:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Match_no_xtypes_yn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_Match_no_xtypes_yn PID: 10185 started at 2023-04-28 16:46:52
test PASSED.
----- Match_no_xtypes_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Match_no_xtypes_ny.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
reader_Match_no_xtypes_ny PID: 10196 started at 2023-04-28 16:46:53
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_ny.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_Match_no_xtypes_ny PID: 10197 started at 2023-04-28 16:46:53
test PASSED.
----- ExtendedMutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedMutableStructMatch.log --type MutableStruct --dynamic-ts --skip-read -DCPSPendingTimeout 3 
reader_ExtendedMutableStructMatch PID: 10209 started at 2023-04-28 16:46:54
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedMutableStructMatch.log --type MutableBaseStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_ExtendedMutableStructMatch PID: 10210 started at 2023-04-28 16:46:54
test PASSED.
----- Tryconstruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Tryconstruct.log --type Trim20Struct --dynamic-ts --skip-read -DCPSPendingTimeout 3 
reader_Tryconstruct PID: 10221 started at 2023-04-28 16:46:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Tryconstruct.log --type Trim64Struct --dynamic-ts -DCPSPendingTimeout 3 
writer_Tryconstruct PID: 10222 started at 2023-04-28 16:46:55
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchType.log --type MutableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchType PID: 10233 started at 2023-04-28 16:46:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchType PID: 10234 started at 2023-04-28 16:46:55
test PASSED.
----- ExtendedAppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedAppendableMatch.log --type ExtendedAppendableStruct --dynamic-ts --skip-read -DCPSPendingTimeout 3 
reader_ExtendedAppendableMatch PID: 10245 started at 2023-04-28 16:46:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedAppendableMatch.log --type BaseAppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_ExtendedAppendableMatch PID: 10246 started at 2023-04-28 16:46:56
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch2 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AppendableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 10257 started at 2023-04-28 16:46:57
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AdditionalPrefixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 10258 started at 2023-04-28 16:46:57
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatchType.log --type MutableUnion --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatchType PID: 10269 started at 2023-04-28 16:46:58
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatchType PID: 10270 started at 2023-04-28 16:46:58
test PASSED.
----- NoXTypesNoMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type ReaderTypeName --dynamic-ts -DCPSPendingTimeout 3 
reader_NoXTypesNoMatchTypeNames PID: 10281 started at 2023-04-28 16:46:58
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type WriterTypeName --dynamic-ts -DCPSPendingTimeout 3 
writer_NoXTypesNoMatchTypeNames PID: 10282 started at 2023-04-28 16:46:58
test PASSED.
----- MutableStructNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchName.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStructNoMatchName PID: 10293 started at 2023-04-28 16:46:59
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchName.log --type ModifiedNameMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStructNoMatchName PID: 10294 started at 2023-04-28 16:46:59
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatch.log --type MutableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatch PID: 10305 started at 2023-04-28 16:47:01
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatch.log --type ModifiedMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatch PID: 10306 started at 2023-04-28 16:47:01
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatch.log --type MutableUnion --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatch PID: 10317 started at 2023-04-28 16:47:01
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatch.log --type ModifiedMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatch PID: 10318 started at 2023-04-28 16:47:01
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes --force-type-validation --dynamic-ts -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_nn PID: 10329 started at 2023-04-28 16:47:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_nn PID: 10330 started at 2023-04-28 16:47:02
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes --force-type-validation --dynamic-ts -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_yn PID: 10341 started at 2023-04-28 16:47:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_yn PID: 10342 started at 2023-04-28 16:47:03
test PASSED.
----- MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchId.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStructNoMatchId PID: 10354 started at 2023-04-28 16:47:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchId.log --type ModifiedIdMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStructNoMatchId PID: 10355 started at 2023-04-28 16:47:03
test PASSED.
----- AppendableNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableNoMatch.log --type AppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_AppendableNoMatch PID: 10367 started at 2023-04-28 16:47:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableNoMatch.log --type AdditionalPrefixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_AppendableNoMatch PID: 10368 started at 2023-04-28 16:47:05
test PASSED.
----- MutableUnionNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchName.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchName PID: 10388 started at 2023-04-28 16:47:06
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchName.log --type ModifiedNameMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchName PID: 10389 started at 2023-04-28 16:47:06
test PASSED.
----- PlainCdr --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_PlainCdr.log --type PlainCdrStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_PlainCdr PID: 10401 started at 2023-04-28 16:47:07
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_PlainCdr.log --type PlainCdrStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_PlainCdr PID: 10402 started at 2023-04-28 16:47:07
test PASSED.
----- MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchType.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchType PID: 10413 started at 2023-04-28 16:47:08
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchType PID: 10414 started at 2023-04-28 16:47:08
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch1 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AppendableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 10425 started at 2023-04-28 16:47:09
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AdditionalPostfixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 10426 started at 2023-04-28 16:47:09
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchId.log --type MutableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchId PID: 10437 started at 2023-04-28 16:47:09
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchId.log --type ModifiedIdMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchId PID: 10438 started at 2023-04-28 16:47:09
test PASSED.

auto_run_tests_finished: tests/DCPS/XTypes/run_test.pl --dynamic-writers --dynamic-readers Time:30s Result:0

==============================================================================

tests/DCPS/DynamicTypes/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder my_struct_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_my_struct_final_XCDR1.log -DCPSPendingTimeout 3 
reader_my_struct_final_XCDR1 PID: 10450 started at 2023-04-28 16:47:10
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub my_struct_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_my_struct_final_XCDR1.log -DCPSPendingTimeout 3 
writer_my_struct_final_XCDR1 PID: 10451 started at 2023-04-28 16:47:10
struct Dynamic::my_struct_final
  Dynamic::long_struct_arr_final my_long_struct_arr Dynamic::long_struct_final[2] =
    [0] struct Dynamic::long_struct_final
      Int32 my_long = 1
    [1] struct Dynamic::long_struct_final
      Int32 my_long = 2
  Dynamic::inner_union_seq_final my_inner_union_seq Dynamic::inner_union_final[2] =
    [0] union Dynamic::inner_union_final
      Int32 discriminator = -2147483647
      Boolean b = true
    [1] union Dynamic::inner_union_final
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = true
        [1] = false
  Dynamic::enum_arr my_enum_arr Dynamic::EnumType[2] =
    [0] = V1
    [1] = V2
  Int8 my_int8 = 1
  UInt8 my_uint8 = 2
  Int16 my_short = 3
  UInt16 my_ushort = 4
  Int32 my_long = 5
  UInt32 my_ulong = 6
  Int64 my_longlong = 7
  UInt64 my_ulonglong = 8
  Float32 my_float = 9.25
  Float64 my_double = 10.5
  Float128 my_longdouble = 11.075
  Boolean my_boolean = true
  Byte my_byte = 0x0c
  Char8 my_char = 'd'
  Char16 my_wchar = L'e'
  String8 my_string = "Hello"
  WString16 my_wstring = L"World"
  Dynamic::bool_seq my_alias_seq Boolean[2] =
    [0] = true
    [1] = false
  Dynamic::char_arr my_alias_array Char8[2] =
    [0] = 'a'
    [1] = 'b'
  Sequence my_anon_seq Dynamic::EnumType[2] =
    [0] = V2
    [1] = V1
  Array my_anon_arr Int16[2] =
    [0] = 5
    [1] = 6

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder my_struct_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_my_struct_final_XCDR2.log -DCPSPendingTimeout 3 
reader_my_struct_final_XCDR2 PID: 10462 started at 2023-04-28 16:47:10
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub my_struct_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_my_struct_final_XCDR2.log -DCPSPendingTimeout 3 
writer_my_struct_final_XCDR2 PID: 10463 started at 2023-04-28 16:47:10
struct Dynamic::my_struct_final
  Dynamic::long_struct_arr_final my_long_struct_arr Dynamic::long_struct_final[2] =
    [0] struct Dynamic::long_struct_final
      Int32 my_long = 1
    [1] struct Dynamic::long_struct_final
      Int32 my_long = 2
  Dynamic::inner_union_seq_final my_inner_union_seq Dynamic::inner_union_final[2] =
    [0] union Dynamic::inner_union_final
      Int32 discriminator = -2147483647
      Boolean b = true
    [1] union Dynamic::inner_union_final
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = true
        [1] = false
  Dynamic::enum_arr my_enum_arr Dynamic::EnumType[2] =
    [0] = V1
    [1] = V2
  Int8 my_int8 = 1
  UInt8 my_uint8 = 2
  Int16 my_short = 3
  UInt16 my_ushort = 4
  Int32 my_long = 5
  UInt32 my_ulong = 6
  Int64 my_longlong = 7
  UInt64 my_ulonglong = 8
  Float32 my_float = 9.25
  Float64 my_double = 10.5
  Float128 my_longdouble = 11.075
  Boolean my_boolean = true
  Byte my_byte = 0x0c
  Char8 my_char = 'd'
  Char16 my_wchar = L'e'
  String8 my_string = "Hello"
  WString16 my_wstring = L"World"
  Dynamic::bool_seq my_alias_seq Boolean[2] =
    [0] = true
    [1] = false
  Dynamic::char_arr my_alias_array Char8[2] =
    [0] = 'a'
    [1] = 'b'
  Sequence my_anon_seq Dynamic::EnumType[2] =
    [0] = V2
    [1] = V1
  Array my_anon_arr Int16[2] =
    [0] = 5
    [1] = 6

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder my_struct_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_my_struct_appendable_XCDR1.log -DCPSPendingTimeout 3 
reader_my_struct_appendable_XCDR1 PID: 10474 started at 2023-04-28 16:47:10
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub my_struct_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_my_struct_appendable_XCDR1.log -DCPSPendingTimeout 3 
writer_my_struct_appendable_XCDR1 PID: 10475 started at 2023-04-28 16:47:10
struct Dynamic::my_struct_appendable
  Dynamic::long_struct_arr_appendable my_long_struct_arr Dynamic::long_struct_appendable[2] =
    [0] struct Dynamic::long_struct_appendable
      Int32 my_long = 1
    [1] struct Dynamic::long_struct_appendable
      Int32 my_long = 2
  Dynamic::inner_union_seq_appendable my_inner_union_seq Dynamic::inner_union_appendable[2] =
    [0] union Dynamic::inner_union_appendable
      Int32 discriminator = -2147483647
      Boolean b = true
    [1] union Dynamic::inner_union_appendable
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = true
        [1] = false
  Dynamic::enum_arr my_enum_arr Dynamic::EnumType[2] =
    [0] = V1
    [1] = V2
  Int8 my_int8 = 1
  UInt8 my_uint8 = 2
  Int16 my_short = 3
  UInt16 my_ushort = 4
  Int32 my_long = 5
  UInt32 my_ulong = 6
  Int64 my_longlong = 7
  UInt64 my_ulonglong = 8
  Float32 my_float = 9.25
  Float64 my_double = 10.5
  Float128 my_longdouble = 11.075
  Boolean my_boolean = true
  Byte my_byte = 0x0c
  Char8 my_char = 'd'
  Char16 my_wchar = L'e'
  String8 my_string = "Hello"
  WString16 my_wstring = L"World"
  Dynamic::bool_seq my_alias_seq Boolean[2] =
    [0] = true
    [1] = false
  Dynamic::char_arr my_alias_array Char8[2] =
    [0] = 'a'
    [1] = 'b'
  Sequence my_anon_seq Dynamic::EnumType[2] =
    [0] = V2
    [1] = V1
  Array my_anon_arr Int16[2] =
    [0] = 5
    [1] = 6

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder my_struct_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_my_struct_appendable_XCDR2.log -DCPSPendingTimeout 3 
reader_my_struct_appendable_XCDR2 PID: 10486 started at 2023-04-28 16:47:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub my_struct_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_my_struct_appendable_XCDR2.log -DCPSPendingTimeout 3 
writer_my_struct_appendable_XCDR2 PID: 10487 started at 2023-04-28 16:47:11
struct Dynamic::my_struct_appendable
  Dynamic::long_struct_arr_appendable my_long_struct_arr Dynamic::long_struct_appendable[2] =
    [0] struct Dynamic::long_struct_appendable
      Int32 my_long = 1
    [1] struct Dynamic::long_struct_appendable
      Int32 my_long = 2
  Dynamic::inner_union_seq_appendable my_inner_union_seq Dynamic::inner_union_appendable[2] =
    [0] union Dynamic::inner_union_appendable
      Int32 discriminator = -2147483647
      Boolean b = true
    [1] union Dynamic::inner_union_appendable
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = true
        [1] = false
  Dynamic::enum_arr my_enum_arr Dynamic::EnumType[2] =
    [0] = V1
    [1] = V2
  Int8 my_int8 = 1
  UInt8 my_uint8 = 2
  Int16 my_short = 3
  UInt16 my_ushort = 4
  Int32 my_long = 5
  UInt32 my_ulong = 6
  Int64 my_longlong = 7
  UInt64 my_ulonglong = 8
  Float32 my_float = 9.25
  Float64 my_double = 10.5
  Float128 my_longdouble = 11.075
  Boolean my_boolean = true
  Byte my_byte = 0x0c
  Char8 my_char = 'd'
  Char16 my_wchar = L'e'
  String8 my_string = "Hello"
  WString16 my_wstring = L"World"
  Dynamic::bool_seq my_alias_seq Boolean[2] =
    [0] = true
    [1] = false
  Dynamic::char_arr my_alias_array Char8[2] =
    [0] = 'a'
    [1] = 'b'
  Sequence my_anon_seq Dynamic::EnumType[2] =
    [0] = V2
    [1] = V1
  Array my_anon_arr Int16[2] =
    [0] = 5
    [1] = 6

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder my_struct_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_my_struct_mutable_XCDR2.log -DCPSPendingTimeout 3 
reader_my_struct_mutable_XCDR2 PID: 10498 started at 2023-04-28 16:47:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub my_struct_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_my_struct_mutable_XCDR2.log -DCPSPendingTimeout 3 
writer_my_struct_mutable_XCDR2 PID: 10499 started at 2023-04-28 16:47:11
struct Dynamic::my_struct_mutable
  Dynamic::long_struct_arr_mutable my_long_struct_arr Dynamic::long_struct_mutable[2] =
    [0] struct Dynamic::long_struct_mutable
      Int32 my_long = 1
    [1] struct Dynamic::long_struct_mutable
      Int32 my_long = 2
  Dynamic::inner_union_seq_mutable my_inner_union_seq Dynamic::inner_union_mutable[2] =
    [0] union Dynamic::inner_union_mutable
      Int32 discriminator = -2147483647
      Boolean b = true
    [1] union Dynamic::inner_union_mutable
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = true
        [1] = false
  Dynamic::enum_arr my_enum_arr Dynamic::EnumType[2] =
    [0] = V1
    [1] = V2
  Int8 my_int8 = 1
  UInt8 my_uint8 = 2
  Int16 my_short = 3
  UInt16 my_ushort = 4
  Int32 my_long = 5
  UInt32 my_ulong = 6
  Int64 my_longlong = 7
  UInt64 my_ulonglong = 8
  Float32 my_float = 9.25
  Float64 my_double = 10.5
  Float128 my_longdouble = 11.075
  Boolean my_boolean = true
  Byte my_byte = 0x0c
  Char8 my_char = 'd'
  Char16 my_wchar = L'e'
  String8 my_string = "Hello"
  WString16 my_wstring = L"World"
  Dynamic::bool_seq my_alias_seq Boolean[2] =
    [0] = true
    [1] = false
  Dynamic::char_arr my_alias_array Char8[2] =
    [0] = 'a'
    [1] = 'b'
  Sequence my_anon_seq Dynamic::EnumType[2] =
    [0] = V2
    [1] = V1
  Array my_anon_arr Int16[2] =
    [0] = 5
    [1] = 6

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_struct_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_struct_final_XCDR1.log -DCPSPendingTimeout 3 
reader_outer_struct_final_XCDR1 PID: 10510 started at 2023-04-28 16:47:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_struct_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_struct_final_XCDR1.log -DCPSPendingTimeout 3 
writer_outer_struct_final_XCDR1 PID: 10511 started at 2023-04-28 16:47:11
struct Dynamic::outer_struct_final
  struct Dynamic::inner_struct_final is
    union Dynamic::inner_union_final iu
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = false
        [1] = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_struct_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_struct_final_XCDR2.log -DCPSPendingTimeout 3 
reader_outer_struct_final_XCDR2 PID: 10522 started at 2023-04-28 16:47:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_struct_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_struct_final_XCDR2.log -DCPSPendingTimeout 3 
writer_outer_struct_final_XCDR2 PID: 10523 started at 2023-04-28 16:47:12
struct Dynamic::outer_struct_final
  struct Dynamic::inner_struct_final is
    union Dynamic::inner_union_final iu
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = false
        [1] = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_struct_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_struct_appendable_XCDR1.log -DCPSPendingTimeout 3 
reader_outer_struct_appendable_XCDR1 PID: 10534 started at 2023-04-28 16:47:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_struct_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_struct_appendable_XCDR1.log -DCPSPendingTimeout 3 
writer_outer_struct_appendable_XCDR1 PID: 10535 started at 2023-04-28 16:47:12
struct Dynamic::outer_struct_appendable
  struct Dynamic::inner_struct_appendable is
    union Dynamic::inner_union_appendable iu
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = false
        [1] = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_struct_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_struct_appendable_XCDR2.log -DCPSPendingTimeout 3 
reader_outer_struct_appendable_XCDR2 PID: 10546 started at 2023-04-28 16:47:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_struct_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_struct_appendable_XCDR2.log -DCPSPendingTimeout 3 
writer_outer_struct_appendable_XCDR2 PID: 10547 started at 2023-04-28 16:47:12
struct Dynamic::outer_struct_appendable
  struct Dynamic::inner_struct_appendable is
    union Dynamic::inner_union_appendable iu
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = false
        [1] = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_struct_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_struct_mutable_XCDR2.log -DCPSPendingTimeout 3 
reader_outer_struct_mutable_XCDR2 PID: 10558 started at 2023-04-28 16:47:13
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_struct_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_struct_mutable_XCDR2.log -DCPSPendingTimeout 3 
writer_outer_struct_mutable_XCDR2 PID: 10559 started at 2023-04-28 16:47:13
struct Dynamic::outer_struct_mutable
  struct Dynamic::inner_struct_mutable is
    union Dynamic::inner_union_mutable iu
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = false
        [1] = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder inner_union_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_inner_union_final_XCDR1.log -DCPSPendingTimeout 3 
reader_inner_union_final_XCDR1 PID: 10570 started at 2023-04-28 16:47:13
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub inner_union_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_inner_union_final_XCDR1.log -DCPSPendingTimeout 3 
writer_inner_union_final_XCDR1 PID: 10571 started at 2023-04-28 16:47:13
union Dynamic::inner_union_final
  Int32 discriminator = -2147483647
  Boolean b = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder inner_union_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_inner_union_final_XCDR2.log -DCPSPendingTimeout 3 
reader_inner_union_final_XCDR2 PID: 10582 started at 2023-04-28 16:47:13
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub inner_union_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_inner_union_final_XCDR2.log -DCPSPendingTimeout 3 
writer_inner_union_final_XCDR2 PID: 10583 started at 2023-04-28 16:47:13
union Dynamic::inner_union_final
  Int32 discriminator = -2147483647
  Boolean b = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder inner_union_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_inner_union_appendable_XCDR1.log -DCPSPendingTimeout 3 
reader_inner_union_appendable_XCDR1 PID: 10596 started at 2023-04-28 16:47:13
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub inner_union_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_inner_union_appendable_XCDR1.log -DCPSPendingTimeout 3 
writer_inner_union_appendable_XCDR1 PID: 10597 started at 2023-04-28 16:47:13
union Dynamic::inner_union_appendable
  Int32 discriminator = -2147483647
  Boolean b = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder inner_union_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_inner_union_appendable_XCDR2.log -DCPSPendingTimeout 3 
reader_inner_union_appendable_XCDR2 PID: 10608 started at 2023-04-28 16:47:13
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub inner_union_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_inner_union_appendable_XCDR2.log -DCPSPendingTimeout 3 
writer_inner_union_appendable_XCDR2 PID: 10609 started at 2023-04-28 16:47:13
union Dynamic::inner_union_appendable
  Int32 discriminator = -2147483647
  Boolean b = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder inner_union_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_inner_union_mutable_XCDR2.log -DCPSPendingTimeout 3 
reader_inner_union_mutable_XCDR2 PID: 10620 started at 2023-04-28 16:47:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub inner_union_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_inner_union_mutable_XCDR2.log -DCPSPendingTimeout 3 
writer_inner_union_mutable_XCDR2 PID: 10621 started at 2023-04-28 16:47:14
union Dynamic::inner_union_mutable
  Int32 discriminator = -2147483647
  Boolean b = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_union_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_union_final_XCDR1.log -DCPSPendingTimeout 3 
reader_outer_union_final_XCDR1 PID: 10632 started at 2023-04-28 16:47:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_union_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_union_final_XCDR1.log -DCPSPendingTimeout 3 
writer_outer_union_final_XCDR1 PID: 10633 started at 2023-04-28 16:47:14
union Dynamic::outer_union_final
  Dynamic::EnumType discriminator = V1
  struct Dynamic::inner_struct_final is
    union Dynamic::inner_union_final iu
      Int32 discriminator = 1
      Int32 l = 5

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_union_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_union_final_XCDR2.log -DCPSPendingTimeout 3 
reader_outer_union_final_XCDR2 PID: 10644 started at 2023-04-28 16:47:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_union_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_union_final_XCDR2.log -DCPSPendingTimeout 3 
writer_outer_union_final_XCDR2 PID: 10645 started at 2023-04-28 16:47:14
union Dynamic::outer_union_final
  Dynamic::EnumType discriminator = V1
  struct Dynamic::inner_struct_final is
    union Dynamic::inner_union_final iu
      Int32 discriminator = 1
      Int32 l = 5

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_union_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_union_appendable_XCDR1.log -DCPSPendingTimeout 3 
reader_outer_union_appendable_XCDR1 PID: 10656 started at 2023-04-28 16:47:15
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_union_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_union_appendable_XCDR1.log -DCPSPendingTimeout 3 
writer_outer_union_appendable_XCDR1 PID: 10657 started at 2023-04-28 16:47:15
union Dynamic::outer_union_appendable
  Dynamic::EnumType discriminator = V1
  struct Dynamic::inner_struct_appendable is
    union Dynamic::inner_union_appendable iu
      Int32 discriminator = 1
      Int32 l = 5

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_union_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_union_appendable_XCDR2.log -DCPSPendingTimeout 3 
reader_outer_union_appendable_XCDR2 PID: 10668 started at 2023-04-28 16:47:15
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_union_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_union_appendable_XCDR2.log -DCPSPendingTimeout 3 
writer_outer_union_appendable_XCDR2 PID: 10669 started at 2023-04-28 16:47:15
union Dynamic::outer_union_appendable
  Dynamic::EnumType discriminator = V1
  struct Dynamic::inner_struct_appendable is
    union Dynamic::inner_union_appendable iu
      Int32 discriminator = 1
      Int32 l = 5

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_union_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_union_mutable_XCDR2.log -DCPSPendingTimeout 3 
reader_outer_union_mutable_XCDR2 PID: 10680 started at 2023-04-28 16:47:15
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_union_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_union_mutable_XCDR2.log -DCPSPendingTimeout 3 
writer_outer_union_mutable_XCDR2 PID: 10681 started at 2023-04-28 16:47:15
union Dynamic::outer_union_mutable
  Dynamic::EnumType discriminator = V1
  struct Dynamic::inner_struct_mutable is
    union Dynamic::inner_union_mutable iu
      Int32 discriminator = 1
      Int32 l = 5

test PASSED.
20 tests ran

auto_run_tests_finished: tests/DCPS/DynamicTypes/run_test.pl Time:5s Result:0

==============================================================================

tests/DCPS/UnregisterType/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 10693
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/UnregisterType/unregister_type_test -DCPSBit 0 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile unregister_type_test.log -DCPSPendingTimeout 3 
unregister_type_test PID: 10700 started at 2023-04-28 16:47:16
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/UnregisterType/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/DataRepresentation/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DataRepresentation/DataRepresentation -DCPSConfigFile rtps_disc.ini -DCPSPendingTimeout 3 
test PID: 10704 started at 2023-04-28 16:47:16
(10704|10704) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
INFO: register_type[DefaultType]
INFO: create_topic[DefaultType Topic]
(10704|10704) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: create_topic[DefaultType Topic XCDR2]
(10704|10704) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: create_topic[DefaultType Topic XML]
(10704|10704) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: unregister_type[DefaultType]
INFO: register_type[Xcdr2Xcdr1Type]
INFO: create_topic[Xcdr2Xcdr1Type Topic]
INFO: create_topic[Xcdr2Xcdr1Type Topic XCDR2]
INFO: unregister_type[Xcdr2Xcdr1Type]
INFO: register_type[Xcdr1Type]
INFO: create_topic[Xcdr1Type Topic]
INFO: create_topic[Xcdr1Type Topic XCDR2]
INFO: create_topic[Xcdr1Type Topic XML]
INFO: unregister_type[Xcdr1Type]
INFO: register_type[Xcdr2Type]
INFO: create_topic[Xcdr2Type Topic]
INFO: create_topic[Xcdr2Type Topic XCDR2]
INFO: unregister_type[Xcdr2Type]
INFO: register_type[XmlType]
INFO: create_topic[XmlType Topic]
(10704|10704) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 (10704|10704) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: unregister_type[XmlType]
INFO: 98 of 98 cases passed
test PASSED.

auto_run_tests_finished: tests/DCPS/DataRepresentation/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/DataRepresentation/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DataRepresentation/DataRepresentation -DCPSConfigFile rtps_disc.ini -DCPSPendingTimeout 3 
test PID: 10711 started at 2023-04-28 16:47:16
(10711|10711) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
INFO: register_type[DefaultType]
INFO: create_topic[DefaultType Topic]
(10711|10711) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: create_topic[DefaultType Topic XCDR2]
(10711|10711) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: create_topic[DefaultType Topic XML]
(10711|10711) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: unregister_type[DefaultType]
INFO: register_type[Xcdr2Xcdr1Type]
INFO: create_topic[Xcdr2Xcdr1Type Topic]
INFO: create_topic[Xcdr2Xcdr1Type Topic XCDR2]
INFO: unregister_type[Xcdr2Xcdr1Type]
INFO: register_type[Xcdr1Type]
INFO: create_topic[Xcdr1Type Topic]
INFO: create_topic[Xcdr1Type Topic XCDR2]
INFO: create_topic[Xcdr1Type Topic XML]
INFO: unregister_type[Xcdr1Type]
INFO: register_type[Xcdr2Type]
INFO: create_topic[Xcdr2Type Topic]
INFO: create_topic[Xcdr2Type Topic XCDR2]
INFO: unregister_type[Xcdr2Type]
INFO: register_type[XmlType]
INFO: create_topic[XmlType Topic]
(10711|10711) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 (10711|10711) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: unregister_type[XmlType]
INFO: 98 of 98 cases passed
test PASSED.

auto_run_tests_finished: tests/DCPS/DataRepresentation/run_test.pl rtps_disc Time:0s Result:0

==============================================================================

tests/DCPS/HelloWorld/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 10718
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/HelloWorld/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 10725 started at 2023-04-28 16:47:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/HelloWorld/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 10726 started at 2023-04-28 16:47:16
(10718|10718) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/HelloWorld/run_test.pl Time:3s Result:0

==============================================================================

tests/DCPS/HelloWorld/run_test.pl ini=rtps.ini #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/HelloWorld/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSConfigFile rtps.ini  -DCPSPendingTimeout 3 
publisher PID: 10742 started at 2023-04-28 16:47:19
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/HelloWorld/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSConfigFile rtps.ini  -DCPSPendingTimeout 3 
subscriber PID: 10743 started at 2023-04-28 16:47:19
test PASSED.

auto_run_tests_finished: tests/DCPS/HelloWorld/run_test.pl ini=rtps.ini Time:2s Result:0

==============================================================================

tests/DCPS/ZeroEnum/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroEnum/publisher -DCPSDebugLevel 4 -DCPSConfigFile rtps.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 10755 started at 2023-04-28 16:47:21
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroEnum/subscriber -DCPSDebugLevel 4 -DCPSConfigFile rtps.ini  -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 10756 started at 2023-04-28 16:47:21
test PASSED.

auto_run_tests_finished: tests/DCPS/ZeroEnum/run_test.pl Time:2s Result:0

==============================================================================

tests/DCPS/TypeSupportPlugin/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TypeSupportPlugin/TypeSupportPluginUser  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile test.log -DCPSPendingTimeout 3 
test PID: 10768 started at 2023-04-28 16:47:23
test PASSED.

auto_run_tests_finished: tests/DCPS/TypeSupportPlugin/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/DynamicData/run_test.pl dyn=dw ini=rtps.ini #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicData/publisher -dynamic -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSConfigFile rtps.ini  -DCPSPendingTimeout 3 
publisher PID: 10775 started at 2023-04-28 16:47:23
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicData/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSConfigFile rtps.ini  -DCPSPendingTimeout 3 
subscriber PID: 10776 started at 2023-04-28 16:47:23
test PASSED.

auto_run_tests_finished: tests/DCPS/DynamicData/run_test.pl dyn=dw ini=rtps.ini Time:2s Result:0

==============================================================================

tests/DCPS/DynamicData/run_test.pl dyn=dr ini=rtps.ini #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicData/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSConfigFile rtps.ini  -DCPSPendingTimeout 3 
publisher PID: 10788 started at 2023-04-28 16:47:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicData/subscriber -dynamic -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSConfigFile rtps.ini  -DCPSPendingTimeout 3 
subscriber PID: 10789 started at 2023-04-28 16:47:26
test PASSED.

auto_run_tests_finished: tests/DCPS/DynamicData/run_test.pl dyn=dr ini=rtps.ini Time:3s Result:0

End #

Fri Apr 28 21:47:28 2023 UTC