Daily Build Log


Begin #

Wed Apr 26 20:19:43 2023 UTC


Setup #

GIT

Wed Apr 26 20:19:43 2023 UTC

Running: git  log -1
commit be83ddb4cab2ee4eaa9d0adeb3584ce926bbb741
Author: Justin Wilson <wilsonj@objectcomputing.com>
Date:   Wed Apr 26 13:03:08 2023 -0500

    Merge pull request #4085 from jrw972/internal-dds-improvements
    
    Internal DDS improvements
cannot remove id 2392080 (Invalid argument)
cannot remove id 2424849 (Invalid argument)
cannot remove id 6586386 (Invalid argument)
cannot remove id 6619155 (Invalid argument)
cannot remove id 9732117 (Invalid argument)
cannot remove id 9764886 (Invalid argument)
cannot remove id 12877847 (Invalid argument)
cannot remove id 12910616 (Invalid argument)
cannot remove id 13926425 (Invalid argument)
cannot remove id 13959194 (Invalid argument)
cannot remove id 124649499 (Invalid argument)
cannot remove id 138969117 (Invalid argument)
cannot remove id 146079774 (Invalid argument)

Config #

print OS Version

Wed Apr 26 20:19:44 2023 UTC

Hostname

flea

OS version (uname -a)

Linux flea 2.6.32-642.el6.x86_64 #1 SMP Wed Apr 13 00:51:26 EDT 2016 x86_64 x86_64 x86_64 GNU/Linux

Linux Standard Base and Distribution information (lsb_release -a)

LSB Version: :base-4.0-amd64:base-4.0-noarch:core-4.0-amd64:core-4.0-noarch:graphics-4.0-amd64:graphics-4.0-noarch:printing-4.0-amd64:printing-4.0-noarch Distributor ID: RedHatEnterpriseServer Description: Red Hat Enterprise Linux Server release 6.8 (Santiago) Release: 6.8 Codename: Santiago

RedHat Linux Version (/etc/redhat-release)

Red Hat Enterprise Linux Server release 6.8 (Santiago)

Linux Kernel Version (/proc/version)

Linux version 2.6.32-642.el6.x86_64 (mockbuild@x86-033.build.eng.bos.redhat.com) (gcc version 4.4.7 20120313 (Red Hat 4.4.7-17) (GCC) ) #1 SMP Wed Apr 13 00:51:26 EDT 2016

IP network address information (ip addr show)

1: lo: <LOOPBACK,UP,LOWER_UP> mtu 65536 qdisc noqueue state UNKNOWN link/loopback 00:00:00:00:00:00 brd 00:00:00:00:00:00 inet 127.0.0.1/8 scope host lo inet6 ::1/128 scope host valid_lft forever preferred_lft forever 2: eth0: <BROADCAST,MULTICAST,UP,LOWER_UP> mtu 1500 qdisc mq state UP qlen 1000 link/ether 00:0c:29:7a:35:f2 brd ff:ff:ff:ff:ff:ff inet 10.201.200.79/22 brd 10.201.203.255 scope global eth0 inet6 fe80::20c:29ff:fe7a:35f2/64 scope link valid_lft forever preferred_lft forever 3: virbr0: <BROADCAST,MULTICAST,UP,LOWER_UP> mtu 1500 qdisc noqueue state UNKNOWN link/ether 52:54:00:73:46:ea brd ff:ff:ff:ff:ff:ff inet 192.168.122.1/24 brd 192.168.122.255 scope global virbr0 4: virbr0-nic: <BROADCAST,MULTICAST> mtu 1500 qdisc noop state DOWN qlen 500 link/ether 52:54:00:73:46:ea brd ff:ff:ff:ff:ff:ff

Disk space information (df -k)

Filesystem 1K-blocks Used Available Use% Mounted on /dev/mapper/vg_flea-lv_root 14225776 7627432 5869052 57% / /dev/sdb1 41153840 37550484 1506208 97% /tao_builds

Processor info

model name : Intel(R) Xeon(R) CPU X5550 @ 2.67GHz model name : Intel(R) Xeon(R) CPU X5550 @ 2.67GHz

Memory info

MemTotal: 3924416 kB

Approximate BogoMIPS (larger means faster)

15790.3209411765

print Environment Variables

Wed Apr 26 20:19:45 2023 UTC

Environment:

ACE_ROOT=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE ACE_TEST_LOG_STUCK_STACKS=1 ACE_WORKSPACE=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0 AUTOBUILD_ROOT=/distcomp/nightly/autobuild BOOST_ROOT=/usr BUILD_CAUSE=UPSTREAMTRIGGER BUILD_CAUSE_UPSTREAMTRIGGER=true BUILD_DISPLAY_NAME=#1584 BUILD_ID=1584 BUILD_NUMBER=1584 BUILD_TAG=jenkins-dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1-1584 BUILD_URL=http://jenkins.ociweb.com:8080/job/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/1584/ CI=true DDS_ROOT=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS EXECUTOR_NUMBER=0 GIT_BRANCH=origin/master GIT_CHECKOUT_DIR=OpenDDS GIT_COMMIT=be83ddb4cab2ee4eaa9d0adeb3584ce926bbb741 GIT_PREVIOUS_COMMIT=6e26024901fb893d0ceb1390705a7243f997b7a7 GIT_PREVIOUS_SUCCESSFUL_COMMIT=6e26024901fb893d0ceb1390705a7243f997b7a7 GIT_URL=git://git.ociweb.com/git/opendds/OpenDDS.git HOME=/home/jenkins HUDSON_COOKIE=c926abdd-9b98-4f06-9259-44cd0d9c7f4a HUDSON_HOME=/tao_builds/jenkins HUDSON_SERVER_COOKIE=d5d63b175c08171a HUDSON_URL=http://jenkins.ociweb.com:8080/ JAVA_HOME=/usr JENKINS_HOME=/tao_builds/jenkins JENKINS_SERVER_COOKIE=d5d63b175c08171a JENKINS_URL=http://jenkins.ociweb.com:8080/ JOB_BASE_NAME=dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1 JOB_DISPLAY_URL=http://jenkins.ociweb.com:8080/job/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/display/redirect JOB_NAME=dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1 JOB_URL=http://jenkins.ociweb.com:8080/job/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/ LD_LIBRARY_PATH=/usr/sfw/lib:/usr/local/lib:/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/lib:/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/lib: LOGNAME=jenkins MAIL=/var/mail/jenkins MPC_ROOT=/tao_builds/jenkins/workspace/mpc_flea NIGHTLY_ROOT=/distcomp/nightly NODE_LABELS=boost flea git jdk16 linux NODE_NAME=flea OPENDDS_RTPS_DEFAULT_D0=132 PATH=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin:/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin:/usr/local/bin:/usr/bin:/bin:/usr/sbin:/sbin:/usr/ccs/bin: PWD=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1 ROOT_BUILD_CAUSE=SCMTRIGGER ROOT_BUILD_CAUSE_SCMTRIGGER=true RUN_ARTIFACTS_DISPLAY_URL=http://jenkins.ociweb.com:8080/job/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/1584/display/redirect?page=artifacts RUN_CHANGES_DISPLAY_URL=http://jenkins.ociweb.com:8080/job/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/1584/display/redirect?page=changes RUN_DISPLAY_URL=http://jenkins.ociweb.com:8080/job/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/1584/display/redirect RUN_TESTS_DISPLAY_URL=http://jenkins.ociweb.com:8080/job/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/1584/display/redirect?page=tests SHELL=/bin/bash SHLVL=1 SSH_CLIENT=10.201.200.26 51908 22 SSH_CONNECTION=10.201.200.26 51908 10.201.200.79 22 TAO_ROOT=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/TAO USER=jenkins WORKSPACE=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1 WORKSPACE_TMP=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1@tmp

PrintACEConfig

Wed Apr 26 20:19:45 2023 UTC

XML Config file: /tmp/_3Mau0Bz3u.xml
================ Autobuild ChangeLog ================
================ ACE OCIChangeLog ================
Fri Feb  3 16:15:46 UTC 2023  Adam Mitz  <mitza@objectcomputing.com>
================ TAO OCIChangeLog ================
Fri Feb  3 16:15:46 UTC 2023  Adam Mitz  <mitza@objectcomputing.com>
================ config.h ================
#include "ace/config-linux.h"
================ default.features ================
ipv6=1
================ platform_macros.GNU ================
debug=1
optimize=0
ipv6=1

include $(ACE_ROOT)/include/makeinclude/platform_linux.GNU

print make Version

Wed Apr 26 20:19:45 2023 UTC

Make version (make -v)

GNU Make 3.81 Copyright (C) 2006 Free Software Foundation, Inc. This is free software; see the source for copying conditions. There is NO warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. This program built for x86_64-redhat-linux-gnu

CMake Version ("/tao_builds/taoadmin/cmake/bin/cmake" --version)

Wed Apr 26 20:19:45 2023 UTC

cmake version 3.15.1

CMake suite maintained and supported by Kitware (kitware.com/cmake).

check compiler gcc

Wed Apr 26 20:19:46 2023 UTC

================ Compiler version ================
Using built-in specs.
Target: x86_64-redhat-linux
Configured with: ../configure --prefix=/usr --mandir=/usr/share/man --infodir=/usr/share/info --with-bugurl=http://bugzilla.redhat.com/bugzilla --enable-bootstrap --enable-shared --enable-threads=posix --enable-checking=release --with-system-zlib --enable-__cxa_atexit --disable-libunwind-exceptions --enable-gnu-unique-object --enable-languages=c,c++,objc,obj-c++,java,fortran,ada --enable-java-awt=gtk --disable-dssi --with-java-home=/usr/lib/jvm/java-1.5.0-gcj-1.5.0.0/jre --enable-libgcj-multifile --enable-java-maintainer-mode --with-ecj-jar=/usr/share/java/eclipse-ecj.jar --disable-libjava-multilib --with-ppl --with-cloog --with-tune=generic --with-arch_32=i686 --build=x86_64-redhat-linux
Thread model: posix
gcc version 4.4.7 20120313 (Red Hat 4.4.7-17) (GCC) 
GNU ld version 2.20.51.0.2-5.44.el6 20100205

PrintAutobuildConfig

Wed Apr 26 20:19:46 2023 UTC

================ Autobuild file /tmp/_3Mau0Bz3u.xml ================
<autobuild>
  <configuration>
    <environment name="ACE_ROOT"        value="/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE"/>
    <variable name="cmake_command" value="/tao_builds/taoadmin/cmake/bin/cmake"/>
    <environment name="DDS_ROOT"        value="/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS"/>
    <environment name="LD_LIBRARY_PATH" value="/usr/sfw/lib:/usr/local/lib:/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/lib:/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/lib:"/>
    <environment name="OPENDDS_RTPS_DEFAULT_D0" value="132"/>
    <environment name="PATH"            value="/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin:/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin:/usr/local/bin:/usr/bin:/bin:/usr/sbin:/sbin:/usr/ccs/bin:"/>
    <environment name="TAO_ROOT"        value="/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/TAO"/>
    <environment name="ACE_TEST_LOG_STUCK_STACKS"  value="1"/>
    <variable    name="build_name"      value="gcc_i1d1o0"/>
    <variable    name="creator"         value="mitza"/>
    <variable    name="log_file"        value="gcc_i1d1o0.log"/>
    <variable    name="log_root"        value="/www-docs/autobuild_logs/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1"/>
    <variable    name="project_root"    value="/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS"/>
    <variable    name="root"            value="/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1"/>
    <variable    name="junit_xml_output" value="Tests"/>
  </configuration>

  <!-- Build preparation -->
  <command name="status"             options="on"/>
  <command name="log"                options="on"/>
  <command name="git"                options="dir=OpenDDS log -1"/>
  <command name="rem_sems"/>
  <command name="file_manipulation"  options="type=create file=setenv.sh">
export ACE_ROOT="${ACE_WORKSPACE}/ACE"
export DDS_ROOT="${WORKSPACE}/OpenDDS"
export LD_LIBRARY_PATH="/usr/sfw/lib:/usr/local/lib:${WORKSPACE}/OpenDDS/lib:${ACE_WORKSPACE}/ACE/lib:"
export MPC_ROOT="/home/taoadmin/MPC"
export PATH="${WORKSPACE}/OpenDDS/bin:${ACE_WORKSPACE}/ACE/bin:/usr/local/bin:/usr/bin:/bin:/usr/sbin:/sbin:/usr/ccs/bin:"
export TAO_ROOT="${ACE_WORKSPACE}/TAO"
export ACE_TEST_LOG_STUCK_STACKS=1
export OPENDDS_RTPS_DEFAULT_D0=132
  </command>
  <command name="file_manipulation"  options="type=create file=OpenDDS/user_macros.GNU">
content_subscription=0
ownership_profile=0
object_model_profile=0
persistence_profile=0
  </command>
  <command name="print_os_version"/>
  <command name="print_env_vars"/>
  <command name="print_ace_config"   options="ACE=OCIChangeLog TAO=OCIChangeLog CIAO=OCIChangeLog XML_URL=https://svn.ociweb.com/viewvc/nightly"/>
  <command name="print_make_version"/>
  <command name="print_cmake_version"/>
  <command name="check_compiler"     options="gcc"/>
  <command name="print_autobuild_config"/>
  <command name="generate_workspace" options="-type gnuace DDS.mwc -features content_subscription=0,ownership_profile=0,object_model_profile=0,persistence_profile=0"/>

  <!-- Build dependencies -->
  <command name="make" options="-s -k -j2 find=*akefile dir=. depend"/>
  <command name="cmake" dir="OpenDDS/tests/googletest">
    <arg name="add_config_args">-Wno-deprecated</arg>
    <arg name="var_CMAKE_INSTALL_PREFIX">install</arg>
    <arg name="var_CMAKE_INSTALL_LIBDIR">lib</arg>
    <arg name="add_build_args">--target install -- -s -k -j2</arg>
  </command>

  <!-- Build targets -->
  <command name="make" options="-s -k -j2 find=*akefile dir=."/>

  <!-- Post build -->
  <command name="auto_run_tests"  options="script_path=tests dir=. -Config DDS_NO_OBJECT_MODEL_PROFILE -Config DDS_NO_OWNERSHIP_PROFILE -Config DDS_NO_PERSISTENCE_PROFILE -Config DDS_NO_CONTENT_SUBSCRIPTION -Config IPV6"/>
  <command name="log"             options="off"/>
  <command name="process_logs"    options="copy=1 prettify=1"/>
  <command name="status"          options="off"/>
</autobuild>

Setup #

Generate Workspaces

Wed Apr 26 20:19:46 2023 UTC

Running: perl "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin/mwc.pl" -type gnuace DDS.mwc -features content_subscription=0,ownership_profile=0,object_model_profile=0,persistence_profile=0
MPC_ROOT was set to /tao_builds/jenkins/workspace/mpc_flea.
Using .../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin/MakeProjectCreator/config/MPC.cfg
CIAO_ROOT was used in the configuration file, but was not defined.
DANCE_ROOT was used in the configuration file, but was not defined.
Generating 'gnuace' output using DDS.mwc
Skipping ishapes (ishapes.mpc); it requires qt5.
Skipping Bench_dashboard_summarizer (Bench_dashboard_summarizer.mpc); it avoids no_cxx11.
Skipping Bench_Common (Bench_Common.mpc); it avoids no_cxx11.
Skipping Bench_udp_latency (Bench_udp_latency.mpc); it avoids no_cxx11.
Skipping Bench_Idl (Bench_Idl.mpc); it avoids no_cxx11.
Skipping Bench_Worker (Bench_Worker.mpc); it avoids no_cxx11.
Skipping Unit_Tests_ScenarioAllocationTest (unit_tests.mpc); it avoids no_rapidjson.
Skipping Bench_test_controller (Bench_test_controller.mpc); it avoids no_cxx11.
Skipping Bench_Builder (Bench_Builder.mpc); it avoids no_cxx11.
Skipping Bench_tcp_latency (Bench_tcp_latency.mpc); it avoids no_cxx11.
Skipping Bench_report_parser (Bench_report_parser.mpc); it avoids no_cxx11.
Skipping Bench_node_controller (Bench_node_controller.mpc); it avoids no_cxx11.
Skipping dissector (dissector.mpc); it requires wireshark_any.
Skipping RtpsRelay (RtpsRelay.mpc); it requires xerces.
Skipping ExcelRTD (ExcelRTD.mpc); it requires qt5.
Skipping RtpsRelayLib (RtpsRelayLib.mpc); it avoids no_cxx11.
Skipping Monitor_App (Monitor.mpc); it requires qt5.
Skipping OpenDDS_Security (DdsSecurity.mpc); it requires xerces.
Skipping OpenDDS_XML_QOS_XSC_Generation (qos_xml_handler.mpc); it requires xsc.
Skipping OpenDDS_QOS_XML_XSC_Handler (qos_xml_handler.mpc); it requires xerces.
Skipping OpenDDS_Corba (OpenDDS_Corba.mpc); it avoids no_opendds_safety_profile.
Skipping DDS_Ownership_Idl (Ownership.mpc); it requires ownership_profile.
Skipping DDS_Ownership_Publisher (Ownership.mpc); it requires ownership_profile.
Skipping DDS_Ownership_Subscriber (Ownership.mpc); it requires ownership_profile.
Skipping MetaStructTest (MetaStructTest.mpc); it requires content_subscription.
Skipping ParticipantLocationTopic (ParticipantLocation.mpc); it requires xerces.
Skipping UnionTopic (UnionTopic.mpc); it requires ownership_profile.
Skipping Presentation (Presentation.mpc); it requires object_model_profile.
Skipping DDS_Cxx11_Messenger_Subscriber (DDS_Cxx11_Messenger_Subscriber.mpc); it avoids no_cxx11.
Skipping DDS_Cxx11_Messenger_Idl (DDS_Cxx11_Messenger_Idl.mpc); it avoids no_cxx11.
Skipping DDS_Cxx11_Messenger_Publisher (DDS_Cxx11_Messenger_Publisher.mpc); it avoids no_cxx11.
Skipping SubscriberCycle_Subscriber (SubscriberCycle.mpc); it requires content_subscription.
Skipping TopicExpressionTest (TopicExpressionTest.mpc); it requires content_subscription.
Skipping FilterExpressionTest (FilterExpressionTest.mpc); it requires content_subscription.
Skipping DDS_SampleLost_Publisher (SampleLost.mpc); it requires persistence_profile.
Skipping DDS_SampleLost_Subscriber (SampleLost.mpc); it requires persistence_profile.
Skipping keywords_cpp11 (keywords_cpp11.mpc); it avoids no_cxx11.
Skipping anonymous_types_cpp11 (anonymous_types_cpp11.mpc); it avoids no_cxx11.
Skipping cxx11_idl_test2_lib (cxx11_idl_test2_lib.mpc); it avoids no_cxx11.
Skipping cxx11_idl_test3_lib (cxx11_idl_test3_lib.mpc); it avoids no_cxx11.
Skipping cxx11_idl_test3_main (cxx11_idl_test3_main.mpc); it avoids no_cxx11.
Skipping cxx11_idl_test1_lib (cxx11_idl_test1_lib.mpc); it avoids no_cxx11.
Skipping cxx11_idl_test_nested_types_lib (cxx11_idl_test_nested_types_lib.mpc); it avoids no_cxx11.
Skipping cxx11_idl_test1_main (cxx11_idl_test1_main.mpc); it avoids no_cxx11.
Skipping Compiler_Typecode_C++11 (typecode_C++11.mpc); it avoids no_cxx11.
Skipping VreadVwriteTest (VreadVwriteTest.mpc); it avoids no_rapidjson.
Skipping Compiler_TryConstruct_C++11 (TryConstruct_C++11.mpc); it avoids no_cxx11.
Skipping union_defaults_C++11 (union_defaults_C++11.mpc); it avoids no_cxx11.
Skipping underscore_fields (underscore_fields.mpc); it avoids no_cxx11.
Skipping explicit_ints_cpp11 (explicit_ints_cpp11.mpc); it avoids no_cxx11.
Skipping char_literals_cpp11 (char_literals_cpp11.mpc); it avoids no_cxx11.
Skipping DDS_GroupPresentation_Idl (GroupPresentation.mpc); it requires object_model_profile.
Skipping DDS_GroupPresentation_Publisher (GroupPresentation.mpc); it requires object_model_profile.
Skipping DDS_GroupPresentation_Subscriber (GroupPresentation.mpc); it requires object_model_profile.
Skipping DDS_PersistentDurability_Publisher (PersistentDurability.mpc); it requires persistence_profile.
Skipping DDS_PersistentDurability_Subscriber (PersistentDurability.mpc); it requires persistence_profile.
Skipping StaticDiscoveryTest (StaticDiscoveryTest.mpc); it requires ownership_profile.
Skipping QueryCondition (QueryCondition.mpc); it requires content_subscription.
Skipping ContentFilteredTopic (ContentFilteredTopic.mpc); it requires content_subscription.
Skipping DDS_Inconsistent_Qos_Inconsistent_Qos (Inconsistent_Qos.mpc); it requires xerces.
Skipping DDS_TransientDurability_Publisher (TransientDurability.mpc); it requires persistence_profile.
Skipping DDS_TransientDurability_Subscriber (TransientDurability.mpc); it requires persistence_profile.
Skipping RtpsRelayPublisher (Smoke.mpc); it avoids no_cxx11.
Skipping RtpsRelaySubscriber (Smoke.mpc); it avoids no_cxx11.
Skipping RtpsRelayMonitor (Smoke.mpc); it avoids no_cxx11.
Skipping RtpsRelayMetaChecker (Smoke.mpc); it avoids no_cxx11.
Skipping StunClient (STUN.mpc); it avoids no_cxx11.
Skipping MultiTopicTest_Classic (MultiTopicTest_Classic.mpc); it requires content_subscription.
Skipping MultiTopicTest_Cpp11 (MultiTopicTest_Cpp11.mpc); it requires content_subscription.
Skipping qos_dumpXMLString (qos_dumpXMLString.mpc); it requires xerces.
Skipping qos_dump (qos_dump.mpc); it requires xerces.
Skipping Security_TopicWithoutPermissions (TopicWithoutPermissions.mpc); it requires xerces.
Skipping CheckInstance (CheckInstance.mpc); it requires xerces.
Skipping Security_IDL_Serialization (IDL_Serialization.mpc); it avoids no_opendds_security.
Skipping DDS_SecurityAttributesTest_Idl (SecurityAttributesTest.mpc); it avoids no_opendds_security.
Skipping DDS_SecurityAttributesTest_Publisher (SecurityAttributesTest.mpc); it requires xerces.
Skipping DDS_SecurityAttributesTest_Subscriber (SecurityAttributesTest.mpc); it requires xerces.
Skipping gov_gen (SecurityAttributesTest.mpc); it requires xerces.
Skipping ConcurrentAuthLimit (ConcurrentAuthLimit.mpc); it requires xerces.
Skipping SingleParticipantWithSecurity (SingleParticipantWithSecurity.mpc); it requires xerces.
Skipping idl2jni_corba (idl2jni_corba.mpc); it requires java.
Skipping idl2jni_codegen (idl2jni_codegen.mpc); it requires java.
Skipping idl2jni_runtime (idl2jni_runtime.mpc); it requires java.
Skipping idl2jni_test_union (idl2jni_test_union.mpc); it requires java.
Skipping idl2jni_test_explicit_ints (idl2jni_test_explicit_ints.mpc); it requires java.
Skipping idl2jni_test_simple (idl2jni_test_simple.mpc); it requires java.
Skipping dcps_java (dcps_java.mpc); it requires java.
Skipping participant_location_test (participant_location_test.mpc); it requires java.
Skipping multirepo_test (multirepo_test.mpc); it requires java.
Skipping complex_idl_test (complex_idl_test.mpc); it requires java.
Skipping builtintopics_test (builtintopics_test.mpc); it requires java.
Skipping publisher_idl_test (publisher_idl_test.mpc); it requires java.
Skipping java_both_test (java_both_test.mpc); it requires java.
Skipping subscriber_idl_test (subscriber_idl_test.mpc); it requires java.
Skipping messenger_idl_test (messenger_idl_test.mpc); it requires java.
Skipping transport_config (transport_config.mpc); it requires java.
Skipping java_vread_vwrite_test (vread_vwrite_test.mpc); it requires java.
Skipping internal_thread_status_test (internal_thread_status_test.mpc); it requires java.
Skipping hello_java_client (hello_java_client.mpc); it requires java.
Skipping two_idl (two_idl.mpc); it requires java.
Skipping zerocopy_java_test (zerocopy.mpc); it requires java.
Skipping tao_java (tao_java.mpc); it requires java.
Generation Time: 2m 7s

Compile #

make

Wed Apr 26 20:21:54 2023 UTC

Pattern: *akefile
Running: make -f GNUmakefile -s -k -j2   depend
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Info.idl.
GNUmakefile idl dependencies unchanged for DataReaderRemote.idl.
GNUmakefile idl dependencies unchanged for DataWriterRemote.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for DdsDcpsGuid.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsCore.idl.
GNUmakefile idl dependencies unchanged for OpenddsDcpsExt.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for DdsDcpsInfoUtils.idl.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsConditionSeq.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsDataReaderSeq.idl.
GNUmakefile idl dependencies unchanged for DdsSecurityParams.idl.
GNUmakefile idl dependencies unchanged for DdsSecurityCore.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsGuidTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsCoreTypeSupport.idl.
GNUmakefile idl dependencies unchanged for OpenddsDcpsExtTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsInfrastructureTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DdsDcps.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsDomain.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsInfrastructure.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsPublication.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsSubscription.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsSubscriptionExt.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsTopic.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsTypeSupportExt.idl.
GNUmakefile idl dependencies unchanged for DdsDynamicDataSeq.idl.
GNUmakefile idl dependencies unchanged for DdsDynamicData.idl.
GNUmakefile idl dependencies unchanged for DdsDynamicTypeSupport.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for RtpsCore.idl.
GNUmakefile idl dependencies unchanged for RtpsSecurity.idl.
GNUmakefile idl dependencies unchanged for RtpsRpc.idl.
GNUmakefile idl dependencies unchanged for TypeLookup.idl.
GNUmakefile dependencies updated.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FaceMessageTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Federator.idl.
GNUmakefile idl dependencies unchanged for FederatorTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for monitor.idl.
GNUmakefile idl dependencies unchanged for FileInfoTypeSupport.idl.
GNUmakefile idl dependencies unchanged for monitorTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FileInfo.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for StockQuoterTypeSupport.idl.
GNUmakefile idl dependencies unchanged for StockQuoter.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Sync.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for SyncExt.idl.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for SyncExt.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for SyncExt.idl.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for SyncExt.idl.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for PTDefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for PTDef.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Test.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for DDSPerfTestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DDSPerfTest.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for testMessageTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for testMessage.idl.
GNUmakefile idl dependencies unchanged for testMessageTypeSupport.idl.
GNUmakefile idl dependencies unchanged for testMessage.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for BuilderTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Builder.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for ZeroEnumTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ZeroEnum.idl.
GNUmakefile idl dependencies unchanged for Extensibility.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for ExtensibilityTypeSupport.idl.
GNUmakefile idl dependencies unchanged for TryConstructBaseTypes.idl.
GNUmakefile idl dependencies unchanged for NestedTrimStruct.idl.
GNUmakefile idl dependencies unchanged for AnonTypesTypeSupport.idl.
GNUmakefile idl dependencies unchanged for TryConstructTypeSupport.idl.
GNUmakefile idl dependencies unchanged for TryConstruct.idl.
GNUmakefile idl dependencies unchanged for AnonTypes.idl.
GNUmakefile idl dependencies unchanged for NestedTrimStructTypeSupport.idl.
GNUmakefile idl dependencies unchanged for TryConstructBaseTypesTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for testTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ../test.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for testTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ../test.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for testTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ../test.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile idl dependencies unchanged for NamespacesTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Namespaces.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for BazDefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for BazDef.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile idl dependencies unchanged for FooDef2TypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooDef2.idl.
GNUmakefile idl dependencies unchanged for FooDef3.idl.
GNUmakefile idl dependencies unchanged for SharedTypes.idl.
GNUmakefile idl dependencies unchanged for SharedTypesTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDef3TypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for NestedTypesTest.idl.
GNUmakefile idl dependencies unchanged for NestedTypesTestTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for ../is_topic_type.idl.
GNUmakefile idl dependencies unchanged for is_topic_typeTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ../default_nested_is_topic_type.idl.
GNUmakefile idl dependencies unchanged for default_nested_is_topic_typeTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for ../is_topic_type.idl.
GNUmakefile idl dependencies unchanged for is_topic_typeTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ../default_nested_is_topic_type.idl.
GNUmakefile idl dependencies unchanged for default_nested_is_topic_typeTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for StructTest.idl.
GNUmakefile idl dependencies unchanged for UnionTestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for StructTestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for UnionTest.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for key_annotation.idl.
GNUmakefile idl dependencies unchanged for key_annotationTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for testTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ../test.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Nsc.idl.
GNUmakefile idl dependencies unchanged for NscTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for sequenceTypeSupport.idl.
GNUmakefile idl dependencies unchanged for sequence.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for typecodeTypeSupport.idl.
GNUmakefile idl dependencies unchanged for typecode.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TypeObjectTestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for TypeObjectTest.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for union_defaultsTypeSupport.idl.
GNUmakefile idl dependencies unchanged for union_defaults.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for appendable_mixed.idl.
GNUmakefile idl dependencies unchanged for mutable_types2TypeSupport.idl.
GNUmakefile idl dependencies unchanged for mutable_typesTypeSupport.idl.
GNUmakefile idl dependencies unchanged for xcdrbasetypes.idl.
GNUmakefile idl dependencies unchanged for keyonly.idl.
GNUmakefile idl dependencies unchanged for appendable_mixedTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for keyonlyTypeSupport.idl.
GNUmakefile idl dependencies unchanged for xcdrbasetypesTypeSupport.idl.
GNUmakefile idl dependencies unchanged for mutable_types.idl.
GNUmakefile idl dependencies unchanged for mutable_types2.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for Messenger2TypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Messenger2.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for DataRepresentation.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for DataRepresentationTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooTypeTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooType.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for DelayedDurableTypeSupport.idl.
GNUmakefile idl dependencies unchanged for TestFramework.idl.
GNUmakefile idl dependencies unchanged for DelayedDurable.idl.
GNUmakefile idl dependencies unchanged for TestFrameworkTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for HelloWorld.idl.
GNUmakefile idl dependencies unchanged for HelloWorld.idl.
GNUmakefile idl dependencies unchanged for HelloWorldTypeSupport.idl.
GNUmakefile idl dependencies unchanged for HelloWorldTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for DynamicResponseNotCompleteTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DynamicResponseTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DynamicResponse.idl.
GNUmakefile idl dependencies unchanged for DynamicResponseNotComplete.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for dynamic.idl.
GNUmakefile idl dependencies unchanged for dynamicTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for HelloWorld.idl.
GNUmakefile idl dependencies unchanged for HelloWorldTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for HelloWorld.idl.
GNUmakefile idl dependencies unchanged for HelloWorldTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for NoKeyData.idl.
GNUmakefile idl dependencies unchanged for NoKeyDataTypeSupport.idl.
GNUmakefile idl dependencies unchanged for KeyedData.idl.
GNUmakefile idl dependencies unchanged for KeyedDataTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for BoundTest2TypeSupport.idl.
GNUmakefile idl dependencies unchanged for KeyTest2TypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for BoundTestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for KeyTestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for KeyTest.idl.
GNUmakefile idl dependencies unchanged for KeyTest2.idl.
GNUmakefile idl dependencies unchanged for BoundTest.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for BoundTest2.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Satellite.idl.
GNUmakefile idl dependencies unchanged for SatelliteTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Foo4Def.idl.
GNUmakefile idl dependencies unchanged for Foo1DefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Foo4DefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Foo1Def.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Foo3Def.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Foo2Def.idl.
GNUmakefile idl dependencies unchanged for Foo3DefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Foo2DefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Foo1DefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Foo1Def.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for MyTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TestMsg.idl.
GNUmakefile idl dependencies unchanged for TestMsgTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Test.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Test.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for GeneratedCode/MessengerTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Reliability.idl.
GNUmakefile idl dependencies unchanged for ReliabilityTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TestMsg.idl.
GNUmakefile idl dependencies unchanged for TestMsgTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for SkipSerializeTypeSupport.idl.
GNUmakefile idl dependencies unchanged for SkipSerialize.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TestMsg.idl.
GNUmakefile idl dependencies unchanged for TestMsgTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TypeSupportPlugin.idl.
GNUmakefile idl dependencies unchanged for TypeSupportPluginTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Test.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Simple.idl.
GNUmakefile idl dependencies unchanged for SimpleTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Test.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Simple.idl.
GNUmakefile idl dependencies unchanged for SimpleTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Common.idl.
GNUmakefile idl dependencies unchanged for Subscriber.idl.
GNUmakefile idl dependencies unchanged for CommonTypeSupport.idl.
GNUmakefile idl dependencies unchanged for SubscriberTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Common.idl.
GNUmakefile idl dependencies unchanged for PublisherNonMutableStructs.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for PublisherMutableStructs.idl.
GNUmakefile idl dependencies unchanged for PublisherUnions.idl.
GNUmakefile idl dependencies unchanged for CommonTypeSupport.idl.
GNUmakefile idl dependencies unchanged for PublisherNonMutableStructsTypeSupport.idl.
GNUmakefile idl dependencies unchanged for PublisherMutableStructsTypeSupport.idl.
GNUmakefile idl dependencies unchanged for PublisherUnionsTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Simple.idl.
GNUmakefile idl dependencies unchanged for SimpleTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for ZeroEnumTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ZeroEnum.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for ZeroEnumTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ZeroEnum.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FaceMessageTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for NamespacesTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for BazDefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooDef3TypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDef2TypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for SharedTypesTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FixedTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for topTypeSupport.idl.
GNUmakefile idl dependencies unchanged for struct_onlyTypeSupport.idl.
GNUmakefile idl dependencies unchanged for typedef_onlyTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for SampleModelTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FaceHeaderTestMsgTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FaceMessageTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FaceMessageTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FaceMessageTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for transmission_specsTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ecuTypeSupport.idl.
GNUmakefile idl dependencies unchanged for engine_specsTypeSupport.idl.
GNUmakefile idl dependencies unchanged for fuel_statsTypeSupport.idl.
GNUmakefile idl dependencies unchanged for transmission_statesTypeSupport.idl.
GNUmakefile idl dependencies unchanged for engine/engine_specs.idl.
GNUmakefile idl dependencies unchanged for engine/engine_stats/fuel_stats.idl.
GNUmakefile idl dependencies unchanged for transmission/transmission_specs.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for transmission/transmission_states.idl.
GNUmakefile idl dependencies unchanged for ecu.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for CompleteToMinimalTypeObjectTypeSupport.idl.
GNUmakefile idl dependencies unchanged for XTypesUtilsTypeSupport.idl.
GNUmakefile idl dependencies unchanged for CompleteToDynamicTypeTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DynamicDataAdapterTypeSupport.idl.
GNUmakefile idl dependencies unchanged for key_annotationTypeSupport.idl.
GNUmakefile idl dependencies unchanged for dds/DCPS/XTypes/CompleteToDynamicType.idl.
GNUmakefile idl dependencies unchanged for dds/DCPS/XTypes/CompleteToMinimalTypeObject.idl.
GNUmakefile idl dependencies unchanged for dds/DCPS/XTypes/DynamicDataXcdrReadImpl.idl.
GNUmakefile idl dependencies unchanged for dds/DCPS/XTypes/DynamicDataImpl.idl.
GNUmakefile idl dependencies unchanged for dds/DCPS/XTypes/XTypesUtils.idl.
GNUmakefile idl dependencies unchanged for dds/DCPS/XTypes/DynamicDataAdapter.idl.
GNUmakefile idl dependencies unchanged for ../DCPS/Compiler/key_annotation/key_annotation.idl.
GNUmakefile dependencies updated.

cmake

Wed Apr 26 20:23:31 2023 UTC

-- The C compiler identification is GNU 4.4.7
-- The CXX compiler identification is GNU 4.4.7
-- Check for working C compiler: /usr/bin/cc
-- Check for working C compiler: /usr/bin/cc -- works
-- Detecting C compiler ABI info
-- Detecting C compiler ABI info - done
-- Detecting C compile features
-- Detecting C compile features - done
-- Check for working CXX compiler: /usr/bin/c++
-- Check for working CXX compiler: /usr/bin/c++ -- works
-- Detecting CXX compiler ABI info
-- Detecting CXX compiler ABI info - done
-- Detecting CXX compile features
-- Detecting CXX compile features - done
-- Found PythonInterp: /usr/bin/python (found version "2.6.6") 
-- Looking for pthread.h
-- Looking for pthread.h - found
-- Performing Test CMAKE_HAVE_LIBC_PTHREAD
-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Failed
-- Check if compiler accepts -pthread
-- Check if compiler accepts -pthread - yes
-- Found Threads: TRUE  
-- Configuring done
-- Generating done
-- Build files have been written to: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build
Scanning dependencies of target gtest
[ 12%] Building CXX object googlemock/gtest/CMakeFiles/gtest.dir/src/gtest-all.cc.o
[ 25%] Linking CXX static library libgtest.a
[ 25%] Built target gtest
Scanning dependencies of target gtest_main
[ 37%] Building CXX object googlemock/gtest/CMakeFiles/gtest_main.dir/src/gtest_main.cc.o
Scanning dependencies of target gmock
[ 50%] Building CXX object googlemock/CMakeFiles/gmock.dir/src/gmock-all.cc.o
[ 62%] Linking CXX static library libgtest_main.a
[ 62%] Built target gtest_main
[ 75%] Linking CXX static library libgmock.a
[ 75%] Built target gmock
Scanning dependencies of target gmock_main
[ 87%] Building CXX object googlemock/CMakeFiles/gmock_main.dir/src/gmock_main.cc.o
[100%] Linking CXX static library libgmock_main.a
[100%] Built target gmock_main
Install the project...
-- Install configuration: ""
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-matchers.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-function-mockers.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-cardinalities.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-actions.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/gmock-generated-internal-utils.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/gmock-internal-utils.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/gmock-port.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/gmock-generated-internal-utils.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/custom
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/custom/gmock-generated-actions.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/custom/README.md
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/custom/gmock-port.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/custom/gmock-generated-actions.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/custom/gmock-matchers.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-more-matchers.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-spec-builders.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-more-actions.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-nice-strict.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-actions.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-nice-strict.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-matchers.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-actions.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-function-mockers.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-matchers.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/libgmock.a
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/libgmock_main.a
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/pkgconfig/gmock.pc
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/pkgconfig/gmock_main.pc
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/cmake/GTest/GTestTargets.cmake
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/cmake/GTest/GTestTargets-noconfig.cmake
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/cmake/GTest/GTestConfigVersion.cmake
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/cmake/GTest/GTestConfig.cmake
-- Up-to-date: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-message.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-param-test.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-spi.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-printers.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-port.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-tuple.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-type-util.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-death-test-internal.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-tuple.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-port-arch.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-internal.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-param-util-generated.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-param-util.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-linked_ptr.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-filepath.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-string.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/custom
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/custom/gtest-port.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/custom/README.md
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/custom/gtest-printers.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/custom/gtest.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-param-util-generated.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-type-util.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest_pred_impl.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest_prod.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-param-test.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-test-part.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-death-test.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-typed-test.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/libgtest.a
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/libgtest_main.a
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/pkgconfig/gtest.pc
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/pkgconfig/gtest_main.pc

make

Wed Apr 26 20:23:41 2023 UTC

Pattern: *akefile
Running: make -f GNUmakefile -s -k -j2  

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_IOGR_Imr/GNUmakefile.DDS_Messenger_IOGR_Imr_Aggregator #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/GNUmakefile.OpenDDS_Util #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/Sync/GNUmakefile.Sync_Idl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/SimpleLatency/raw_tcp/publisher/GNUmakefile.DCPS_Perf_Raw_Tcp_Latency_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/SimpleLatency/raw_tcp/subscriber/GNUmakefile.DCPS_Perf_Raw_Tcp_Latency_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/TCPProfilingTest/raw_tcp/publisher/GNUmakefile.DCPS_Perf_Raw_Tcp_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/TCPProfilingTest/raw_tcp/subscriber/GNUmakefile.DCPS_Perf_Raw_Tcp_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TcpReconnect/GNUmakefile.stub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/Sync/GNUmakefile.Sync_ServerLib #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/idl/GNUmakefile.opendds_idl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/Sync/GNUmakefile.Sync_ClientLib #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/Sync/GNUmakefile.Sync_Server #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/GNUmakefile.OpenDDS_Dcps #

ln -s libOpenDDS_Dcps.so.3.25.0-dev libOpenDDS_Dcps.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger.minimal/GNUmakefile.MessengerMinimal_Idl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/modeling/codegen/model/GNUmakefile.Model_Lib #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/transport/tcp/GNUmakefile.OpenDDS_Tcp #

ln -s libOpenDDS_Model.so.3.25.0-dev libOpenDDS_Model.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger/GNUmakefile.Messenger_Idl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/RTPS/GNUmakefile.OpenDDS_Rtps #

ln -s libOpenDDS_Tcp.so.3.25.0-dev libOpenDDS_Tcp.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger_ZeroCopy/GNUmakefile.Messenger_ZeroCopy_Idl #

ln -s libMessenger_ZeroCopy_Idl.so.3.25.0-dev libMessenger_ZeroCopy_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/FACE/GNUmakefile.OpenDDS_FACE #

ln -s libOpenDDS_FACE.so.3.25.0-dev libOpenDDS_FACE.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/transport/multicast/GNUmakefile.OpenDDS_Multicast #

ln -s libOpenDDS_Rtps.so.3.25.0-dev libOpenDDS_Rtps.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/transport/shmem/GNUmakefile.OpenDDS_Shmem #

ln -s libOpenDDS_Multicast.so.3.25.0-dev libOpenDDS_Multicast.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/transport/udp/GNUmakefile.OpenDDS_Udp #

ln -s libOpenDDS_Shmem.so.3.25.0-dev libOpenDDS_Shmem.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/monitor/GNUmakefile.OpenDDS_monitor #

ln -s libOpenDDS_Udp.so.3.25.0-dev libOpenDDS_Udp.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/IntroductionToOpenDDS/GNUmakefile.StockQuoter_Common #

ln -s libStockQuoterCommon.so.3.25.0-dev libStockQuoterCommon.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_IOGR_Imr/GNUmakefile.DDS_Messenger_IOGR_Imr_Idl #

ln -s libDDS_Messenger_IOGR_Imr_Idl.so.3.25.0-dev libDDS_Messenger_IOGR_Imr_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_Imr/GNUmakefile.DDS_Messenger_Imr_Idl #

ln -s libDDS_Messenger_Imr_Idl.so.3.25.0-dev libDDS_Messenger_Imr_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/GNUmakefile.InfoRepo_Population_Idl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/TypeNoKeyBounded/GNUmakefile.DCPS_Perf_TypeNoKeyBounded #

ln -s libDCPS_Perf_TypeNoKeyBounded.so.3.25.0-dev libDCPS_Perf_TypeNoKeyBounded.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/Priority/GNUmakefile.Perf_Priority_Idl #

ln -s libOpenDDS_monitor.so.3.25.0-dev libOpenDDS_monitor.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/SimpleLatency/GNUmakefile.SimpleLatency_Idl #

ln -s libPerf_Priority_Idl.so.3.25.0-dev libPerf_Priority_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/bench/builder_idl/GNUmakefile.Bench_Builder_Idl #

ln -s libSimpleLatency_Idl.so.3.25.0-dev libSimpleLatency_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/AddressLookup/GNUmakefile.AddressLookup #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooType4/GNUmakefile.DcpsFooType4 #

ln -s libBench_Builder_Idl.so.3.25.0-dev libBench_Builder_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConsolidatedMessengerIdl/GNUmakefile.ConsolidatedMessengerIdl #

ln -s libDcpsFooType4.so.3.25.0-dev libDcpsFooType4.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/common/GNUmakefile.common #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/TryConstruct/GNUmakefile.Compiler_TryConstruct #

ln -s libConsolidatedMessengerIdl.so.3.25.0-dev libConsolidatedMessengerIdl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/XtypesExtensibility/GNUmakefile.Compiler_XtypesExtensibility #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/anonymous_types/classic/GNUmakefile.anonymous_types_classic #

ln -s libanonymous_types_classic.so.3.25.0-dev libanonymous_types_classic.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/char_literals/classic/GNUmakefile.char_literals_classic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/explicit_ints/classic/GNUmakefile.explicit_ints_classic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test1_lib/GNUmakefile.idl_test1_lib #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test2_lib/GNUmakefile.idl_test2_lib #

ln -s libidl_test1_lib.so.3.25.0-dev libidl_test1_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test3_lib/GNUmakefile.idl_test3_lib #

ln -s libidl_test2_lib.so.3.25.0-dev libidl_test2_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test_nested_types_lib/GNUmakefile.idl_test_nested_types_lib #

ln -s libidl_test_nested_types_lib.so.3.25.0-dev libidl_test_nested_types_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/is_topic_type/dn/GNUmakefile.is_topic_type_dn #

ln -s libis_topic_type_dn.so.3.25.0-dev libis_topic_type_dn.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/is_topic_type/no_dn/GNUmakefile.is_topic_type_no_dn #

ln -s libidl_test3_lib.so.3.25.0-dev libidl_test3_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/key_annotation/GNUmakefile.key_annotation #

ln -s libis_topic_type_no_dn.so.3.25.0-dev libis_topic_type_no_dn.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/keywords/classic/GNUmakefile.keywords_classic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/typecode/GNUmakefile.Compiler_Typecode #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/typeobject_generator/GNUmakefile.TypeObjectTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/union_defaults/GNUmakefile.union_defaults #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/xcdr/GNUmakefile.xcdr #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CorbaSeq/GNUmakefile.CorbaSeq_Idl #

ln -s libCorbaSeq_Idl.so.3.25.0-dev libCorbaSeq_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooType/GNUmakefile.DcpsFooType #

ln -s libDcpsFooType.so.3.25.0-dev libDcpsFooType.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TestFramework/GNUmakefile.TestFramework #

ln -s libTestFramework.so.3.25.0-dev libTestFramework.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooType5/GNUmakefile.DcpsFooType5 #

ln -s libDcpsFooType5.so.3.25.0-dev libDcpsFooType5.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FileSystemStorage/GNUmakefile.FileSystemStorage #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooType3/GNUmakefile.DcpsFooType3 #

ln -s libDcpsFooType3.so.3.25.0-dev libDcpsFooType3.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooType3Unbounded/GNUmakefile.DcpsFooType3Unbounded #

ln -s libDcpsFooType3Unbounded.so.3.25.0-dev libDcpsFooType3Unbounded.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooType3NoKey/GNUmakefile.DcpsFooType3NoKey #

ln -s libDcpsFooType3NoKey.so.3.25.0-dev libDcpsFooType3NoKey.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/GuardCondition/GNUmakefile.GuardCondition #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Instances/GNUmakefile.DDS_Instances_Idl #

ln -s libDDS_Instances_Idl.so.3.25.0-dev libDDS_Instances_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/Utils/GNUmakefile.TestUtils #

ln -s libTestUtils.so.3.25.0-dev libTestUtils.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/KeyTest/GNUmakefile.DDS_KeyTest_Idl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/KeyTest/GNUmakefile.KeyTest_MD5 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LargeSample/GNUmakefile.DDS_LargeSample_Idl #

ln -s libDDS_LargeSample_Idl.so.3.25.0-dev libDDS_LargeSample_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessKeepAliveTest/GNUmakefile.DDS_LivelinessKeepAliveTest_Idl #

ln -s libDDS_LivelinessKeepAliveTest_Idl.so.3.25.0-dev libDDS_LivelinessKeepAliveTest_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/GNUmakefile.DDS_ManyTopicMultiProcess_Idl #

ln -s libDDS_ManyTopicMultiProcess_Idl.so.3.25.0-dev libDDS_ManyTopicMultiProcess_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTypes/GNUmakefile.ManyTopicTypes #

ln -s libManyTopicTypes.so.3.25.0-dev libManyTopicTypes.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/GNUmakefile.DDS_Messenger_Idl #

ln -s libDDS_Messenger_Idl.so.3.25.0-dev libDDS_Messenger_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Partition/GNUmakefile.DDS_Partition_Idl #

ln -s libDDS_KeyTest_Idl.so.3.25.0-dev libDDS_KeyTest_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Priority/GNUmakefile.DDS_Priority_Idl #

ln -s libDDS_Partition_Idl.so.3.25.0-dev libDDS_Partition_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Reliability/IDL/GNUmakefile.Reliability #

ln -s libDDS_Priority_Idl.so.3.25.0-dev libDDS_Priority_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SkipSerialize/GNUmakefile.DDS_SkipSerialize_Idl #

ln -s libReliability.so.3.25.0-dev libReliability.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StringKey/GNUmakefile.DDS_StringKey_Idl #

ln -s libDDS_SkipSerialize_Idl.so.3.25.0-dev libDDS_SkipSerialize_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TcpReconnect/GNUmakefile.DDS_TcpReconnect_Idl #

ln -s libDDS_StringKey_Idl.so.3.25.0-dev libDDS_StringKey_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TypeSupportPlugin/GNUmakefile.TypeSupportPlugin #

ln -s libDDS_TcpReconnect_Idl.so.3.25.0-dev libDDS_TcpReconnect_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TypeSupportPlugin/GNUmakefile.TypeSupportPluginUser #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/WaitForAck/GNUmakefile.WaitForAck_Idl #

ln -s libTypeSupportPlugin.so.3.25.0-dev libTypeSupportPlugin.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Idl/GNUmakefile.FaceMessengerIdl #

ln -s libWaitForAck_Idl.so.3.25.0-dev libWaitForAck_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test1_lib/GNUmakefile.FACE_idl_test1_lib #

ln -s libFaceMessengerIdl.so.3.25.0-dev libFaceMessengerIdl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test2_lib/GNUmakefile.FACE_idl_test2_lib #

ln -s libFACE_idl_test1_lib.so.3.25.0-dev libFACE_idl_test1_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test3_lib/GNUmakefile.FACE_idl_test3_lib #

ln -s libFACE_idl_test2_lib.so.3.25.0-dev libFACE_idl_test2_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test4_lib/GNUmakefile.FACE_idl_test4_lib #

ln -s libFACE_idl_test4_lib.so.3.25.0-dev libFACE_idl_test4_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test_fixed/GNUmakefile.FACE_idl_test_fixed #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Conformance/GNUmakefile.FaceConformanceIdl #

ln -s libFaceConformanceIdl.so.3.25.0-dev libFaceConformanceIdl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Header/Idl/GNUmakefile.FaceHeaderTestIdl #

ln -s libFaceHeaderTestIdl.so.3.25.0-dev libFaceHeaderTestIdl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/MultiDomainMessenger/Idl/GNUmakefile.FaceMultiDomainMessengerIdl #

ln -s libFaceMultiDomainMessengerIdl.so.3.25.0-dev libFaceMultiDomainMessengerIdl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Idl/GNUmakefile.FacePartitionIdl #

ln -s libFacePartitionIdl.so.3.25.0-dev libFacePartitionIdl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/SingleProcessMessenger/Idl/GNUmakefile.FaceMessengerSingleProcessIdl #

ln -s libFACE_idl_test3_lib.so.3.25.0-dev libFACE_idl_test3_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/TestMsg/GNUmakefile.DDS_tests_transport_testmsg #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/network_resource/GNUmakefile.NetworkResource #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/InfoRepoDiscovery/GNUmakefile.OpenDDS_InfoRepoDiscovery #

ln -s libFaceMessengerSingleProcessIdl.so.3.25.0-dev libFaceMessengerSingleProcessIdl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/transport/rtps_udp/GNUmakefile.OpenDDS_Rtps_Udp #

ln -s libOpenDDS_InfoRepoDiscovery.so.3.25.0-dev libOpenDDS_InfoRepoDiscovery.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/FACE/Simple/GNUmakefile.FaceMessenger_Idl #

ln -s libFaceMessenger_Idl.so.3.25.0-dev libFaceMessenger_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/InfoRepo/GNUmakefile.DCPSInfoRepo_Lib #

ln -s libOpenDDS_Rtps_Udp.so.3.25.0-dev libOpenDDS_Rtps_Udp.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/DistributedContent/GNUmakefile.DDS_DistributedContent_Node #

ln -s libOpenDDS_InfoRepoLib.so.3.25.0-dev libOpenDDS_InfoRepoLib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/IntroductionToOpenDDS/GNUmakefile.StockQuoter_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/IntroductionToOpenDDS/GNUmakefile.StockQuoter_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_IOGR_Imr/GNUmakefile.DDS_Messenger_IOGR_Imr_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_IOGR_Imr/GNUmakefile.DDS_Messenger_IOGR_Imr_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_Imr/GNUmakefile.DDS_Messenger_Imr_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/GNUmakefile.InfoRepo_Population_SyncServer #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/MulticastListenerTest/GNUmakefile.DCPS_Perf_MulticastListenerTest_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/MulticastListenerTest/GNUmakefile.DCPS_Perf_MulticastListenerTest_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/Priority/GNUmakefile.Perf_Priority_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/SimpleE2ETest/GNUmakefile.DCPS_Perf_SimpleE2E_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/SimpleE2ETest/GNUmakefile.DCPS_Perf_SimpleE2E_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/SimpleLatency/GNUmakefile.DDS_SimpleLatency_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/SimpleLatency/GNUmakefile.DDS_SimpleLatency_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/TCPListenerTest/GNUmakefile.DCPS_Perf_TCPListener_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/TCPListenerTest/GNUmakefile.DCPS_Perf_TCPListener_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/TCPProfilingTest/GNUmakefile.DCPS_Perf_TCPProfiling_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/UDPListenerTest/GNUmakefile.DCPS_Perf_UDPListener_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/UDPListenerTest/GNUmakefile.DCPS_Perf_UDPListener_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/UDPNoKeyTest/GNUmakefile.DCPS_Perf_UDPNoKey_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/UDPNoKeyTest/GNUmakefile.DCPS_Perf_UDPNoKey_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BitDataReader/GNUmakefile.BitDataReader #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopic/GNUmakefile.BuiltInTopic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopicTest/GNUmakefile.DDS_BuiltInTopicTest_Monitor #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopicTest/GNUmakefile.DDS_BuiltInTopicTest_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopicTest/GNUmakefile.DDS_BuiltInTopicTest_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/GNUmakefile.CompatibilityTest_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test1_main/GNUmakefile.idl_test1_main #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test3_main/GNUmakefile.idl_test3_main #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/isolated_types/GNUmakefile.DDS_Isolated_Types #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/namespace_conflict/GNUmakefile.DDS_Namespace_Conflict #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/sequence_conflict/GNUmakefile.DDS_Sequence_Conflict #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigFile/GNUmakefile.ConfigFile #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/GNUmakefile.ConfigTransports_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ContainsEntity/GNUmakefile.DDS_ContainsEntity #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CorbaSeq/GNUmakefile.CorbaSeq_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CorbaSeq/GNUmakefile.CorbaSeq_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DCPSInfoRepo/GNUmakefile.dcpsinfo_test_pubsub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DPFactoryQos/GNUmakefile.DDS_DPFactoryQos_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DPFactoryQos/GNUmakefile.DDS_DPFactoryQos_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DataRepresentation/GNUmakefile.DataRepresentation #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DcpsIntegration/GNUmakefile.dcps_integration_infrastructure #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Deadline/GNUmakefile.DDS_Deadline_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/GNUmakefile.DelayedDurable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DestinationOrder/GNUmakefile.DestinationOrder #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Dispose/GNUmakefile.Dispose #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DomainRange/GNUmakefile.DomainRangeTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DpShutdown/GNUmakefile.DDS_DpShutdown #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicData/GNUmakefile.DynamicData_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicResponse/GNUmakefile.DynamicResponse_Origin #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicResponse/GNUmakefile.DynamicResponse_Responder #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/GNUmakefile.XTypes_Dynamic_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/GNUmakefile.XTypes_Dynamic_Recorder #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/GNUmakefile.DDS_EntityLifeCycleStress_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/GNUmakefile.DDS_EntityLifeCycleStress_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Federation/GNUmakefile.Federation_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FindTopic/GNUmakefile.DDS_FindTopic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest3_0/GNUmakefile.FooTest3_0_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest3_2/GNUmakefile.FooTest3_2_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest4/GNUmakefile.DcpsFooTest4 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest4_0/GNUmakefile.DcpsFooTest4_0 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest5/GNUmakefile.DcpsFooTest5Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest5_0/GNUmakefile.DcpsFooTest5_0 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/HelloWorld/GNUmakefile.HelloWorld_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/InconsistentTopic/GNUmakefile.DDS_InconsistentTopic_PublisherSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Instances/GNUmakefile.Instances_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Instances/GNUmakefile.Instances_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/InternalThreadStatus/GNUmakefile.InternalThreadStatusPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/KeyTest/GNUmakefile.DDS_KeyTest_IsBounded #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/KeyTest/GNUmakefile.DDS_KeyTest_KeyMarshalling #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LargeSample/GNUmakefile.DDS_LargeSample_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LatencyBudget/GNUmakefile.DDS_LatencyBudget_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LatencyBudget/GNUmakefile.DDS_LatencyBudget_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Lifespan/GNUmakefile.DDS_Lifespan_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Lifespan/GNUmakefile.DDS_Lifespan_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessKeepAliveTest/GNUmakefile.LivelinessKeepAliveTestSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTest/GNUmakefile.LivelinessTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/GNUmakefile.LivelinessTimeout_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/GNUmakefile.DDS_ManualAssertLiveliness_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyToMany/GNUmakefile.DDS_ManyToMany_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyToMany/GNUmakefile.DDS_ManyToMany_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/GNUmakefile.ManyTopicMultiProcessSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/GNUmakefile.ManyTopicTestPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/GNUmakefile.ManyTopicTestSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/GNUmakefile.DDS_Messenger_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/GNUmakefile.DDS_Messenger_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MockedTypeSupport/GNUmakefile.MockedTypeSupport #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Monitor/GNUmakefile.Monitor_Messenger_Monitor #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Monitor/GNUmakefile.Monitor_Messenger_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Monitor/GNUmakefile.Monitor_Messenger_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiDPTest/GNUmakefile.MultiDPTest_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiDiscovery/GNUmakefile.MultiDiscoveryTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiRepoTest/GNUmakefile.MultiRepoTest_Monitor #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/NotifyTest/GNUmakefile.DDS_NotifyTest_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/NotifyTest/GNUmakefile.DDS_NotifyTest_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Observer/GNUmakefile.Observer_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Partition/GNUmakefile.DDS_Partition_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Partition/GNUmakefile.DDS_Partition_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/PersistentInfoRepo/GNUmakefile.PersistentInfoRepo_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/PersistentInfoRepo/GNUmakefile.PersistentInfoRepo_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Priority/GNUmakefile.DDS_Priority_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Prst_delayed_subscriber/GNUmakefile.DDS_Prst_Delayed_Subscriber_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Prst_delayed_subscriber/GNUmakefile.DDS_Prst_Delayed_Subscriber_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReadCondition/GNUmakefile.ReadCondition #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReaderDataLifecycle/GNUmakefile.ReaderDataLifecycle #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Reconnect/GNUmakefile.Reconnect_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Reconnect/GNUmakefile.Reconnect_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderLogging/GNUmakefile.DDS_RecorderLogging_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderLogging/GNUmakefile.DDS_RecorderLogging_Recorder #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/GNUmakefile.DDS_RecorderReplayer_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/GNUmakefile.DDS_RecorderReplayer_Relay #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/GNUmakefile.DDS_RecorderReplayer_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RegisterInstance/GNUmakefile.register_instance_topic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Rejects/GNUmakefile.DDS_Rejects_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Rejects/GNUmakefile.DDS_Rejects_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Reliability/pub/GNUmakefile.Reliability_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Reliability/sub/GNUmakefile.Reliability_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/GNUmakefile.ReliableBestEffortReaders_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Restart/GNUmakefile.RestartTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/GNUmakefile.RtpsDiscovery #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDurableReplay/GNUmakefile.RtpsDurableReplay_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDurableReplay/GNUmakefile.RtpsDurableReplay_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsMessages/GNUmakefile.RtpsMessages #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SequenceIterator/GNUmakefile.SequenceIteratorTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Serializer/GNUmakefile.SerializerTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Serializer_wstring/GNUmakefile.Serializer_Wstring_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosDeadline/GNUmakefile.SetQosDeadline_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosDeadline/GNUmakefile.SetQosDeadline_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosPartition/GNUmakefile.SetQosPartition #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/GNUmakefile.SharedTransport #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SkipSerialize/GNUmakefile.DDS_SkipSerialize_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SkipSerialize/GNUmakefile.DDS_SkipSerialize_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StaticDiscoveryReconnect/GNUmakefile.StaticDiscoveryReconnectTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StatusCondition/GNUmakefile.StatusCondition #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StringKey/GNUmakefile.DDS_StringKey_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StringKey/GNUmakefile.DDS_StringKey_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SubscriberCycle/GNUmakefile.SubscriberCycle_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TcpReconnect/GNUmakefile.DDS_TcpReconnect_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TcpReconnect/GNUmakefile.DDS_TcpReconnect_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/GNUmakefile.Thrasher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TimeBasedFilter/GNUmakefile.TimeBasedFilter #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TopicReuse/GNUmakefile.DDS_TopicReuse_TopicReuse #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TransientLocalMultiInstanceTest/GNUmakefile.DDS_TransientLocalMultiInstanceTest_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TransientLocalTest/GNUmakefile.DDS_TransientLocalTest_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TransientLocalTest/GNUmakefile.DDS_TransientLocalTest_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/UnregisterType/GNUmakefile.UnregisterTypeTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ViewState/GNUmakefile.ViewState #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/WaitForAck/GNUmakefile.WaitForAck_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/WaitForAckRace/GNUmakefile.WaitForAckRace_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/WaitForAckRace/GNUmakefile.WaitForAckRace_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/WriteDataContainer/GNUmakefile.WriteDataContainer #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/GNUmakefile.XTypes_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyDataReaderListener/GNUmakefile.ZeroCopyDRL_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyDataReaderListener/GNUmakefile.ZeroCopyDRL_StackSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyRead/GNUmakefile.ZeroCopyRead #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroEnum/GNUmakefile.ZeroEnum_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/sub_init_loop/GNUmakefile.Sub_Init_Loop_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/sub_init_loop/GNUmakefile.Sub_Init_Loop_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/CallbackAndReceive/Publisher/GNUmakefile.CallbackAndReceivePublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/CallbackAndReceive/Subscriber/GNUmakefile.CallbackAndReceiveSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test1_main/GNUmakefile.FACE_idl_test1_main #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test3_main/GNUmakefile.FACE_idl_test3_main #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/GetConnectionParameters/Publisher/GNUmakefile.GetConnectionParametersPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/GetConnectionParameters/Subscriber/GNUmakefile.GetConnectionParametersSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Header/Publisher/GNUmakefile.FaceHeaderPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Header/Subscriber/GNUmakefile.FaceHeaderSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Publisher/GNUmakefile.FaceMessengerPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Subscriber/GNUmakefile.FaceMessengerSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/MultiDomainMessenger/Publisher/GNUmakefile.FaceMultiDomainMessengerPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/MultiDomainMessenger/Subscriber/GNUmakefile.FaceMultiDomainMessengerSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Publisher/GNUmakefile.FacePartitionPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Subscriber/GNUmakefile.FacePartitionSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Reliability/Publisher/GNUmakefile.FaceReliabilityPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Reliability/Subscriber/GNUmakefile.FaceReliabilitySubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/SingleProcessMessenger/SingleProcess/GNUmakefile.FaceMessengerSingleProcess #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/cmake/Nested_IDL/GNUmakefile.ECU #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/stress-tests/GNUmakefile.StressTests #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/best_effort_reader/GNUmakefile.DDS_Tests_Transport_Best_Effort_Reader_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/error_handling/GNUmakefile.error_handling #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps/GNUmakefile.DDS_Transport_Rtps_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps/GNUmakefile.DDS_Transport_Rtps_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/GNUmakefile.DDS_Transport_Rtps_Directed_Write_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_reliability/GNUmakefile.DDS_transport_rtps_reliability #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/simple/GNUmakefile.DDS_Transport_Simple_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/simple/GNUmakefile.DDS_Transport_Simple_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/spdp/GNUmakefile.DDS_transport_spdp #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/unit-tests/GNUmakefile.UnitTests #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/dcpsinfo_dump/GNUmakefile.dcpsinfo_dump #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/inspect/GNUmakefile.Inspect #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger.minimal/GNUmakefile.MessengerMinimal_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger/GNUmakefile.Messenger_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger_ZeroCopy/GNUmakefile.Messenger_ZeroCopy_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger_ZeroCopy/GNUmakefile.Messenger_ZeroCopy_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/FACE/Simple/GNUmakefile.FaceMessenger_Callbacksubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/FACE/Simple/GNUmakefile.FaceMessenger_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/FACE/Simple/GNUmakefile.FaceMessenger_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/InfoRepo/GNUmakefile.DCPSInfoRepo_Federator #

ln -s libOpenDDS_Federator.so.3.25.0-dev libOpenDDS_Federator.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_Imr/GNUmakefile.DDS_Messenger_Imr_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/GNUmakefile.InfoRepo_Population_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/Priority/GNUmakefile.Perf_Priority_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/TCPProfilingTest/GNUmakefile.DCPS_Perf_TCPProfiling_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/GNUmakefile.CompatibilityTest_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/GNUmakefile.ConfigTransports_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DcpsIntegration/GNUmakefile.dcps_integration_topic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Deadline/GNUmakefile.DDS_Deadline_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicData/GNUmakefile.DynamicData_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Federation/GNUmakefile.Federation_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest3_0/GNUmakefile.FooTest3_0_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest3_2/GNUmakefile.FooTest3_2_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest5/GNUmakefile.DcpsFooTest5Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/HelloWorld/GNUmakefile.HelloWorld_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/InternalThreadStatus/GNUmakefile.InternalThreadStatusSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LargeSample/GNUmakefile.DDS_LargeSample_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessKeepAliveTest/GNUmakefile.LivelinessKeepAliveTestPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/GNUmakefile.LivelinessTimeout_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/GNUmakefile.ManyTopicMultiProcessPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/GNUmakefile.DDS_Messenger_StackSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiDPTest/GNUmakefile.MultiDPTest_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiRepoTest/GNUmakefile.MultiRepoTest_System #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Observer/GNUmakefile.Observer_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Priority/GNUmakefile.DDS_Priority_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/GNUmakefile.ReliableBestEffortReaders_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Serializer_wstring/GNUmakefile.Serializer_Wstring_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TransientLocalMultiInstanceTest/GNUmakefile.DDS_TransientLocalMultiInstanceTest_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/WaitForAck/GNUmakefile.WaitForAck_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/GNUmakefile.XTypes_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyDataReaderListener/GNUmakefile.ZeroCopyDRL_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroEnum/GNUmakefile.ZeroEnum_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/best_effort_reader/GNUmakefile.DDS_Tests_Transport_Best_Effort_Reader_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/GNUmakefile.DDS_Transport_Rtps_Directed_Write_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/repoctl/GNUmakefile.repoctl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger.minimal/GNUmakefile.MessengerMinimal_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger/GNUmakefile.Messenger_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/InfoRepo/GNUmakefile.DCPSInfoRepo_Serv #

ln -s libOpenDDS_InfoRepoServ.so.3.25.0-dev libOpenDDS_InfoRepoServ.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/GNUmakefile.InfoRepo_Population_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/InfoRepo/GNUmakefile.DCPSInfoRepo_Main #


Test #

auto_run_tests

Wed Apr 26 20:52:09 2023 UTC

Running: perl tests/auto_run_tests.pl   -Config DDS_NO_OBJECT_MODEL_PROFILE -Config DDS_NO_OWNERSHIP_PROFILE -Config DDS_NO_PERSISTENCE_PROFILE -Config DDS_NO_CONTENT_SUBSCRIPTION -Config IPV6 in .
Test Lists: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/dcps_tests.lst
Configs: DDS_NO_OBJECT_MODEL_PROFILE, DDS_NO_OWNERSHIP_PROFILE, DDS_NO_PERSISTENCE_PROFILE, DDS_NO_CONTENT_SUBSCRIPTION, IPV6, Linux, RTPS
Excludes: 

==============================================================================

tools/scripts/modules/tests/command_utils.pl #

In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (string): "___this_really_should_be_invalid___"
Check that return value and exit status work as expected ======================
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "exit(2);"
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "exit(0);"
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "print("Hello\n");"
Check that putting the ouput in a variable works ==============================
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "print STDERR ("Goodbye\n");"
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "print STDERR ("Goodbye\n");print("Hello\n");"
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "print STDERR ("Goodbye\n");print("Hello\n");"
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "print STDERR ("Goodbye\n");print("Hello\n");"
Check that putting the output in a file works =================================
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "print STDERR ("Goodbye\n");print("Hello\n");exit(1);"
Check that dump_on_failure works ==============================================

auto_run_tests_finished: tools/scripts/modules/tests/command_utils.pl Time:0s Result:0

==============================================================================

tools/scripts/modules/tests/ChangeDir.pl #

auto_run_tests_finished: tools/scripts/modules/tests/ChangeDir.pl Time:0s Result:0

==============================================================================

tests/DCPS/AddressLookup/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/AddressLookup/main  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile AddressLookup.log -DCPSPendingTimeout 3 
AddressLookup PID: 1099 started at 2023-04-26 15:52:10
========= Attempt 0....
DEBUG: address_info: There are 5 interfaces
DEBUG: address_info: Considering interface 0
DEBUG: address_info: Found IP interface 127.0.0.1
DEBUG: address_info: IP address 127.0.0.1 maps to hostname localhost
DEBUG: hostname_to_ip: Resolving IP addresses from hostname localhost
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 28, curr->ai_addr size is 16, curr family is 10
DEBUG: print_addr: ==== IP address: ::1
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 127.0.0.1

DEBUG: address_info: Considering interface 1
DEBUG: address_info: Found IP interface 10.201.200.79
DEBUG: address_info: IP address 10.201.200.79 maps to hostname flea.ociweb.com
DEBUG: hostname_to_ip: Resolving IP addresses from hostname flea.ociweb.com
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 10.201.200.79

DEBUG: address_info: Considering interface 2
DEBUG: address_info: Found IP interface 192.168.122.1
WARNING: address_info: Failed to get FQDN

DEBUG: address_info: Considering interface 3
DEBUG: address_info: Found IP interface ::1
DEBUG: address_info: IP address ::1 maps to hostname localhost
DEBUG: hostname_to_ip: Resolving IP addresses from hostname localhost
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 28, curr->ai_addr size is 16, curr family is 10
DEBUG: print_addr: ==== IP address: ::1
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 127.0.0.1

DEBUG: address_info: Considering interface 4
DEBUG: address_info: Found IP interface fe80::20c:29ff:fe7a:35f2%2
WARNING: address_info: Failed to get FQDN


========= Attempt 1....
DEBUG: address_info: There are 5 interfaces
DEBUG: address_info: Considering interface 0
DEBUG: address_info: Found IP interface 127.0.0.1
DEBUG: address_info: IP address 127.0.0.1 maps to hostname localhost
DEBUG: hostname_to_ip: Resolving IP addresses from hostname localhost
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 28, curr->ai_addr size is 16, curr family is 10
DEBUG: print_addr: ==== IP address: ::1
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 127.0.0.1

DEBUG: address_info: Considering interface 1
DEBUG: address_info: Found IP interface 10.201.200.79
DEBUG: address_info: IP address 10.201.200.79 maps to hostname flea.ociweb.com
DEBUG: hostname_to_ip: Resolving IP addresses from hostname flea.ociweb.com
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 10.201.200.79

DEBUG: address_info: Considering interface 2
DEBUG: address_info: Found IP interface 192.168.122.1
WARNING: address_info: Failed to get FQDN

DEBUG: address_info: Considering interface 3
DEBUG: address_info: Found IP interface ::1
DEBUG: address_info: IP address ::1 maps to hostname localhost
DEBUG: hostname_to_ip: Resolving IP addresses from hostname localhost
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 28, curr->ai_addr size is 16, curr family is 10
DEBUG: print_addr: ==== IP address: ::1
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 127.0.0.1

DEBUG: address_info: Considering interface 4
DEBUG: address_info: Found IP interface fe80::20c:29ff:fe7a:35f2%2
WARNING: address_info: Failed to get FQDN


========= Attempt 2....
DEBUG: address_info: There are 5 interfaces
DEBUG: address_info: Considering interface 0
DEBUG: address_info: Found IP interface 127.0.0.1
DEBUG: address_info: IP address 127.0.0.1 maps to hostname localhost
DEBUG: hostname_to_ip: Resolving IP addresses from hostname localhost
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 28, curr->ai_addr size is 16, curr family is 10
DEBUG: print_addr: ==== IP address: ::1
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 127.0.0.1

DEBUG: address_info: Considering interface 1
DEBUG: address_info: Found IP interface 10.201.200.79
DEBUG: address_info: IP address 10.201.200.79 maps to hostname flea.ociweb.com
DEBUG: hostname_to_ip: Resolving IP addresses from hostname flea.ociweb.com
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 10.201.200.79

DEBUG: address_info: Considering interface 2
DEBUG: address_info: Found IP interface 192.168.122.1
WARNING: address_info: Failed to get FQDN

DEBUG: address_info: Considering interface 3
DEBUG: address_info: Found IP interface ::1
DEBUG: address_info: IP address ::1 maps to hostname localhost
DEBUG: hostname_to_ip: Resolving IP addresses from hostname localhost
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 28, curr->ai_addr size is 16, curr family is 10
DEBUG: print_addr: ==== IP address: ::1
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 127.0.0.1

DEBUG: address_info: Considering interface 4
DEBUG: address_info: Found IP interface fe80::20c:29ff:fe7a:35f2%2
WARNING: address_info: Failed to get FQDN


test PASSED.

auto_run_tests_finished: tests/DCPS/AddressLookup/run_test.pl Time:13s Result:0

==============================================================================

tests/DCPS/Prst_delayed_subscriber/run_test.pl #

Spawning first DCPSInfoRepo.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS  -o repo.ior -ORBSvcConf mySvc.conf -orbendpoint iiop://:10246
Spawning publisher.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Prst_delayed_subscriber/publisher -DCPSBit 0  -DCPSConfigFile pub.ini
(1113|1113) NOTICE: using DCPSBit value from command option (overrides value if it's in config file)
(1113|1113) Writer::start
(1113|1118) Writer::svc begins.
(1113|1118)  15:52:24.274136 Writer::svc starting to write.
Spawning first subscriber.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Prst_delayed_subscriber/subscriber -DCPSBit 0  -DCPSConfigFile sub.ini
(1119|1119) NOTICE: using DCPSBit value from command option (overrides value if it's in config file)
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
First Subscriber complete.
Killing first DCPSInfoRepo.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
Spawning second DCPSInfoRepo.
Spawning second subscriber.
(1139|1139) NOTICE: using DCPSBit value from command option (overrides value if it's in config file)
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
(1113|1118) Writer::svc finished.
(1113|1113) Writer::end
Second Subscriber complete.
Publisher killed.
Killing second DCPSInfoRepo.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Prst_delayed_subscriber/run_test.pl Time:12s Result:0

==============================================================================

tests/DCPS/ZeroCopyRead/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1145
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyRead/main -DcpsBit 0 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile main.log -DCPSPendingTimeout 3 
main PID: 1152 started at 2023-04-26 15:52:35
(1152|1152) zero-copy read test main
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ZeroCopyRead/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/ZeroCopyRead/run_test.pl by_instance #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1160
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyRead/main -DcpsBit 0 -i -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile main.log -DCPSPendingTimeout 3 
main PID: 1167 started at 2023-04-26 15:52:36
(1167|1167) zero-copy read test main
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ZeroCopyRead/run_test.pl by_instance Time:1s Result:0

==============================================================================

tests/DCPS/ZeroCopyDataReaderListener/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1173
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyDataReaderListener/publisher -ORBDebugLevel 1   -DCPSPendingTimeout 2 -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile pub.log -DCPSConfigFile tcp.ini 
pub PID: 1180 started at 2023-04-26 15:52:37
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyDataReaderListener/subscriber -ORBDebugLevel 1  -DCPSPendingTimeout 2 -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile sub.log -DCPSConfigFile tcp.ini 
sub PID: 1181 started at 2023-04-26 15:52:37
(1173|1173) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ZeroCopyDataReaderListener/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/DCPSInfoRepo/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o dcps_ir.ior
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DCPSInfoRepo/pubsub -k file://dcps_ir.ior -q
pubsub test
2nd topic assertion CREATED a topic
adding matching subscription

TAO_DDS_DCPSDataWriter_i::add_associations () :
	Writer 01030000.32e79c4d.00000001.00000102(9b8d5be8) Adding association to a reader:
	 reader id - 01030000.32e79c4d.00000002.00000107(ac47d5b7)
	 transport_id - fake transport for test

TAO_DDS_DCPSDataReader_i::add_associations () :
	Reader 01030000.32e79c4d.00000002.00000107(ac47d5b7) Adding association to writer:
	 writer id - 01030000.32e79c4d.00000001.00000102(9b8d5be8)
	 transport_id - fake transport for test

adding incompatible publication

!!! TAO_DDS_DCPSDataReader_i::update_incompatible_qos () :
	2 new incompatible DataWriters 2  total
	Last incompatible QOS policy was 23
	Policy - 11	count - 1
	Policy - 23	count - 1

!!! TAO_DDS_DCPSDataWriter_i::update_incompatible_qos () :
	2 new incompatible DataReaders 2  total
	Last incompatible QOS policy was 23
	Policy - 11	count - 1
	Policy - 23	count - 1

TAO_DDS_DCPSDataWriter_i::remove_associations () :
	Removing association to 1 readers:
	Association - 0
	 RepoId - 01030000.32e79c4d.00000002.00000107(ac47d5b7)
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15

auto_run_tests_finished: tests/DCPS/DCPSInfoRepo/run_test.pl Time:2s Result:0

==============================================================================

tests/DCPS/DCPSInfoRepo/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DCPSInfoRepo/pubsub -r -q
pubsub test
2nd topic assertion FOUND a topic
adding matching subscription
(1209|1211) RtpsUdpSendStrategy::send_single_i() - destination 10.201.200.79:45471 failed send: Operation not permitted
(1209|1211) RtpsUdpSendStrategy::send_rtps_control() - failed to send RTPS control message

TAO_DDS_DCPSDataReader_i::add_associations () :
	Reader 0103000c.297a35f2.04b9cf4a.00000004(a2ec6c21) Adding association to writer:
	 writer id - 0103000c.297a35f2.04b9cf49.00000003(7b288352)
	 transport_id - fake transport for test


TAO_DDS_DCPSDataWriter_i::add_associations () :
	Writer 0103000c.297a35f2.04b9cf49.00000003(7b288352) Adding association to a reader:
	 reader id - 0103000c.297a35f2.04b9cf4a.00000004(a2ec6c21)
	 transport_id - fake transport for test
adding incompatible publication

!!! TAO_DDS_DCPSDataWriter_i::update_incompatible_qos () :
	2 new incompatible DataReaders 2  total
	Last incompatible QOS policy was 23
	Policy - 11	count - 1
	Policy - 23	count - 1

!!! TAO_DDS_DCPSDataReader_i::update_incompatible_qos () :
	1 new incompatible DataWriters 1  total
	Last incompatible QOS policy was 11
	Policy - 11	count - 1

auto_run_tests_finished: tests/DCPS/DCPSInfoRepo/run_test.pl rtps_disc Time:2s Result:0

==============================================================================

tests/DCPS/MockedTypeSupport/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1216
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MockedTypeSupport/MockedTypeSupport -ORBLogFile test.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
ut PID: 1223 started at 2023-04-26 15:52:43
(1216|1216) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/MockedTypeSupport/run_test.pl Time:6s Result:0

==============================================================================

tests/DCPS/FooTest3_2/run_test.pl bp_remove #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -NOBITS
InfoRepo PID: 1232
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest3_2/FooTest3_publisher  -t 1 -w 1 -m 0 -i 100  -n 12345678 -d 1 -l 0 -r 1  -b 0  -DCPSBit 0 
publisher PID: 1239 started at 2023-04-26 15:52:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest3_2/FooTest3_subscriber  -n 100 -l 100 -DCPSBit 0 
subscriber PID: 1240 started at 2023-04-26 15:52:48
0x1
(1240|1240) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1239|1239) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1239|1239) Writer::start
(1239|1249) Writer::svc
DataReaderListener.cpp:105: INFO: on_subscription_matched()
DataReaderListener.cpp:98: INFO: on_liveliness_changed()
DataReaderListener.cpp:98: INFO: on_liveliness_changed()
DataReaderListener.cpp:105: INFO: on_subscription_matched()
(1239|1239) PubDriver::end
(1239|1239) Writer::end
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/FooTest3_2/run_test.pl bp_remove Time:2s Result:0

==============================================================================

tests/DCPS/FindTopic/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FindTopic/findtopic  -DCPSPendingTimeout 3 
findtopic PID: 1251 started at 2023-04-26 15:52:50
findtopic.cpp:144 main() using Local Discovery
test PASSED.

auto_run_tests_finished: tests/DCPS/FindTopic/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/FindTopic/run_test.pl rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FindTopic/findtopic rtps -DCPSPendingTimeout 3 
findtopic PID: 1256 started at 2023-04-26 15:52:50
findtopic.cpp:141 main() using RTPS Discovery
test PASSED.

auto_run_tests_finished: tests/DCPS/FindTopic/run_test.pl rtps Time:0s Result:0

==============================================================================

tests/DCPS/InconsistentTopic/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/InconsistentTopic/pubsub  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pubsub.log -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
pubsub PID: 1263 started at 2023-04-26 15:52:50
Waiting for participant 2 to discover topic from participant 1 
test PASSED.

auto_run_tests_finished: tests/DCPS/InconsistentTopic/run_test.pl rtps_disc Time:2s Result:0

==============================================================================

tests/DCPS/TopicReuse/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TopicReuse/tpreuse  -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile tpreuse.log -DCPSPendingTimeout 3 
tpreuse PID: 1274 started at 2023-04-26 15:52:52
test PASSED.

auto_run_tests_finished: tests/DCPS/TopicReuse/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/DpShutdown/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DpShutdown/dpshutdown  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile dpshutdown.log -DCPSPendingTimeout 3 
dpshutdown PID: 1283 started at 2023-04-26 15:52:52
test PASSED.

auto_run_tests_finished: tests/DCPS/DpShutdown/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/ContainsEntity/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ContainsEntity/containsentity   -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile containsentity.log -DCPSPendingTimeout 3 
containsentity PID: 1294 started at 2023-04-26 15:52:52
test PASSED.

auto_run_tests_finished: tests/DCPS/ContainsEntity/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Serializer/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Serializer/SerializerTest  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile SerializerTest.log -DCPSPendingTimeout 3 
SerializerTest PID: 1305 started at 2023-04-26 15:52:53
Size of Values: 112
Size of ArrayValues: 976


*** Unaligned CDR, little-endian (native)

STARTING INSERTION OF SINGLE VALUES WITHOUT SWAPPING

BYTES WRITTEN: 354
01 11                                              ..              

45 23 ef                                           E#.             

cd ab 67 ef                                        ..g.            

cd ab 89 67 45                                     ...gE           

23 01 22 23 01 ab                                  #."#..          

89 67 45 ab 89 67 45                               .gE..gE         

23 01 ef cd cd cc cc 3d                            #......=        

9a 99 99 99 99 99 c9 3f  67                        .......?g       

45 23 01 ef cd ab 89 3e  40 00                     E#.....>@.      

00 00 00 00 00 1a b2 00  2c 00 00 00 54 68 69 73   ........,...This
20 69 73 20 61 20 74 65  73                         is a tes       

74 20 6f 66 20 74 68 65  20 73 74 72 69 6e 67 20   t of the string 
73 65 72 69 61 6c 69 7a  61 74 69 6f 6e 2e         serialization.  

00 30 00 00 00 54 68 69  73 20 69 73 20 61 20 74   .0...This is a t
65 73 74 20 6f 66 20 74  68 65 20 73 74 64 20 73   est of the std s
74 72 69                                           tri             

6e 67 20 73 65 72 69 61  6c 69 7a 61 74 69 6f 6e   ng serialization
2e 00 58 00 00 00 54 00  68 00 69 00 73 00 20 00   ..X...T.h.i.s. .
69 00 73 00 20 00 61 00                            i.s. .a.        

20 00 74 00 65 00 73 00  74 00 20 00 6f 00 66 00    .t.e.s.t. .o.f.
20 00 74 00 68 00 65 00  20 00 77 00 73 00 74 00    .t.h.e. .w.s.t.
72 00 69 00 6e 00 67 00  20 00 73 00 65            r.i.n.g. .s.e   

00 72 00 69 00 61 00 6c  00 69 00 7a 00 61 00 74   .r.i.a.l.i.z.a.t
00 69 00 6f 00 6e 00 2e  00 60 00 00 00 54 00 68   .i.o.n...`...T.h
00 69 00 73 00 20 00 69  00 73 00 20 00 61 00 20   .i.s. .i.s. .a. 
00 74                                              .t              

00 65 00 73 00 74 00 20  00 6f 00 66 00 20 00 74   .e.s.t. .o.f. .t
00 68 00 65 00 20 00 73  00 74 00 64 00 20 00 77   .h.e. .s.t.d. .w
00 73 00 74 00 72 00 69  00 6e 00 67 00 20 00 73   .s.t.r.i.n.g. .s
00 65 00 72 00 69 00 61  00 6c 00 69 00 7a 00 61   .e.r.i.a.l.i.z.a
00 74 00 69 00 6f 00 6e  00 2e 00                  .t.i.o.n...     

EXTRACTING SINGLE VALUES WITHOUT SWAPPING

STARTING INSERTION OF ARRAY VALUES WITHOUT SWAPPING

BYTES WRITTEN: 930
00 01                                              ..              

02 03 04                                           ...             

05 06 07 08                                        ....            

09 0a 0b 0c 0d                                     .....           

0e 00 01 00 01 04                                  ......          

05 04 05 08 09 08 09                               .......         

0c 0d 0c 00 00 01 00 02                            ........        

00 03 00 04 00 05 00 06  00                        .........       

07 00 08 00 09 00 0a 00  0b 00                     ..........      

0c 00 0d 00 0e 00 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f                        .........       

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f         ..............  

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f ef cd ab 89 67   ...............g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01                                           E#.             

ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01                            ....gE#.        

ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67            ....gE#.....g   

45 23 01 ef cd ab 89 67  45 23 01 dd dd df df dd   E#.....gE#......
dd df df dd dd df df dd  dd df ff ff ff ff ff ff   ................
ff ff ff ff ff ff ff ff  ff ff ff ff ff ff ff ff   ................
ff ff                                              ..              

ff ff ff ff ff ff f0 f0  f0 f0 f1 f0 f0 f0 f2 f0   ................
f0 f0 f3 f0 f0 f0 f4 f0  f0 f0 f5 f0 f0 f0 f6 f0   ................
f0 f0 f7 f0 f0 f0 f8 f0  f0 f0 f9 f0 f0 f0 fa f0   ................
f0 f0 fb f0 f0 f0 fc f0  f0 f0 fd f0 f0 f0 fe f0   ................
f0 f0 ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.

ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd 00 00 00 00 00 00   ....gE#.........
80 3f 00 00 00 3f ab aa  aa 3e 00 00 80 3e cd cc   .?...?...>...>..
4c 3e ab aa 2a 3e 25 49  12 3e 00 00 00 3e 39 8e   L>..*>%I.>...>9.
e3 3d cd cc cc 3d 8c 2e  ba 3d ab aa aa 3d d9 89   .=...=...=...=..
9d 3d 25 49 92 3d 00 00  00 00 00 00 00 00 00 00   .=%I.=..........
00 00 00 00 08 40 00 00  00 00 00 00 f8 3f 00 00   .....@.......?..
00 00 00 00 f0 3f 00 00  00 00 00 00 e8 3f 33 33   .....?.......?33
33 33 33 33 e3 3f 00 00  00 00 00 00 e0 3f db b6   3333.?.......?..
6d db b6 6d db 3f 00 00  00 00 00 00 d8 3f 55 55   m..m.?.......?UU
55 55 55 55 d5 3f 33 33  33 33 33 33 d3 3f 74 d1   UUUU.?333333.?t.
45 17 5d 74 d1 3f 00 00  00 00 00 00 d0 3f 9e d8   E.]t.?.......?..
89 9d d8 89 cd 3f db b6  6d db b6 6d cb 3f 67 45   .....?..m..m.?gE
23 01 ef cd ab 89 3e 40  00 00 01 00 00 00 67 45   #.....>@......gE

23 01 ef cd ab 89 3e 40  00 00 33 7f 00 00 67 45   #.....>@..3...gE
23 01 ef cd ab 89 3e 40  00 00 3b 00 00 00 67 45   #.....>@..;...gE
23 01 ef cd ab 89 3e 40  00 00 fe 7f 00 00 67 45   #.....>@......gE
23 01 ef cd ab 89 3e 40  00 00 33 7f 00 00 67 45   #.....>@..3...gE
23 01 ef cd ab 89 3e 40  00 00 3b 00 00 00 67 45   #.....>@..;...gE
23 01 ef cd ab 89 3e 40  00 00 33 7f 00 00 67 45   #.....>@..3...gE
23 01 ef cd ab 89 3e 40  00 00 00 00 00 00 67 45   #.....>@......gE
23 01 ef cd ab 89 3e 40  00 00 3b 00 00 00 67 45   #.....>@..;...gE
23 01 ef cd ab 89 3e 40  00 00 fe 7f 00 00 67 45   #.....>@......gE
23 01 ef cd ab 89 3e 40  00 00 fe 7f 00 00 67 45   #.....>@......gE
23 01 ef cd ab 89 3e 40  00 00 3b 00 00 00 67 45   #.....>@..;...gE
23 01 ef cd ab 89 3e 40  00 00 3b 00 00 00 67 45   #.....>@..;...gE
23 01 ef cd ab 89 3e 40  00 00 01 00 00 00 67 45   #.....>@......gE
23 01 ef cd ab 89 3e 40  00 00 00 00 00 00 00 01   #.....>@........
02 03 04 05 06 07 08 09  0a 0b 0c 0d 0e 00 00 01   ................
00 02 00 03 00 04 00 05  00 06 00 07 00 08 00 09   ................
00 0a 00 0b 00 0c 00 0d  00 0e 00                  ...........     

EXTRACTING ARRAY VALUES WITHOUT SWAPPING


*** Unaligned CDR, big-endian (non-native)

STARTING INSERTION OF SINGLE VALUES WITH SWAPPING

BYTES WRITTEN: 354
01 11                                              ..              

23 45 67                                           #Eg             

ab cd ef 01                                        ....            

23 45 67 89 ab                                     #Eg..           

cd ef 22 01 23 45                                  ..".#E          

67 89 ab cd ef 01 23                               g.....#         

45 67 89 ab 3d cc cc cd                            Eg..=...        

3f c9 99 99 99 99 99 9a  00                        ?........       

00 00 00 00 00 40 3e 89  ab cd                     .....@>...      

ef 01 23 45 67 1a 00 b2  00 00 00 2c 54 68 69 73   ..#Eg......,This
20 69 73 20 61 20 74 65  73                         is a tes       

74 20 6f 66 20 74 68 65  20 73 74 72 69 6e 67 20   t of the string 
73 65 72 69 61 6c 69 7a  61 74 69 6f 6e 2e         serialization.  

00 00 00 00 30 54 68 69  73 20 69 73 20 61 20 74   ....0This is a t
65 73 74 20 6f 66 20 74  68 65 20 73 74 64 20 73   est of the std s
74 72 69                                           tri             

6e 67 20 73 65 72 69 61  6c 69 7a 61 74 69 6f 6e   ng serialization
2e 00 00 00 00 58 00 54  00 68 00 69 00 73 00 20   .....X.T.h.i.s. 
00 69 00 73 00 20 00 61                            .i.s. .a        

00 20 00 74 00 65 00 73  00 74 00 20 00 6f 00 66   . .t.e.s.t. .o.f
00 20 00 74 00 68 00 65  00 20 00 77 00 73 00 74   . .t.h.e. .w.s.t
00 72 00 69 00 6e 00 67  00 20 00 73 00            .r.i.n.g. .s.   

65 00 72 00 69 00 61 00  6c 00 69 00 7a 00 61 00   e.r.i.a.l.i.z.a.
74 00 69 00 6f 00 6e 00  2e 00 00 00 60 00 54 00   t.i.o.n.....`.T.
68 00 69 00 73 00 20 00  69 00 73 00 20 00 61 00   h.i.s. .i.s. .a.
20 00                                               .              

74 00 65 00 73 00 74 00  20 00 6f 00 66 00 20 00   t.e.s.t. .o.f. .
74 00 68 00 65 00 20 00  73 00 74 00 64 00 20 00   t.h.e. .s.t.d. .
77 00 73 00 74 00 72 00  69 00 6e 00 67 00 20 00   w.s.t.r.i.n.g. .
73 00 65 00 72 00 69 00  61 00 6c 00 69 00 7a 00   s.e.r.i.a.l.i.z.
61 00 74 00 69 00 6f 00  6e 00 2e                  a.t.i.o.n..     

EXTRACTING SINGLE VALUES WITH SWAPPING

STARTING INSERTION OF ARRAY VALUES WITH SWAPPING

BYTES WRITTEN: 930
00 01                                              ..              

02 03 04                                           ...             

05 06 07 08                                        ....            

09 0a 0b 0c 0d                                     .....           

0e 00 01 00 01 04                                  ......          

05 04 05 08 09 08 09                               .......         

0c 0d 0c 00 00 00 01 00                            ........        

02 00 03 00 04 00 05 00  06                        .........       

00 07 00 08 00 09 00 0a  00 0b                     ..........      

00 0c 00 0d 00 0e 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f                        .........       

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f         ..............  

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 01 23 45 67 89   ............#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef                                           ...             

01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef                            .#Eg....        

01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89            .#Eg.....#Eg.   

ab cd ef 01 23 45 67 89  ab cd ef dd dd df df dd   ....#Eg.........
dd df df dd dd df df dd  dd df ff ff ff ff ff ff   ................
ff ff ff ff ff ff ff ff  ff ff ff ff ff ff ff ff   ................
ff ff                                              ..              

ff ff ff ff ff ff f0 f0  f0 f0 f0 f0 f0 f1 f0 f0   ................
f0 f2 f0 f0 f0 f3 f0 f0  f0 f4 f0 f0 f0 f5 f0 f0   ................
f0 f6 f0 f0 f0 f7 f0 f0  f0 f8 f0 f0 f0 f9 f0 f0   ................
f0 fa f0 f0 f0 fb f0 f0  f0 fc f0 f0 f0 fd f0 f0   ................
f0 fe cd ef 01 23 45 67  89 ab cd ef 01 23 45 67   .....#Eg.....#Eg
89 ab cd ef 01 23 45 67  89 ab cd ef 01 23 45 67   .....#Eg.....#Eg
89 ab cd ef 01 23 45 67  89 ab cd ef 01 23 45 67   .....#Eg.....#Eg
89 ab cd ef 01 23 45 67  89 ab cd ef 01 23 45 67   .....#Eg.....#Eg

89 ab cd ef 01 23 45 67  89 ab cd ef 01 23 45 67   .....#Eg.....#Eg
89 ab cd ef 01 23 45 67  89 ab cd ef 01 23 45 67   .....#Eg.....#Eg
89 ab cd ef 01 23 45 67  89 ab cd ef 01 23 45 67   .....#Eg.....#Eg
89 ab cd ef 01 23 45 67  89 ab 00 00 00 00 3f 80   .....#Eg......?.
00 00 3f 00 00 00 3e aa  aa ab 3e 80 00 00 3e 4c   ..?...>...>...>L
cc cd 3e 2a aa ab 3e 12  49 25 3e 00 00 00 3d e3   ..>*..>.I%>...=.
8e 39 3d cc cc cd 3d ba  2e 8c 3d aa aa ab 3d 9d   .9=...=...=...=.
89 d9 3d 92 49 25 00 00  00 00 00 00 00 00 40 08   ..=.I%........@.
00 00 00 00 00 00 3f f8  00 00 00 00 00 00 3f f0   ......?.......?.
00 00 00 00 00 00 3f e8  00 00 00 00 00 00 3f e3   ......?.......?.
33 33 33 33 33 33 3f e0  00 00 00 00 00 00 3f db   333333?.......?.
6d b6 db 6d b6 db 3f d8  00 00 00 00 00 00 3f d5   m..m..?.......?.
55 55 55 55 55 55 3f d3  33 33 33 33 33 33 3f d1   UUUUUU?.333333?.
74 5d 17 45 d1 74 3f d0  00 00 00 00 00 00 3f cd   t].E.t?.......?.
89 d8 9d 89 d8 9e 3f cb  6d b6 db 6d b6 db 00 00   ......?.m..m....
00 01 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   ....@>.....#Eg..

7f 33 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   .3..@>.....#Eg..
00 3b 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   .;..@>.....#Eg..
7f fe 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   ....@>.....#Eg..
7f 33 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   .3..@>.....#Eg..
00 3b 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   .;..@>.....#Eg..
7f 33 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   .3..@>.....#Eg..
00 00 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   ....@>.....#Eg..
00 3b 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   .;..@>.....#Eg..
7f fe 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   ....@>.....#Eg..
7f fe 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   ....@>.....#Eg..
00 3b 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   .;..@>.....#Eg..
00 3b 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   .;..@>.....#Eg..
00 01 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   ....@>.....#Eg..
00 00 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 01   ....@>.....#Eg..
02 03 04 05 06 07 08 09  0a 0b 0c 0d 0e 00 00 00   ................
01 00 02 00 03 00 04 00  05 00 06 00 07 00 08 00   ................
09 00 0a 00 0b 00 0c 00  0d 00 0e                  ...........     

EXTRACTING ARRAY VALUES WITH SWAPPING


*** CDR/XCDR1, little-endian (native)

STARTING INSERTION OF SINGLE VALUES WITHOUT SWAPPING

BYTES WRITTEN: 360
01 11                                              ..              

45 23 ef                                           E#.             

cd ab 67 ef                                        ..g.            

cd ab 89 67 45                                     ...gE           

23 01 22 00 23 01                                  #.".#.          

ab 89 67 45 ab 89 67                               ..gE..g         

45 23 01 ef cd cd cc cc                            E#......        

3d 00 00 00 00 9a 99 99  99                        =........       

99 99 c9 3f 67 45 23 01  ef cd                     ...?gE#...      

ab 89 3e 40 00 00 00 00  00 00 1a 00 b2 00 2c 00   ..>@..........,.
00 00 54 68 69 73 20 69  73                        ..This is       

20 61 20 74 65 73 74 20  6f 66 20 74 68 65 20 73    a test of the s
74 72 69 6e 67 20 73 65  72 69 61 6c 69 7a         tring serializ  

61 74 69 6f 6e 2e 00 30  00 00 00 54 68 69 73 20   ation..0...This 
69 73 20 61 20 74 65 73  74 20 6f 66 20 74 68 65   is a test of the
20 73 74                                            st             

64 20 73 74 72 69 6e 67  20 73 65 72 69 61 6c 69   d string seriali
7a 61 74 69 6f 6e 2e 00  58 00 00 00 54 00 68 00   zation..X...T.h.
69 00 73 00 20 00 69 00                            i.s. .i.        

73 00 20 00 61 00 20 00  74 00 65 00 73 00 74 00   s. .a. .t.e.s.t.
20 00 6f 00 66 00 20 00  74 00 68 00 65 00 20 00    .o.f. .t.h.e. .
77 00 73 00 74 00 72 00  69 00 6e 00 67            w.s.t.r.i.n.g   

00 20 00 73 00 65 00 72  00 69 00 61 00 6c 00 69   . .s.e.r.i.a.l.i
00 7a 00 61 00 74 00 69  00 6f 00 6e 00 2e 00 60   .z.a.t.i.o.n...`
00 00 00 54 00 68 00 69  00 73 00 20 00 69 00 73   ...T.h.i.s. .i.s
00 20                                              .               

00 61 00 20 00 74 00 65  00 73 00 74 00 20 00 6f   .a. .t.e.s.t. .o
00 66 00 20 00 74 00 68  00 65 00 20 00 73 00 74   .f. .t.h.e. .s.t
00 64 00 20 00 77 00 73  00 74 00 72 00 69 00 6e   .d. .w.s.t.r.i.n
00 67 00 20 00 73 00 65  00 72 00 69 00 61 00 6c   .g. .s.e.r.i.a.l
00 69 00 7a 00 61 00 74  00 69 00 6f 00 6e 00 2e   .i.z.a.t.i.o.n..
00                                                 .               

EXTRACTING SINGLE VALUES WITHOUT SWAPPING

STARTING INSERTION OF ARRAY VALUES WITHOUT SWAPPING

BYTES WRITTEN: 942
00 01                                              ..              

02 03 04                                           ...             

05 06 07 08                                        ....            

09 0a 0b 0c 0d                                     .....           

0e 00 01 00 01 04                                  ......          

05 04 05 08 09 08 09                               .......         

0c 0d 0c 00 00 01 00 02                            ........        

00 03 00 04 00 05 00 06  00                        .........       

07 00 08 00 09 00 0a 00  0b 00                     ..........      

0c 00 0d 00 0e 00 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f                        .........       

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f         ..............  

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f ef cd ab 89 67   ...............g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01                                           E#.             

ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01                            ....gE#.        

ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67            ....gE#.....g   

45 23 01 ef cd ab 89 67  45 23 01 dd dd df df dd   E#.....gE#......
dd df df dd dd df df dd  dd df 00 ff ff ff ff ff   ................
ff ff ff ff ff ff ff ff  ff ff ff ff ff ff ff ff   ................
ff ff                                              ..              

ff ff ff ff ff ff ff 00  00 f0 f0 f0 f0 f1 f0 f0   ................
f0 f2 f0 f0 f0 f3 f0 f0  f0 f4 f0 f0 f0 f5 f0 f0   ................
f0 f6 f0 f0 f0 f7 f0 f0  f0 f8 f0 f0 f0 f9 f0 f0   ................
f0 fa f0 f0 f0 fb f0 f0  f0 fc f0 f0 f0 fd f0 f0   ................
f0 fe f0 f0 f0 00 00 00  00 ab 89 67 45 23 01 ef   ...........gE#..
cd ab 89 67 45 23 01 ef  cd ab 89 67 45 23 01 ef   ...gE#.....gE#..
cd ab 89 67 45 23 01 ef  cd ab 89 67 45 23 01 ef   ...gE#.....gE#..
cd ab 89 67 45 23 01 ef  cd ab 89 67 45 23 01 ef   ...gE#.....gE#..

cd ab 89 67 45 23 01 ef  cd ab 89 67 45 23 01 ef   ...gE#.....gE#..
cd ab 89 67 45 23 01 ef  cd ab 89 67 45 23 01 ef   ...gE#.....gE#..
cd ab 89 67 45 23 01 ef  cd ab 89 67 45 23 01 ef   ...gE#.....gE#..
cd ab 89 67 45 23 01 ef  cd ab 89 67 45 23 01 ef   ...gE#.....gE#..
cd 00 00 00 00 00 00 80  3f 00 00 00 3f ab aa aa   ........?...?...
3e 00 00 80 3e cd cc 4c  3e ab aa 2a 3e 25 49 12   >...>..L>..*>%I.
3e 00 00 00 3e 39 8e e3  3d cd cc cc 3d 8c 2e ba   >...>9..=...=...
3d ab aa aa 3d d9 89 9d  3d 25 49 92 3d 00 00 00   =...=...=%I.=...
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 08   ................
40 00 00 00 00 00 00 f8  3f 00 00 00 00 00 00 f0   @.......?.......
3f 00 00 00 00 00 00 e8  3f 33 33 33 33 33 33 e3   ?.......?333333.
3f 00 00 00 00 00 00 e0  3f db b6 6d db b6 6d db   ?.......?..m..m.
3f 00 00 00 00 00 00 d8  3f 55 55 55 55 55 55 d5   ?.......?UUUUUU.
3f 33 33 33 33 33 33 d3  3f 74 d1 45 17 5d 74 d1   ?333333.?t.E.]t.
3f 00 00 00 00 00 00 d0  3f 9e d8 89 9d d8 89 cd   ?.......?.......
3f db b6 6d db b6 6d cb  3f 67 45 23 01 ef cd ab   ?..m..m.?gE#....

89 3e 40 00 00 01 00 00  00 67 45 23 01 ef cd ab   .>@......gE#....
89 3e 40 00 00 33 7f 00  00 67 45 23 01 ef cd ab   .>@..3...gE#....
89 3e 40 00 00 3b 00 00  00 67 45 23 01 ef cd ab   .>@..;...gE#....
89 3e 40 00 00 fe 7f 00  00 67 45 23 01 ef cd ab   .>@......gE#....
89 3e 40 00 00 33 7f 00  00 67 45 23 01 ef cd ab   .>@..3...gE#....
89 3e 40 00 00 3b 00 00  00 67 45 23 01 ef cd ab   .>@..;...gE#....
89 3e 40 00 00 33 7f 00  00 67 45 23 01 ef cd ab   .>@..3...gE#....
89 3e 40 00 00 00 00 00  00 67 45 23 01 ef cd ab   .>@......gE#....
89 3e 40 00 00 3b 00 00  00 67 45 23 01 ef cd ab   .>@..;...gE#....
89 3e 40 00 00 fe 7f 00  00 67 45 23 01 ef cd ab   .>@......gE#....
89 3e 40 00 00 fe 7f 00  00 67 45 23 01 ef cd ab   .>@......gE#....
89 3e 40 00 00 3b 00 00  00 67 45 23 01 ef cd ab   .>@..;...gE#....
89 3e 40 00 00 3b 00 00  00 67 45 23 01 ef cd ab   .>@..;...gE#....
89 3e 40 00 00 01 00 00  00 67 45 23 01 ef cd ab   .>@......gE#....
89 3e 40 00 00 00 00 00  00 00 01 02 03 04 05 06   .>@.............
07 08 09 0a 0b 0c 0d 0e  00 00 00 01 00 02 00 03   ................
00 04 00 05 00 06 00 07  00 08 00 09 00 0a 00 0b   ................
00 0c 00 0d 00 0e 00                               .......         

EXTRACTING ARRAY VALUES WITHOUT SWAPPING


*** CDR/XCDR1, big-endian (non-native)

STARTING INSERTION OF SINGLE VALUES WITH SWAPPING

BYTES WRITTEN: 360
01 11                                              ..              

23 45 67                                           #Eg             

ab cd ef 01                                        ....            

23 45 67 89 ab                                     #Eg..           

cd ef 22 00 01 23                                  .."..#          

45 67 89 ab cd ef 01                               Eg.....         

23 45 67 89 ab 3d cc cc                            #Eg..=..        

cd 00 00 00 00 3f c9 99  99                        .....?...       

99 99 99 9a 00 00 00 00  00 00                     ..........      

40 3e 89 ab cd ef 01 23  45 67 1a 00 00 b2 00 00   @>.....#Eg......
00 2c 54 68 69 73 20 69  73                        .,This is       

20 61 20 74 65 73 74 20  6f 66 20 74 68 65 20 73    a test of the s
74 72 69 6e 67 20 73 65  72 69 61 6c 69 7a         tring serializ  

61 74 69 6f 6e 2e 00 00  00 00 30 54 68 69 73 20   ation.....0This 
69 73 20 61 20 74 65 73  74 20 6f 66 20 74 68 65   is a test of the
20 73 74                                            st             

64 20 73 74 72 69 6e 67  20 73 65 72 69 61 6c 69   d string seriali
7a 61 74 69 6f 6e 2e 00  00 00 00 58 00 54 00 68   zation.....X.T.h
00 69 00 73 00 20 00 69                            .i.s. .i        

00 73 00 20 00 61 00 20  00 74 00 65 00 73 00 74   .s. .a. .t.e.s.t
00 20 00 6f 00 66 00 20  00 74 00 68 00 65 00 20   . .o.f. .t.h.e. 
00 77 00 73 00 74 00 72  00 69 00 6e 00            .w.s.t.r.i.n.   

67 00 20 00 73 00 65 00  72 00 69 00 61 00 6c 00   g. .s.e.r.i.a.l.
69 00 7a 00 61 00 74 00  69 00 6f 00 6e 00 2e 00   i.z.a.t.i.o.n...
00 00 60 00 54 00 68 00  69 00 73 00 20 00 69 00   ..`.T.h.i.s. .i.
73 00                                              s.              

20 00 61 00 20 00 74 00  65 00 73 00 74 00 20 00    .a. .t.e.s.t. .
6f 00 66 00 20 00 74 00  68 00 65 00 20 00 73 00   o.f. .t.h.e. .s.
74 00 64 00 20 00 77 00  73 00 74 00 72 00 69 00   t.d. .w.s.t.r.i.
6e 00 67 00 20 00 73 00  65 00 72 00 69 00 61 00   n.g. .s.e.r.i.a.
6c 00 69 00 7a 00 61 00  74 00 69 00 6f 00 6e 00   l.i.z.a.t.i.o.n.
2e                                                 .               

EXTRACTING SINGLE VALUES WITH SWAPPING

STARTING INSERTION OF ARRAY VALUES WITH SWAPPING

BYTES WRITTEN: 942
00 01                                              ..              

02 03 04                                           ...             

05 06 07 08                                        ....            

09 0a 0b 0c 0d                                     .....           

0e 00 01 00 01 04                                  ......          

05 04 05 08 09 08 09                               .......         

0c 0d 0c 00 00 00 01 00                            ........        

02 00 03 00 04 00 05 00  06                        .........       

00 07 00 08 00 09 00 0a  00 0b                     ..........      

00 0c 00 0d 00 0e 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f                        .........       

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f         ..............  

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 01 23 45 67 89   ............#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef                                           ...             

01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef                            .#Eg....        

01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89            .#Eg.....#Eg.   

ab cd ef 01 23 45 67 89  ab cd ef dd dd df df dd   ....#Eg.........
dd df df dd dd df df dd  dd df 00 ff ff ff ff ff   ................
ff ff ff ff ff ff ff ff  ff ff ff ff ff ff ff ff   ................
ff ff                                              ..              

ff ff ff ff ff ff ff 00  00 f0 f0 f0 f0 f0 f0 f0   ................
f1 f0 f0 f0 f2 f0 f0 f0  f3 f0 f0 f0 f4 f0 f0 f0   ................
f5 f0 f0 f0 f6 f0 f0 f0  f7 f0 f0 f0 f8 f0 f0 f0   ................
f9 f0 f0 f0 fa f0 f0 f0  fb f0 f0 f0 fc f0 f0 f0   ................
fd f0 f0 f0 fe 00 00 00  00 cd ef 01 23 45 67 89   ............#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.

ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab 00 00 00 00 3f 80 00  00 3f 00 00 00 3e aa aa   .....?...?...>..
ab 3e 80 00 00 3e 4c cc  cd 3e 2a aa ab 3e 12 49   .>...>L..>*..>.I
25 3e 00 00 00 3d e3 8e  39 3d cc cc cd 3d ba 2e   %>...=..9=...=..
8c 3d aa aa ab 3d 9d 89  d9 3d 92 49 25 00 00 00   .=...=...=.I%...
00 00 00 00 00 00 00 00  00 40 08 00 00 00 00 00   .........@......
00 3f f8 00 00 00 00 00  00 3f f0 00 00 00 00 00   .?.......?......
00 3f e8 00 00 00 00 00  00 3f e3 33 33 33 33 33   .?.......?.33333
33 3f e0 00 00 00 00 00  00 3f db 6d b6 db 6d b6   3?.......?.m..m.
db 3f d8 00 00 00 00 00  00 3f d5 55 55 55 55 55   .?.......?.UUUUU
55 3f d3 33 33 33 33 33  33 3f d1 74 5d 17 45 d1   U?.333333?.t].E.
74 3f d0 00 00 00 00 00  00 3f cd 89 d8 9d 89 d8   t?.......?......
9e 3f cb 6d b6 db 6d b6  db 00 00 00 01 00 00 40   .?.m..m........@

3e 89 ab cd ef 01 23 45  67 00 00 7f 33 00 00 40   >.....#Eg...3..@
3e 89 ab cd ef 01 23 45  67 00 00 00 3b 00 00 40   >.....#Eg...;..@
3e 89 ab cd ef 01 23 45  67 00 00 7f fe 00 00 40   >.....#Eg......@
3e 89 ab cd ef 01 23 45  67 00 00 7f 33 00 00 40   >.....#Eg...3..@
3e 89 ab cd ef 01 23 45  67 00 00 00 3b 00 00 40   >.....#Eg...;..@
3e 89 ab cd ef 01 23 45  67 00 00 7f 33 00 00 40   >.....#Eg...3..@
3e 89 ab cd ef 01 23 45  67 00 00 00 00 00 00 40   >.....#Eg......@
3e 89 ab cd ef 01 23 45  67 00 00 00 3b 00 00 40   >.....#Eg...;..@
3e 89 ab cd ef 01 23 45  67 00 00 7f fe 00 00 40   >.....#Eg......@
3e 89 ab cd ef 01 23 45  67 00 00 7f fe 00 00 40   >.....#Eg......@
3e 89 ab cd ef 01 23 45  67 00 00 00 3b 00 00 40   >.....#Eg...;..@
3e 89 ab cd ef 01 23 45  67 00 00 00 3b 00 00 40   >.....#Eg...;..@
3e 89 ab cd ef 01 23 45  67 00 00 00 01 00 00 40   >.....#Eg......@
3e 89 ab cd ef 01 23 45  67 00 00 00 00 00 00 40   >.....#Eg......@
3e 89 ab cd ef 01 23 45  67 00 01 02 03 04 05 06   >.....#Eg.......
07 08 09 0a 0b 0c 0d 0e  00 00 00 00 01 00 02 00   ................
03 00 04 00 05 00 06 00  07 00 08 00 09 00 0a 00   ................
0b 00 0c 00 0d 00 0e                               .......         

EXTRACTING ARRAY VALUES WITH SWAPPING


*** XCDR2, little-endian (native)

STARTING INSERTION OF SINGLE VALUES WITHOUT SWAPPING

BYTES WRITTEN: 356
01 11                                              ..              

45 23 ef                                           E#.             

cd ab 67 ef                                        ..g.            

cd ab 89 67 45                                     ...gE           

23 01 22 00 23 01                                  #.".#.          

ab 89 67 45 ab 89 67                               ..gE..g         

45 23 01 ef cd cd cc cc                            E#......        

3d 9a 99 99 99 99 99 c9  3f                        =.......?       

67 45 23 01 ef cd ab 89  3e 40                     gE#.....>@      

00 00 00 00 00 00 1a 00  b2 00 2c 00 00 00 54 68   ..........,...Th
69 73 20 69 73 20 61 20  74                        is is a t       

65 73 74 20 6f 66 20 74  68 65 20 73 74 72 69 6e   est of the strin
67 20 73 65 72 69 61 6c  69 7a 61 74 69 6f         g serializatio  

6e 2e 00 30 00 00 00 54  68 69 73 20 69 73 20 61   n..0...This is a
20 74 65 73 74 20 6f 66  20 74 68 65 20 73 74 64    test of the std
20 73 74                                            st             

72 69 6e 67 20 73 65 72  69 61 6c 69 7a 61 74 69   ring serializati
6f 6e 2e 00 58 00 00 00  54 00 68 00 69 00 73 00   on..X...T.h.i.s.
20 00 69 00 73 00 20 00                             .i.s. .        

61 00 20 00 74 00 65 00  73 00 74 00 20 00 6f 00   a. .t.e.s.t. .o.
66 00 20 00 74 00 68 00  65 00 20 00 77 00 73 00   f. .t.h.e. .w.s.
74 00 72 00 69 00 6e 00  67 00 20 00 73            t.r.i.n.g. .s   

00 65 00 72 00 69 00 61  00 6c 00 69 00 7a 00 61   .e.r.i.a.l.i.z.a
00 74 00 69 00 6f 00 6e  00 2e 00 60 00 00 00 54   .t.i.o.n...`...T
00 68 00 69 00 73 00 20  00 69 00 73 00 20 00 61   .h.i.s. .i.s. .a
00 20                                              .               

00 74 00 65 00 73 00 74  00 20 00 6f 00 66 00 20   .t.e.s.t. .o.f. 
00 74 00 68 00 65 00 20  00 73 00 74 00 64 00 20   .t.h.e. .s.t.d. 
00 77 00 73 00 74 00 72  00 69 00 6e 00 67 00 20   .w.s.t.r.i.n.g. 
00 73 00 65 00 72 00 69  00 61 00 6c 00 69 00 7a   .s.e.r.i.a.l.i.z
00 61 00 74 00 69 00 6f  00 6e 00 2e 00            .a.t.i.o.n...   

EXTRACTING SINGLE VALUES WITHOUT SWAPPING

STARTING INSERTION OF ARRAY VALUES WITHOUT SWAPPING

BYTES WRITTEN: 934
00 01                                              ..              

02 03 04                                           ...             

05 06 07 08                                        ....            

09 0a 0b 0c 0d                                     .....           

0e 00 01 00 01 04                                  ......          

05 04 05 08 09 08 09                               .......         

0c 0d 0c 00 00 01 00 02                            ........        

00 03 00 04 00 05 00 06  00                        .........       

07 00 08 00 09 00 0a 00  0b 00                     ..........      

0c 00 0d 00 0e 00 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f                        .........       

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f         ..............  

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f ef cd ab 89 67   ...............g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01                                           E#.             

ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01                            ....gE#.        

ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67            ....gE#.....g   

45 23 01 ef cd ab 89 67  45 23 01 dd dd df df dd   E#.....gE#......
dd df df dd dd df df dd  dd df 00 ff ff ff ff ff   ................
ff ff ff ff ff ff ff ff  ff ff ff ff ff ff ff ff   ................
ff ff                                              ..              

ff ff ff ff ff ff ff 00  00 f0 f0 f0 f0 f1 f0 f0   ................
f0 f2 f0 f0 f0 f3 f0 f0  f0 f4 f0 f0 f0 f5 f0 f0   ................
f0 f6 f0 f0 f0 f7 f0 f0  f0 f8 f0 f0 f0 f9 f0 f0   ................
f0 fa f0 f0 f0 fb f0 f0  f0 fc f0 f0 f0 fd f0 f0   ................
f0 fe f0 f0 f0 ab 89 67  45 23 01 ef cd ab 89 67   .......gE#.....g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g

45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01 ef cd ab 89 67  45 23 01 ef cd 00 00 00   E#.....gE#......
00 00 00 80 3f 00 00 00  3f ab aa aa 3e 00 00 80   ....?...?...>...
3e cd cc 4c 3e ab aa 2a  3e 25 49 12 3e 00 00 00   >..L>..*>%I.>...
3e 39 8e e3 3d cd cc cc  3d 8c 2e ba 3d ab aa aa   >9..=...=...=...
3d d9 89 9d 3d 25 49 92  3d 00 00 00 00 00 00 00   =...=%I.=.......
00 00 00 00 00 00 00 08  40 00 00 00 00 00 00 f8   ........@.......
3f 00 00 00 00 00 00 f0  3f 00 00 00 00 00 00 e8   ?.......?.......
3f 33 33 33 33 33 33 e3  3f 00 00 00 00 00 00 e0   ?333333.?.......
3f db b6 6d db b6 6d db  3f 00 00 00 00 00 00 d8   ?..m..m.?.......
3f 55 55 55 55 55 55 d5  3f 33 33 33 33 33 33 d3   ?UUUUUU.?333333.
3f 74 d1 45 17 5d 74 d1  3f 00 00 00 00 00 00 d0   ?t.E.]t.?.......
3f 9e d8 89 9d d8 89 cd  3f db b6 6d db b6 6d cb   ?.......?..m..m.
3f 67 45 23 01 ef cd ab  89 3e 40 00 00 01 00 00   ?gE#.....>@.....

00 67 45 23 01 ef cd ab  89 3e 40 00 00 33 7f 00   .gE#.....>@..3..
00 67 45 23 01 ef cd ab  89 3e 40 00 00 3b 00 00   .gE#.....>@..;..
00 67 45 23 01 ef cd ab  89 3e 40 00 00 fe 7f 00   .gE#.....>@.....
00 67 45 23 01 ef cd ab  89 3e 40 00 00 33 7f 00   .gE#.....>@..3..
00 67 45 23 01 ef cd ab  89 3e 40 00 00 3b 00 00   .gE#.....>@..;..
00 67 45 23 01 ef cd ab  89 3e 40 00 00 33 7f 00   .gE#.....>@..3..
00 67 45 23 01 ef cd ab  89 3e 40 00 00 00 00 00   .gE#.....>@.....
00 67 45 23 01 ef cd ab  89 3e 40 00 00 3b 00 00   .gE#.....>@..;..
00 67 45 23 01 ef cd ab  89 3e 40 00 00 fe 7f 00   .gE#.....>@.....
00 67 45 23 01 ef cd ab  89 3e 40 00 00 fe 7f 00   .gE#.....>@.....
00 67 45 23 01 ef cd ab  89 3e 40 00 00 3b 00 00   .gE#.....>@..;..
00 67 45 23 01 ef cd ab  89 3e 40 00 00 3b 00 00   .gE#.....>@..;..
00 67 45 23 01 ef cd ab  89 3e 40 00 00 01 00 00   .gE#.....>@.....
00 67 45 23 01 ef cd ab  89 3e 40 00 00 00 00 00   .gE#.....>@.....
00 00 01 02 03 04 05 06  07 08 09 0a 0b 0c 0d 0e   ................
00 00 00 01 00 02 00 03  00 04 00 05 00 06 00 07   ................
00 08 00 09 00 0a 00 0b  00 0c 00 0d 00 0e 00      ............... 

EXTRACTING ARRAY VALUES WITHOUT SWAPPING


*** XCDR2, big-endian (non-native)

STARTING INSERTION OF SINGLE VALUES WITH SWAPPING

BYTES WRITTEN: 356
01 11                                              ..              

23 45 67                                           #Eg             

ab cd ef 01                                        ....            

23 45 67 89 ab                                     #Eg..           

cd ef 22 00 01 23                                  .."..#          

45 67 89 ab cd ef 01                               Eg.....         

23 45 67 89 ab 3d cc cc                            #Eg..=..        

cd 3f c9 99 99 99 99 99  9a                        .?.......       

00 00 00 00 00 00 40 3e  89 ab                     ......@>..      

cd ef 01 23 45 67 1a 00  00 b2 00 00 00 2c 54 68   ...#Eg.......,Th
69 73 20 69 73 20 61 20  74                        is is a t       

65 73 74 20 6f 66 20 74  68 65 20 73 74 72 69 6e   est of the strin
67 20 73 65 72 69 61 6c  69 7a 61 74 69 6f         g serializatio  

6e 2e 00 00 00 00 30 54  68 69 73 20 69 73 20 61   n.....0This is a
20 74 65 73 74 20 6f 66  20 74 68 65 20 73 74 64    test of the std
20 73 74                                            st             

72 69 6e 67 20 73 65 72  69 61 6c 69 7a 61 74 69   ring serializati
6f 6e 2e 00 00 00 00 58  00 54 00 68 00 69 00 73   on.....X.T.h.i.s
00 20 00 69 00 73 00 20                            . .i.s.         

00 61 00 20 00 74 00 65  00 73 00 74 00 20 00 6f   .a. .t.e.s.t. .o
00 66 00 20 00 74 00 68  00 65 00 20 00 77 00 73   .f. .t.h.e. .w.s
00 74 00 72 00 69 00 6e  00 67 00 20 00            .t.r.i.n.g. .   

73 00 65 00 72 00 69 00  61 00 6c 00 69 00 7a 00   s.e.r.i.a.l.i.z.
61 00 74 00 69 00 6f 00  6e 00 2e 00 00 00 60 00   a.t.i.o.n.....`.
54 00 68 00 69 00 73 00  20 00 69 00 73 00 20 00   T.h.i.s. .i.s. .
61 00                                              a.              

20 00 74 00 65 00 73 00  74 00 20 00 6f 00 66 00    .t.e.s.t. .o.f.
20 00 74 00 68 00 65 00  20 00 73 00 74 00 64 00    .t.h.e. .s.t.d.
20 00 77 00 73 00 74 00  72 00 69 00 6e 00 67 00    .w.s.t.r.i.n.g.
20 00 73 00 65 00 72 00  69 00 61 00 6c 00 69 00    .s.e.r.i.a.l.i.
7a 00 61 00 74 00 69 00  6f 00 6e 00 2e            z.a.t.i.o.n..   

EXTRACTING SINGLE VALUES WITH SWAPPING

STARTING INSERTION OF ARRAY VALUES WITH SWAPPING

BYTES WRITTEN: 934
00 01                                              ..              

02 03 04                                           ...             

05 06 07 08                                        ....            

09 0a 0b 0c 0d                                     .....           

0e 00 01 00 01 04                                  ......          

05 04 05 08 09 08 09                               .......         

0c 0d 0c 00 00 00 01 00                            ........        

02 00 03 00 04 00 05 00  06                        .........       

00 07 00 08 00 09 00 0a  00 0b                     ..........      

00 0c 00 0d 00 0e 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f                        .........       

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f         ..............  

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 01 23 45 67 89   ............#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef                                           ...             

01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef                            .#Eg....        

01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89            .#Eg.....#Eg.   

ab cd ef 01 23 45 67 89  ab cd ef dd dd df df dd   ....#Eg.........
dd df df dd dd df df dd  dd df 00 ff ff ff ff ff   ................
ff ff ff ff ff ff ff ff  ff ff ff ff ff ff ff ff   ................
ff ff                                              ..              

ff ff ff ff ff ff ff 00  00 f0 f0 f0 f0 f0 f0 f0   ................
f1 f0 f0 f0 f2 f0 f0 f0  f3 f0 f0 f0 f4 f0 f0 f0   ................
f5 f0 f0 f0 f6 f0 f0 f0  f7 f0 f0 f0 f8 f0 f0 f0   ................
f9 f0 f0 f0 fa f0 f0 f0  fb f0 f0 f0 fc f0 f0 f0   ................
fd f0 f0 f0 fe cd ef 01  23 45 67 89 ab cd ef 01   ........#Eg.....
23 45 67 89 ab cd ef 01  23 45 67 89 ab cd ef 01   #Eg.....#Eg.....
23 45 67 89 ab cd ef 01  23 45 67 89 ab cd ef 01   #Eg.....#Eg.....
23 45 67 89 ab cd ef 01  23 45 67 89 ab cd ef 01   #Eg.....#Eg.....

23 45 67 89 ab cd ef 01  23 45 67 89 ab cd ef 01   #Eg.....#Eg.....
23 45 67 89 ab cd ef 01  23 45 67 89 ab cd ef 01   #Eg.....#Eg.....
23 45 67 89 ab cd ef 01  23 45 67 89 ab cd ef 01   #Eg.....#Eg.....
23 45 67 89 ab cd ef 01  23 45 67 89 ab 00 00 00   #Eg.....#Eg.....
00 3f 80 00 00 3f 00 00  00 3e aa aa ab 3e 80 00   .?...?...>...>..
00 3e 4c cc cd 3e 2a aa  ab 3e 12 49 25 3e 00 00   .>L..>*..>.I%>..
00 3d e3 8e 39 3d cc cc  cd 3d ba 2e 8c 3d aa aa   .=..9=...=...=..
ab 3d 9d 89 d9 3d 92 49  25 00 00 00 00 00 00 00   .=...=.I%.......
00 40 08 00 00 00 00 00  00 3f f8 00 00 00 00 00   .@.......?......
00 3f f0 00 00 00 00 00  00 3f e8 00 00 00 00 00   .?.......?......
00 3f e3 33 33 33 33 33  33 3f e0 00 00 00 00 00   .?.333333?......
00 3f db 6d b6 db 6d b6  db 3f d8 00 00 00 00 00   .?.m..m..?......
00 3f d5 55 55 55 55 55  55 3f d3 33 33 33 33 33   .?.UUUUUU?.33333
33 3f d1 74 5d 17 45 d1  74 3f d0 00 00 00 00 00   3?.t].E.t?......
00 3f cd 89 d8 9d 89 d8  9e 3f cb 6d b6 db 6d b6   .?.......?.m..m.
db 00 00 00 01 00 00 40  3e 89 ab cd ef 01 23 45   .......@>.....#E

67 00 00 7f 33 00 00 40  3e 89 ab cd ef 01 23 45   g...3..@>.....#E
67 00 00 00 3b 00 00 40  3e 89 ab cd ef 01 23 45   g...;..@>.....#E
67 00 00 7f fe 00 00 40  3e 89 ab cd ef 01 23 45   g......@>.....#E
67 00 00 7f 33 00 00 40  3e 89 ab cd ef 01 23 45   g...3..@>.....#E
67 00 00 00 3b 00 00 40  3e 89 ab cd ef 01 23 45   g...;..@>.....#E
67 00 00 7f 33 00 00 40  3e 89 ab cd ef 01 23 45   g...3..@>.....#E
67 00 00 00 00 00 00 40  3e 89 ab cd ef 01 23 45   g......@>.....#E
67 00 00 00 3b 00 00 40  3e 89 ab cd ef 01 23 45   g...;..@>.....#E
67 00 00 7f fe 00 00 40  3e 89 ab cd ef 01 23 45   g......@>.....#E
67 00 00 7f fe 00 00 40  3e 89 ab cd ef 01 23 45   g......@>.....#E
67 00 00 00 3b 00 00 40  3e 89 ab cd ef 01 23 45   g...;..@>.....#E
67 00 00 00 3b 00 00 40  3e 89 ab cd ef 01 23 45   g...;..@>.....#E
67 00 00 00 01 00 00 40  3e 89 ab cd ef 01 23 45   g......@>.....#E
67 00 00 00 00 00 00 40  3e 89 ab cd ef 01 23 45   g......@>.....#E
67 00 01 02 03 04 05 06  07 08 09 0a 0b 0c 0d 0e   g...............
00 00 00 00 01 00 02 00  03 00 04 00 05 00 06 00   ................
07 00 08 00 09 00 0a 00  0b 00 0c 00 0d 00 0e      ............... 

EXTRACTING ARRAY VALUES WITH SWAPPING

Running overrun test...

Running alignment tests...

Running alignment reset tests...

Running alignment overrun test...

Running encapsulation options tests...

SerializerTest PASSED
test PASSED.

auto_run_tests_finished: tests/DCPS/Serializer/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/Compiler/idl_test1_main/run_test.pl #

serialized_size_bound => <unbounded>
serialized_size => 79
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test1_main/idl_test1 PASSED

auto_run_tests_finished: tests/DCPS/Compiler/idl_test1_main/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/idl_test3_main/run_test.pl #

Xyz::StructOfArrayOfBoolean: serialized_size_bound(unaligned_encoding) => 5
Xyz::StructOfArrayOfBoolean: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfBoolean: serialized_size(unaligned_encoding, foo) => 5
Xyz::StructOfArrayOfBoolean: serialized_size(aligned_encoding, foo) => 5
Xyz::StructOfArrayOfBoolean: try_marshaling PASSED
Xyz::StructOfArrayOfString: serialized_size_bound(unaligned_encoding) => 60
Xyz::StructOfArrayOfString: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfString: serialized_size(unaligned_encoding, foo) => 39
Xyz::StructOfArrayOfString: serialized_size(aligned_encoding, foo) => 49
Xyz::StructOfArrayOfString: try_marshaling PASSED
Xyz::StructOfArrayOfChar: serialized_size_bound(unaligned_encoding) => 5
Xyz::StructOfArrayOfChar: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfChar: serialized_size(unaligned_encoding, foo) => 5
Xyz::StructOfArrayOfChar: serialized_size(aligned_encoding, foo) => 5
Xyz::StructOfArrayOfChar: try_marshaling PASSED
Xyz::StructOfArrayOfOctet: serialized_size_bound(unaligned_encoding) => 5
Xyz::StructOfArrayOfOctet: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfOctet: serialized_size(unaligned_encoding, foo) => 5
Xyz::StructOfArrayOfOctet: serialized_size(aligned_encoding, foo) => 5
Xyz::StructOfArrayOfOctet: try_marshaling PASSED
Xyz::StructOfArrayOfLong: serialized_size_bound(unaligned_encoding) => 20
Xyz::StructOfArrayOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfLong: serialized_size(unaligned_encoding, foo) => 20
Xyz::StructOfArrayOfLong: serialized_size(aligned_encoding, foo) => 20
Xyz::StructOfArrayOfLong: try_marshaling PASSED
Xyz::StructOfArrayOfAnEnum: serialized_size_bound(unaligned_encoding) => 20
Xyz::StructOfArrayOfAnEnum: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfAnEnum: serialized_size(unaligned_encoding, foo) => 20
Xyz::StructOfArrayOfAnEnum: serialized_size(aligned_encoding, foo) => 20
Xyz::StructOfArrayOfAnEnum: try_marshaling PASSED
Xyz::StructOfArrayOfArrayOfLong: serialized_size_bound(unaligned_encoding) => 140
Xyz::StructOfArrayOfArrayOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfArrayOfLong: serialized_size(unaligned_encoding, foo) => 140
Xyz::StructOfArrayOfArrayOfLong: serialized_size(aligned_encoding, foo) => 140
Xyz::StructOfArrayOfArrayOfLong: try_marshaling PASSED
Xyz::StructOfSeqOfBoolean: serialized_size_bound(unaligned_encoding) => 10
Xyz::StructOfSeqOfBoolean: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfBoolean: serialized_size(unaligned_encoding, foo) => 9
Xyz::StructOfSeqOfBoolean: serialized_size(aligned_encoding, foo) => 9
Xyz::StructOfSeqOfBoolean: try_marshaling PASSED
Xyz::StructOfSeqOfString: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size(unaligned_encoding, foo) => 43
Xyz::StructOfSeqOfString: serialized_size(aligned_encoding, foo) => 53
Xyz::StructOfSeqOfString: try_marshaling PASSED
Xyz::StructOfSeqOfChar: serialized_size_bound(unaligned_encoding) => 10
Xyz::StructOfSeqOfChar: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfChar: serialized_size(unaligned_encoding, foo) => 9
Xyz::StructOfSeqOfChar: serialized_size(aligned_encoding, foo) => 9
Xyz::StructOfSeqOfChar: try_marshaling PASSED
Xyz::StructOfSeqOfOctet: serialized_size_bound(unaligned_encoding) => 10
Xyz::StructOfSeqOfOctet: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfOctet: serialized_size(unaligned_encoding, foo) => 9
Xyz::StructOfSeqOfOctet: serialized_size(aligned_encoding, foo) => 9
Xyz::StructOfSeqOfOctet: try_marshaling PASSED
Xyz::StructOfSeqOfLong: serialized_size_bound(unaligned_encoding) => 28
Xyz::StructOfSeqOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfLong: serialized_size(unaligned_encoding, foo) => 24
Xyz::StructOfSeqOfLong: serialized_size(aligned_encoding, foo) => 24
Xyz::StructOfSeqOfLong: try_marshaling PASSED
Xyz::StructOfSeqOfAnEnum: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::StructOfSeqOfAnEnum: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfAnEnum: serialized_size(unaligned_encoding, foo) => 24
Xyz::StructOfSeqOfAnEnum: serialized_size(aligned_encoding, foo) => 24
Xyz::StructOfSeqOfAnEnum: try_marshaling PASSED
Xyz::StructOfArrayOfSeqOfLong: serialized_size_bound(unaligned_encoding) => 168
Xyz::StructOfArrayOfSeqOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfSeqOfLong: serialized_size(unaligned_encoding, foo) => 144
Xyz::StructOfArrayOfSeqOfLong: serialized_size(aligned_encoding, foo) => 144
Xyz::StructOfArrayOfSeqOfLong: try_marshaling PASSED
Xyz::StructOfSeqOfSeqOfLong: serialized_size_bound(unaligned_encoding) => 116
Xyz::StructOfSeqOfSeqOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfSeqOfLong: serialized_size(unaligned_encoding, foo) => 100
Xyz::StructOfSeqOfSeqOfLong: serialized_size(aligned_encoding, foo) => 100
Xyz::StructOfSeqOfSeqOfLong: try_marshaling PASSED
Xyz::StructAUnion: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::StructAUnion: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructAUnion: serialized_size(unaligned_encoding, foo) => 12
Xyz::StructAUnion: serialized_size(aligned_encoding, foo) => 12
Xyz::StructAUnion: try_marshaling PASSED
Xyz::StructOfSeqOfString: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size(unaligned_encoding, foo) => 23
Xyz::StructOfSeqOfString: serialized_size(aligned_encoding, foo) => 26
Xyz::StructOfSeqOfString: try_marshaling PASSED
Xyz::Foo: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::Foo: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::Foo: serialized_size(unaligned_encoding, foo) => 4529
Xyz::Foo: serialized_size(aligned_encoding, foo) => 5160
Xyz::Foo: try_marshaling PASSED
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test3_main/idl_test3 PASSED

auto_run_tests_finished: tests/DCPS/Compiler/idl_test3_main/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/Compiler/key_annotation/run_test.pl #

auto_run_tests_finished: tests/DCPS/Compiler/key_annotation/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/is_topic_type/run_test.pl #

is_topic_type: JSON::PP not installed, skipping test and returning 0.

auto_run_tests_finished: tests/DCPS/Compiler/is_topic_type/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/TryConstruct/run_test.pl #

[==========] Running 11 tests from 5 test cases.
[----------] Global test environment set-up.
[----------] 1 test from TestTryCon
[ RUN      ] TestTryCon.string
[       OK ] TestTryCon.string (0 ms)
[----------] 1 test from TestTryCon (0 ms total)

[----------] 3 tests from StructandSeq
[ RUN      ] StructandSeq.DISCARD
[       OK ] StructandSeq.DISCARD (5 ms)
[ RUN      ] StructandSeq.USE_DEFAULT
[       OK ] StructandSeq.USE_DEFAULT (0 ms)
[ RUN      ] StructandSeq.TRIM
[       OK ] StructandSeq.TRIM (1 ms)
[----------] 3 tests from StructandSeq (6 ms total)

[----------] 2 tests from AnonSequence
[ RUN      ] AnonSequence.Trim
[       OK ] AnonSequence.Trim (1 ms)
[ RUN      ] AnonSequence.USE_DEFAULT
[       OK ] AnonSequence.USE_DEFAULT (0 ms)
[----------] 2 tests from AnonSequence (1 ms total)

[----------] 2 tests from AnonArray
[ RUN      ] AnonArray.TRIM
[       OK ] AnonArray.TRIM (0 ms)
[ RUN      ] AnonArray.USE_DEFAULT
[       OK ] AnonArray.USE_DEFAULT (0 ms)
[----------] 2 tests from AnonArray (0 ms total)

[----------] 3 tests from Union
[ RUN      ] Union.DISCARD
[       OK ] Union.DISCARD (1 ms)
[ RUN      ] Union.USE_DEFAULT
[       OK ] Union.USE_DEFAULT (0 ms)
[ RUN      ] Union.TRIM
[       OK ] Union.TRIM (0 ms)
[----------] 3 tests from Union (1 ms total)

[----------] Global test environment tear-down
[==========] 11 tests from 5 test cases ran. (17 ms total)
[  PASSED  ] 11 tests.

auto_run_tests_finished: tests/DCPS/Compiler/TryConstruct/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/typeobject_hash_consistency/run_test.pl #

test PASSED

auto_run_tests_finished: tests/DCPS/Compiler/typeobject_hash_consistency/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/Compiler/xcdr/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/xcdr/xcdr  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile test.log -DCPSPendingTimeout 3 
test PID: 1323 started at 2023-04-26 15:52:55
[==========] Running 78 tests from 6 test cases.
[----------] Global test environment set-up.
[----------] 13 tests from BasicTests
[ RUN      ] BasicTests.FinalXcdr1Struct
[       OK ] BasicTests.FinalXcdr1Struct (0 ms)
[ RUN      ] BasicTests.AppendableXcdr1Struct
[       OK ] BasicTests.AppendableXcdr1Struct (0 ms)
[ RUN      ] BasicTests.MutableXcdr1Struct
[       OK ] BasicTests.MutableXcdr1Struct (0 ms)
[ RUN      ] BasicTests.FinalXcdr2Struct
[       OK ] BasicTests.FinalXcdr2Struct (0 ms)
[ RUN      ] BasicTests.FinalXcdr2StructLE
[       OK ] BasicTests.FinalXcdr2StructLE (0 ms)
[ RUN      ] BasicTests.AppendableXcdr2Struct
[       OK ] BasicTests.AppendableXcdr2Struct (0 ms)
[ RUN      ] BasicTests.AppendableXcdr2StructLE
[       OK ] BasicTests.AppendableXcdr2StructLE (0 ms)
[ RUN      ] BasicTests.MutableXcdr2Struct
[       OK ] BasicTests.MutableXcdr2Struct (0 ms)
[ RUN      ] BasicTests.MutableXcdr2StructLE
[       OK ] BasicTests.MutableXcdr2StructLE (0 ms)
[ RUN      ] BasicTests.MutableXcdr12Union
[       OK ] BasicTests.MutableXcdr12Union (0 ms)
[ RUN      ] BasicTests.MutableXcdr12UnionLE
[       OK ] BasicTests.MutableXcdr12UnionLE (0 ms)
[ RUN      ] BasicTests.FinalUnion
[       OK ] BasicTests.FinalUnion (0 ms)
[ RUN      ] BasicTests.FinalUnionLE
[       OK ] BasicTests.FinalUnionLE (1 ms)
[----------] 13 tests from BasicTests (1 ms total)

[----------] 17 tests from AppendableTests
[ RUN      ] AppendableTests.FromNestedStruct
[       OK ] AppendableTests.FromNestedStruct (0 ms)
[ RUN      ] AppendableTests.FromAdditionalNestedStruct
[       OK ] AppendableTests.FromAdditionalNestedStruct (0 ms)
[ RUN      ] AppendableTests.FromAdditionalNestedStructLE
[       OK ] AppendableTests.FromAdditionalNestedStructLE (0 ms)
[ RUN      ] AppendableTests.BothAdditionalNestedStruct
[       OK ] AppendableTests.BothAdditionalNestedStruct (0 ms)
[ RUN      ] AppendableTests.BothAdditionalNestedStructLE
[       OK ] AppendableTests.BothAdditionalNestedStructLE (0 ms)
[ RUN      ] AppendableTests.BothAppendableStruct
[       OK ] AppendableTests.BothAppendableStruct (0 ms)
[ RUN      ] AppendableTests.BothAppendableStructLE
[       OK ] AppendableTests.BothAppendableStructLE (0 ms)
[ RUN      ] AppendableTests.FromAppendableStruct
[       OK ] AppendableTests.FromAppendableStruct (0 ms)
[ RUN      ] AppendableTests.FromAppendableStructLE
[       OK ] AppendableTests.FromAppendableStructLE (0 ms)
[ RUN      ] AppendableTests.FromAdditionalAppendableStruct
[       OK ] AppendableTests.FromAdditionalAppendableStruct (0 ms)
[ RUN      ] AppendableTests.FromAdditionalAppendableStructLE
[       OK ] AppendableTests.FromAdditionalAppendableStructLE (0 ms)
[ RUN      ] AppendableTests.BothAdditionalAppendableStruct
[       OK ] AppendableTests.BothAdditionalAppendableStruct (0 ms)
[ RUN      ] AppendableTests.BothAdditionalAppendableStructLE
[       OK ] AppendableTests.BothAdditionalAppendableStructLE (0 ms)
[ RUN      ] AppendableTests.BothAppendableWithNestedStruct2
[       OK ] AppendableTests.BothAppendableWithNestedStruct2 (0 ms)
[ RUN      ] AppendableTests.BothAppendableWithNestedStruct2LE
[       OK ] AppendableTests.BothAppendableWithNestedStruct2LE (0 ms)
[ RUN      ] AppendableTests.FromAppendableUnion
[       OK ] AppendableTests.FromAppendableUnion (0 ms)
[ RUN      ] AppendableTests.FromAppendableUnionLE
[       OK ] AppendableTests.FromAppendableUnionLE (0 ms)
[----------] 17 tests from AppendableTests (0 ms total)

[----------] 25 tests from MutableTests
[ RUN      ] MutableTests.BaselineXcdr1Test
[       OK ] MutableTests.BaselineXcdr1Test (0 ms)
[ RUN      ] MutableTests.BaselineXcdr2Test
[       OK ] MutableTests.BaselineXcdr2Test (0 ms)
[ RUN      ] MutableTests.BaselineXcdr2TestLE
[       OK ] MutableTests.BaselineXcdr2TestLE (0 ms)
[ RUN      ] MutableTests.BaselineXcdr2TestUnion
[       OK ] MutableTests.BaselineXcdr2TestUnion (0 ms)
[ RUN      ] MutableTests.BaselineXcdr2TestUnionLE
[       OK ] MutableTests.BaselineXcdr2TestUnionLE (0 ms)
[ RUN      ] MutableTests.FromMutableUnion
[       OK ] MutableTests.FromMutableUnion (0 ms)
[ RUN      ] MutableTests.FromMutableUnionLE
[       OK ] MutableTests.FromMutableUnionLE (0 ms)
[ RUN      ] MutableTests.FromModifiedMutableUnion
[       OK ] MutableTests.FromModifiedMutableUnion (0 ms)
[ RUN      ] MutableTests.FromModifiedMutableUnionLE
[       OK ] MutableTests.FromModifiedMutableUnionLE (0 ms)
[ RUN      ] MutableTests.ToReorderedXcdr1Test
[       OK ] MutableTests.ToReorderedXcdr1Test (0 ms)
[ RUN      ] MutableTests.FromReorderedXcdr1Test
[       OK ] MutableTests.FromReorderedXcdr1Test (0 ms)
[ RUN      ] MutableTests.ToReorderedXcdr2Test
[       OK ] MutableTests.ToReorderedXcdr2Test (0 ms)
[ RUN      ] MutableTests.ToReorderedXcdr2TestLE
[       OK ] MutableTests.ToReorderedXcdr2TestLE (0 ms)
[ RUN      ] MutableTests.FromReorderedXcdr2Test
[       OK ] MutableTests.FromReorderedXcdr2Test (0 ms)
[ RUN      ] MutableTests.ToAdditionalFieldXcdr1Test
[       OK ] MutableTests.ToAdditionalFieldXcdr1Test (0 ms)
[ RUN      ] MutableTests.FromAdditionalFieldXcdr1Test
[       OK ] MutableTests.FromAdditionalFieldXcdr1Test (0 ms)
[ RUN      ] MutableTests.ToAdditionalFieldXcdr2Test
[       OK ] MutableTests.ToAdditionalFieldXcdr2Test (0 ms)
[ RUN      ] MutableTests.FromAdditionalFieldMustUnderstandTest
[       OK ] MutableTests.FromAdditionalFieldMustUnderstandTest (0 ms)
[ RUN      ] MutableTests.FromAdditionalFieldXcdr2Test
[       OK ] MutableTests.FromAdditionalFieldXcdr2Test (0 ms)
[ RUN      ] MutableTests.LengthCodeTest
[       OK ] MutableTests.LengthCodeTest (0 ms)
[ RUN      ] MutableTests.ReadLc567Test
[       OK ] MutableTests.ReadLc567Test (1 ms)
[ RUN      ] MutableTests.BothMixedMutableStruct
[       OK ] MutableTests.BothMixedMutableStruct (0 ms)
[ RUN      ] MutableTests.BothMixedMutableStructLE
[       OK ] MutableTests.BothMixedMutableStructLE (0 ms)
[ RUN      ] MutableTests.FromMixedMutableStruct
[       OK ] MutableTests.FromMixedMutableStruct (0 ms)
[ RUN      ] MutableTests.FromMixedMutableStructLE
[       OK ] MutableTests.FromMixedMutableStructLE (0 ms)
[----------] 25 tests from MutableTests (2 ms total)

[----------] 6 tests from MixedExtenTests
[ RUN      ] MixedExtenTests.NestingFinalStruct
[       OK ] MixedExtenTests.NestingFinalStruct (0 ms)
[ RUN      ] MixedExtenTests.NestingFinalStructLE
[       OK ] MixedExtenTests.NestingFinalStructLE (0 ms)
[ RUN      ] MixedExtenTests.NestingAppendableStruct
[       OK ] MixedExtenTests.NestingAppendableStruct (0 ms)
[ RUN      ] MixedExtenTests.NestingAppendableStructLE
[       OK ] MixedExtenTests.NestingAppendableStructLE (0 ms)
[ RUN      ] MixedExtenTests.NestingMutableStruct
[       OK ] MixedExtenTests.NestingMutableStruct (0 ms)
[ RUN      ] MixedExtenTests.NestingMutableStructLE
[       OK ] MixedExtenTests.NestingMutableStructLE (0 ms)
[----------] 6 tests from MixedExtenTests (0 ms total)

[----------] 1 test from IdVsDeclOrder
[ RUN      ] IdVsDeclOrder.test
[       OK ] IdVsDeclOrder.test (0 ms)
[----------] 1 test from IdVsDeclOrder (0 ms total)

[----------] 16 tests from KeyTests
[ RUN      ] KeyTests.normal_BasicUnkeyedStruct
[       OK ] KeyTests.normal_BasicUnkeyedStruct (0 ms)
[ RUN      ] KeyTests.normal_BasicKeyedStruct
[       OK ] KeyTests.normal_BasicKeyedStruct (0 ms)
[ RUN      ] KeyTests.normal_UnkeyedUnion
[       OK ] KeyTests.normal_UnkeyedUnion (1 ms)
[ RUN      ] KeyTests.normal_KeyedUnion
[       OK ] KeyTests.normal_KeyedUnion (0 ms)
[ RUN      ] KeyTests.normal_ComplexUnkeyedStruct
[       OK ] KeyTests.normal_ComplexUnkeyedStruct (0 ms)
[ RUN      ] KeyTests.normal_ComplexKeyedStruct
[       OK ] KeyTests.normal_ComplexKeyedStruct (0 ms)
[ RUN      ] KeyTests.NestedKeyOnly_BasicUnkeyedStruct
[       OK ] KeyTests.NestedKeyOnly_BasicUnkeyedStruct (0 ms)
[ RUN      ] KeyTests.NestedKeyOnly_BasicKeyedStruct
[       OK ] KeyTests.NestedKeyOnly_BasicKeyedStruct (0 ms)
[ RUN      ] KeyTests.NestedKeyOnly_UnkeyedUnion
[       OK ] KeyTests.NestedKeyOnly_UnkeyedUnion (0 ms)
[ RUN      ] KeyTests.NestedKeyOnly_KeyedUnion
[       OK ] KeyTests.NestedKeyOnly_KeyedUnion (0 ms)
[ RUN      ] KeyTests.NestedKeyOnly_ComplexUnkeyedStruct
[       OK ] KeyTests.NestedKeyOnly_ComplexUnkeyedStruct (0 ms)
[ RUN      ] KeyTests.NestedKeyOnly_ComplexKeyedStruct
[       OK ] KeyTests.NestedKeyOnly_ComplexKeyedStruct (0 ms)
[ RUN      ] KeyTests.KeyOnly_BasicUnkeyedStruct
[       OK ] KeyTests.KeyOnly_BasicUnkeyedStruct (0 ms)
[ RUN      ] KeyTests.KeyOnly_BasicKeyedStruct
[       OK ] KeyTests.KeyOnly_BasicKeyedStruct (0 ms)
[ RUN      ] KeyTests.KeyOnly_UnkeyedUnion
[       OK ] KeyTests.KeyOnly_UnkeyedUnion (0 ms)
[ RUN      ] KeyTests.KeyOnly_KeyedUnion
[       OK ] KeyTests.KeyOnly_KeyedUnion (0 ms)
[----------] 16 tests from KeyTests (1 ms total)

[----------] Global test environment tear-down
[==========] 78 tests from 6 test cases ran. (4 ms total)
[  PASSED  ] 78 tests.
test PASSED.

auto_run_tests_finished: tests/DCPS/Compiler/xcdr/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/XtypesExtensibility/run_test.pl #

[==========] Running 8 tests from 4 test cases.
[----------] Global test environment set-up.
[----------] 1 test from TestFinal
[ RUN      ] TestFinal.flags_match
[       OK ] TestFinal.flags_match (3 ms)
[----------] 1 test from TestFinal (3 ms total)

[----------] 1 test from TestAppendable
[ RUN      ] TestAppendable.flags_match
[       OK ] TestAppendable.flags_match (0 ms)
[----------] 1 test from TestAppendable (0 ms total)

[----------] 1 test from TestMutable
[ RUN      ] TestMutable.flags_match
[       OK ] TestMutable.flags_match (0 ms)
[----------] 1 test from TestMutable (0 ms total)

[----------] 5 tests from TestDefault
[ RUN      ] TestDefault.flags_match
[       OK ] TestDefault.flags_match (0 ms)
[ RUN      ] TestDefault.FinalEnum
[       OK ] TestDefault.FinalEnum (1 ms)
[ RUN      ] TestDefault.AppendableEnum
[       OK ] TestDefault.AppendableEnum (0 ms)
[ RUN      ] TestDefault.DefaultEnum
[       OK ] TestDefault.DefaultEnum (0 ms)
[ RUN      ] TestDefault.ZeroEnumDefaultEnum
[       OK ] TestDefault.ZeroEnumDefaultEnum (0 ms)
[----------] 5 tests from TestDefault (1 ms total)

[----------] Global test environment tear-down
[==========] 8 tests from 4 test cases ran. (4 ms total)
[  PASSED  ] 8 tests.

auto_run_tests_finished: tests/DCPS/Compiler/XtypesExtensibility/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/typeobject_generator/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/typeobject_generator/TypeObjectTest  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile test.log -DCPSPendingTimeout 3 
test PID: 1327 started at 2023-04-26 15:52:56
[==========] Running 2 tests from 1 test case.
[----------] Global test environment set-up.
[----------] 2 tests from TypeMapTest
[ RUN      ] TypeMapTest.Minimal
[       OK ] TypeMapTest.Minimal (12 ms)
[ RUN      ] TypeMapTest.Complete
[       OK ] TypeMapTest.Complete (1 ms)
[----------] 2 tests from TypeMapTest (13 ms total)

[----------] Global test environment tear-down
[==========] 2 tests from 1 test case ran. (14 ms total)
[  PASSED  ] 2 tests.
test PASSED.

auto_run_tests_finished: tests/DCPS/Compiler/typeobject_generator/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/Compiler/keywords/run_test.pl classic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/keywords/classic/keywords-classic  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile test.log -DCPSPendingTimeout 3 
test PID: 1329 started at 2023-04-26 15:52:56
[==========] Running 8 tests from 4 test cases.
[----------] Global test environment set-up.
[----------] 2 tests from EscapedNonKeywords
[ RUN      ] EscapedNonKeywords.struct_topic_type
[       OK ] EscapedNonKeywords.struct_topic_type (0 ms)
[ RUN      ] EscapedNonKeywords.union_topic_type
[       OK ] EscapedNonKeywords.union_topic_type (0 ms)
[----------] 2 tests from EscapedNonKeywords (0 ms total)

[----------] 2 tests from IdlKeywords
[ RUN      ] IdlKeywords.struct_topic_type
[       OK ] IdlKeywords.struct_topic_type (0 ms)
[ RUN      ] IdlKeywords.union_topic_type
[       OK ] IdlKeywords.union_topic_type (0 ms)
[----------] 2 tests from IdlKeywords (0 ms total)

[----------] 2 tests from CppKeywords
[ RUN      ] CppKeywords.struct_topic_type
[       OK ] CppKeywords.struct_topic_type (0 ms)
[ RUN      ] CppKeywords.union_topic_type
[       OK ] CppKeywords.union_topic_type (0 ms)
[----------] 2 tests from CppKeywords (0 ms total)

[----------] 2 tests from DoubleKeywords
[ RUN      ] DoubleKeywords.struct_topic_type
[       OK ] DoubleKeywords.struct_topic_type (1 ms)
[ RUN      ] DoubleKeywords.union_topic_type
[       OK ] DoubleKeywords.union_topic_type (0 ms)
[----------] 2 tests from DoubleKeywords (1 ms total)

[----------] Global test environment tear-down
[==========] 8 tests from 4 test cases ran. (1 ms total)
[  PASSED  ] 8 tests.
test PASSED.

auto_run_tests_finished: tests/DCPS/Compiler/keywords/run_test.pl classic Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/explicit_ints/run_test.pl classic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/explicit_ints/classic/explicit-ints-classic  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile test.log -DCPSPendingTimeout 3 
test PID: 1331 started at 2023-04-26 15:52:56
[==========] Running 1 test from 1 test case.
[----------] Global test environment set-up.
[----------] 1 test from ExplicitInts
[ RUN      ] ExplicitInts.min_max
[       OK ] ExplicitInts.min_max (0 ms)
[----------] 1 test from ExplicitInts (0 ms total)

[----------] Global test environment tear-down
[==========] 1 test from 1 test case ran. (0 ms total)
[  PASSED  ] 1 test.
test PASSED.

auto_run_tests_finished: tests/DCPS/Compiler/explicit_ints/run_test.pl classic Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/char_literals/run_test.pl classic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/char_literals/classic/char-literals-classic  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile test.log -DCPSPendingTimeout 3 
test PID: 1333 started at 2023-04-26 15:52:56
[==========] Running 3 tests from 1 test case.
[----------] Global test environment set-up.
[----------] 3 tests from CharLiterals
[ RUN      ] CharLiterals.char_literal_values
[       OK ] CharLiterals.char_literal_values (0 ms)
[ RUN      ] CharLiterals.wchar_literal_values
[       OK ] CharLiterals.wchar_literal_values (0 ms)
[ RUN      ] CharLiterals.str_literal_values
[       OK ] CharLiterals.str_literal_values (0 ms)
[----------] 3 tests from CharLiterals (0 ms total)

[----------] Global test environment tear-down
[==========] 3 tests from 1 test case ran. (0 ms total)
[  PASSED  ] 3 tests.
test PASSED.

auto_run_tests_finished: tests/DCPS/Compiler/char_literals/run_test.pl classic Time:1s Result:0

==============================================================================

tests/DCPS/Compiler/union_defaults/run_test.pl #

[==========] Running 11 tests from 1 test case.
[----------] Global test environment set-up.
[----------] 11 tests from UnionDefault
[ RUN      ] UnionDefault.no_default
[       OK ] UnionDefault.no_default (0 ms)
[ RUN      ] UnionDefault.string
[       OK ] UnionDefault.string (0 ms)
[ RUN      ] UnionDefault.Z
[       OK ] UnionDefault.Z (0 ms)
[ RUN      ] UnionDefault.dummy
[       OK ] UnionDefault.dummy (0 ms)
[ RUN      ] UnionDefault.dummy_sequence
[       OK ] UnionDefault.dummy_sequence (1 ms)
[ RUN      ] UnionDefault.dummy_array
[       OK ] UnionDefault.dummy_array (0 ms)
[ RUN      ] UnionDefault.wstring
[       OK ] UnionDefault.wstring (0 ms)
[ RUN      ] UnionDefault.wchar
[       OK ] UnionDefault.wchar (0 ms)
[ RUN      ] UnionDefault.long_double
[       OK ] UnionDefault.long_double (0 ms)
[ RUN      ] UnionDefault.boolean
[       OK ] UnionDefault.boolean (0 ms)
[ RUN      ] UnionDefault.enum
[       OK ] UnionDefault.enum (0 ms)
[----------] 11 tests from UnionDefault (1 ms total)

[----------] Global test environment tear-down
[==========] 11 tests from 1 test case ran. (1 ms total)
[  PASSED  ] 11 tests.

auto_run_tests_finished: tests/DCPS/Compiler/union_defaults/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/DelayedDurable/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/DelayedDurable --writer -DCPSConfigFile rtps_disc.ini -DCPSPendingTimeout 3 
writer PID: 1337 started at 2023-04-26 15:52:57
(1337|1337) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(1337|1337) writer starting at  15:52:57.410346
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/DelayedDurable --reader -DCPSConfigFile rtps_disc.ini -DCPSPendingTimeout 3 
readerB PID: 1344 started at 2023-04-26 15:53:12
(1344|1344) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(1344|1344) reader starting at  15:53:12.415552
reader: Counter reached 981 at  15:53:15.321413
(1337|1337) writer ending at  15:53:35.958528
(1344|1344) reader ending at  15:53:45.459035
test PASSED.

auto_run_tests_finished: tests/DCPS/DelayedDurable/run_test.pl Time:48s Result:0

==============================================================================

tests/DCPS/DelayedDurable/run_test.pl --large-samples #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/DelayedDurable --writer -DCPSConfigFile rtps_disc.ini --large-samples -DCPSPendingTimeout 3 
writer PID: 1359 started at 2023-04-26 15:53:45
(1359|1359) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(1359|1359) writer starting at  15:53:45.704521
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/DelayedDurable --reader -DCPSConfigFile rtps_disc.ini --large-samples -DCPSPendingTimeout 3 
readerB PID: 1366 started at 2023-04-26 15:54:00
(1366|1366) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(1366|1366) reader starting at  15:54:00.711273
reader: Counter reached 95 at  15:54:02.931971
(1359|1359) writer ending at  15:54:24.831150
(1366|1366) reader ending at  15:54:34.810966
test PASSED.

auto_run_tests_finished: tests/DCPS/DelayedDurable/run_test.pl --large-samples Time:49s Result:0

==============================================================================

tests/DCPS/DelayedDurable/run_test.pl --early-reader #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/DelayedDurable --reader -DCPSConfigFile rtps_disc.ini --has-early-reader --report-last-value readerA.txt -DCPSPendingTimeout 3 
readerA PID: 1384 started at 2023-04-26 15:54:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/DelayedDurable --writer -DCPSConfigFile rtps_disc.ini --has-early-reader -DCPSPendingTimeout 3 
writer PID: 1385 started at 2023-04-26 15:54:34
(1385|1385) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(1384|1384) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(1385|1385) writer starting at  15:54:34.991595
(1384|1384) reader starting at  15:54:34.991743
reader: Counter reached 1 at  15:54:35.031774
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/DelayedDurable --reader -DCPSConfigFile rtps_disc.ini --has-early-reader --report-last-value readerB.txt -DCPSPendingTimeout 3 
readerB PID: 1397 started at 2023-04-26 15:54:45
(1397|1397) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(1397|1397) reader starting at  15:54:45.126081
(1385|1385) writer ending at  15:54:45.458280
reader: Counter reached 1 at  15:54:45.593324
(1384|1384) reader ending at  15:54:46.226121
(1397|1397) reader ending at  15:54:55.594213
test PASSED.

auto_run_tests_finished: tests/DCPS/DelayedDurable/run_test.pl --early-reader Time:21s Result:0

==============================================================================

tests/DCPS/Restart/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Restart/RestartTest  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile rt.log -DCPSPendingTimeout 3 
rt PID: 1404 started at 2023-04-26 15:54:55
Application 1 Starting
Application 1 retrieved domain participant factory
Application 1 created domain participant
Application 1 Started
Application 2 Starting
Application 2 retrieved domain participant factory
Application 2 created domain participant
Application 2 Started
Application 2 Ending
Application 2 did delete contained_entities
Application 2 did delete domain participant
Application 2 Ended
Application 3 Starting
Application 3 retrieved domain participant factory
Application 3 created domain participant
Application 3 Started
Application 3 Ending
Application 3 did delete contained_entities
Application 3 did delete domain participant
Application 3 Ended
Application 1 Ending
Application 1 did delete contained_entities
Application 1 did delete domain participant
Application 1 shutdown service participant
Application 1 Ended
Application 4 Starting
Application 4 retrieved domain participant factory
Application 4 created domain participant
Application 4 Started
Application 4 Ending
Application 4 did delete contained_entities
Application 4 did delete domain participant
Application 4 shutdown service participant
Application 4 Ended
test PASSED.

auto_run_tests_finished: tests/DCPS/Restart/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/sub_init_loop/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -ORBSvcConf repo.conf -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1424
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/sub_init_loop/subscriber -DCPSConfigFile sub.ini -v -DCPSPendingTimeout 3 
sub PID: 1431 started at 2023-04-26 15:54:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/sub_init_loop/publisher -DCPSConfigFile pub.ini  -DCPSPendingTimeout 3 
pub PID: 1432 started at 2023-04-26 15:54:56
(1432|1432) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(1431|1431) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(1424|1424) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1432|1432) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1431|1431) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1431|1431) Reinitializing subscriber.
(1431|1431) *** Destroying Subscriber
(1431|1431) Reinitializing subscriber.
(1431|1431) *** Destroying Subscriber
(1431|1431) Reinitializing subscriber.
(1431|1431) *** Destroying Subscriber
(1431|1431) Reinitializing subscriber.
(1431|1431) *** Destroying Subscriber
(1431|1431) Reinitializing subscriber.
(1431|1431) *** Destroying Subscriber
(1431|1431) Reinitializing subscriber.
(1431|1431) *** Destroying Subscriber
(1431|1431) Reinitializing subscriber.
(1431|1431) *** Destroying Subscriber
(1431|1431) Reinitializing subscriber.
(1431|1431) *** Destroying Subscriber
(1431|1431) Reinitializing subscriber.
(1431|1431) *** Destroying Subscriber
(1431|1431) Reinitializing subscriber.
(1431|1431) *** Destroying Subscriber
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/sub_init_loop/run_test.pl Time:3s Result:0

==============================================================================

tests/DCPS/BuiltInTopic/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior
InfoRepo PID: 1449
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopic/bit  -i 0 
client PID: 1456 started at 2023-04-26 15:55:00
(1449|1449) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1456|1456) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1456|1456) write begins.
(1456|1456) write  done
(1456|1456) read begins.
foo.x = 0.000000 foo.y = -1.000000, foo.key = 101010
(1456|1456) read done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/BuiltInTopic/run_test.pl Time:14s Result:0

==============================================================================

tests/DCPS/BuiltInTopic/run_test.pl ignore_part #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior
InfoRepo PID: 1470
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopic/bit  -i 1 
client PID: 1479 started at 2023-04-26 15:55:13
(1470|1470) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1479|1479) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Ignoring before the association
(1479|1479) IGNORE_PARTICIPANT,  participant 01030000.343c8060.00000002.000001c1 ignore participant 01030000.343c8060.00000002.000001c1 .
(1479|1479) IGNORE_PARTICIPANT, ignored participant 01030000.343c8060.00000002.000001c1 has handle 0x2.
(1479|1479) write begins.
(1479|1479) write  done
(1479|1479) read begins.
(1479|1479) read done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/BuiltInTopic/run_test.pl ignore_part Time:14s Result:0

==============================================================================

tests/DCPS/BuiltInTopic/run_test.pl ignore_topic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior
InfoRepo PID: 1490
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopic/bit  -i 2 
client PID: 1497 started at 2023-04-26 15:55:27
(1490|1490) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1497|1497) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Ignoring before the association
(1497|1497) IGNORE_TOPIC, participant 01030000.656e424e.00000002.000001c1 ignore topic 01030000.656e424e.00000002.00000845 .
(1497|1497) IGNORE_TOPIC,  ignored topic 01030000.656e424e.00000002.00000845 has handle 0x8.
(1497|1497) write begins.
(1497|1497) write  done
(1497|1497) read begins.
(1497|1497) read done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/BuiltInTopic/run_test.pl ignore_topic Time:13s Result:0

==============================================================================

tests/DCPS/BuiltInTopic/run_test.pl ignore_pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior
InfoRepo PID: 1521
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopic/bit  -i 3 
client PID: 1528 started at 2023-04-26 15:55:40
(1521|1521) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1528|1528) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Ignoring after the association
(1528|1528) IGNORE_PUBLICATION, participant 01030000.0de3db9b.00000002.000001c1 ignore publication 01030000.0de3db9b.00000002.00000102 .
(1528|1528) IGNORE_PUBLICATION,  ignored topic 01030000.0de3db9b.00000002.00000102 has handle 0xb.
(1528|1528) write begins.
(1528|1528) write  done
(1528|1528) read begins.
(1528|1528) read done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/BuiltInTopic/run_test.pl ignore_pub Time:14s Result:0

==============================================================================

tests/DCPS/BuiltInTopic/run_test.pl ignore_sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior
InfoRepo PID: 1538
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopic/bit  -i 4 
client PID: 1546 started at 2023-04-26 15:55:54
(1538|1538) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1546|1546) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Ignoring after the association
(1546|1546) IGNORE_SUBSCRIPTION, participant 01030000.50c7ddeb.00000002.000001c1 ignore subscription 01030000.50c7ddeb.00000002.00000507 .
(1546|1546) IGNORE_SUBSCRIPTION,  ignored topic 01030000.50c7ddeb.00000002.00000507 has handle 0xa.
(1546|1546) write begins.
(1546|1546) write  done
(1546|1546) read begins.
(1546|1546) read done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/BuiltInTopic/run_test.pl ignore_sub Time:13s Result:0

==============================================================================

tests/DCPS/CorbaSeq/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -NOBITS -DCPSPendingTimeout 3 
InfoRepo PID: 1556
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CorbaSeq/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub.log -DCPSBit 0  -DCPSPendingTimeout 3 
pub PID: 1563 started at 2023-04-26 15:56:07
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CorbaSeq/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub.log -DCPSBit 0  -DCPSPendingTimeout 3 
sub PID: 1564 started at 2023-04-26 15:56:07
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/CorbaSeq/run_test.pl Time:5s Result:0

==============================================================================

tests/DCPS/NotifyTest/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1576
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/NotifyTest/publisher -d -u -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub.log -DCPSPendingTimeout 3 
pub PID: 1583 started at 2023-04-26 15:56:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/NotifyTest/subscriber -d -u -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub.log -DCPSPendingTimeout 3 
sub PID: 1584 started at 2023-04-26 15:56:12
(1576|1576) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
 expected 10/2/0
 recv 0
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
instance is disposed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
instance is disposed
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/NotifyTest/run_test.pl Time:3s Result:0

==============================================================================

tests/DCPS/Observer/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Observer/subscriber  -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 1603 started at 2023-04-26 15:56:15
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Observer/publisher  -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 1605 started at 2023-04-26 15:56:15
Reader1 observes SAMPLE_READ
on_enabled 1 reader 0103000c.297a35f2.0643ebd4.00000007
on_qos_changed 1 reader 0103000c.297a35f2.0643ebd4.00000007 qos.user_data: cba
Reader2 observes SAMPLE_TAKEN
on_enabled 1 writer 0103000c.297a35f2.06455483.00000002
Publisher waiting for subscriber...
on_enabled 2 reader 0103000c.297a35f2.0643ebd4.01000007
on_associated 1 writer 0103000c.297a35f2.06455483.00000002 with reader 0103000c.297a35f2.0643ebd4.00000007
on_sample_sent 1 writer 0103000c.297a35f2.06455483.00000002
on_associated 2 writer 0103000c.297a35f2.06455483.00000002 with reader 0103000c.297a35f2.0643ebd4.01000007
on_qos_changed 2 reader 0103000c.297a35f2.0643ebd4.01000007 qos.user_data: cba
on_associated 1 reader 0103000c.297a35f2.0643ebd4.00000007 with writer 0103000c.297a35f2.06455483.00000002
on_associated 2 reader 0103000c.297a35f2.0643ebd4.01000007 with writer 0103000c.297a35f2.06455483.00000002
on_sample_read 1 reader 0103000c.297a35f2.0643ebd4.00000007
on_sample_received 1 reader 0103000c.297a35f2.0643ebd4.00000007
on_sample_received 2 reader 0103000c.297a35f2.0643ebd4.01000007
on_sample_sent 2 writer 0103000c.297a35f2.06455483.00000002
on_sample_read 2 reader 0103000c.297a35f2.0643ebd4.00000007
on_sample_received 3 reader 0103000c.297a35f2.0643ebd4.00000007
on_sample_received 4 reader 0103000c.297a35f2.0643ebd4.01000007
on_qos_changed 1 writer 0103000c.297a35f2.06455483.00000002 qos.user_data: abc
on_sample_sent 3 writer 0103000c.297a35f2.06455483.00000002
on_sample_received 5 reader 0103000c.297a35f2.0643ebd4.00000007
on_sample_taken 1 reader 0103000c.297a35f2.0643ebd4.01000007
on_sample_received 6 reader 0103000c.297a35f2.0643ebd4.01000007
on_sample_sent 4 writer 0103000c.297a35f2.06455483.00000002
on_sample_read 3 reader 0103000c.297a35f2.0643ebd4.00000007
on_sample_received 7 reader 0103000c.297a35f2.0643ebd4.00000007
on_sample_received 8 reader 0103000c.297a35f2.0643ebd4.01000007
on_sample_sent 5 writer 0103000c.297a35f2.06455483.00000002
on_sample_received 9 reader 0103000c.297a35f2.0643ebd4.00000007
on_sample_taken 2 reader 0103000c.297a35f2.0643ebd4.01000007
on_sample_received 10 reader 0103000c.297a35f2.0643ebd4.01000007
on_sample_sent 6 writer 0103000c.297a35f2.06455483.00000002
on_sample_received 11 reader 0103000c.297a35f2.0643ebd4.00000007
on_sample_taken 3 reader 0103000c.297a35f2.0643ebd4.01000007
on_sample_received 12 reader 0103000c.297a35f2.0643ebd4.01000007
Publisher cleanup
Publisher delete_contained_entities
on_deleted 1 writer 0103000c.297a35f2.06455483.00000002
on_sample_taken 4 reader 0103000c.297a35f2.0643ebd4.01000007
on_disassociated 1 writer 0103000c.297a35f2.06455483.00000002 from reader 0103000c.297a35f2.0643ebd4.00000007
on_disassociated 2 writer 0103000c.297a35f2.06455483.00000002 from reader 0103000c.297a35f2.0643ebd4.01000007
on_disassociated 1 reader 0103000c.297a35f2.0643ebd4.00000007 from writer 0103000c.297a35f2.06455483.00000002
check_ = 1
Publisher delete_participant
on_disassociated 2 reader 0103000c.297a35f2.0643ebd4.01000007 from writer 0103000c.297a35f2.06455483.00000002
Subscriber cleanup
Subscriber delete_contained_entities
on_deleted 1 reader 0103000c.297a35f2.0643ebd4.00000007
on_deleted 2 reader 0103000c.297a35f2.0643ebd4.01000007
Subscriber delete_participant
Publisher TheServiceParticipant.shutdown
check_ = 2
Publisher cleanup done
Subscriber TheServiceParticipant.shutdown
check_ = 3
Subscriber cleanup done
test PASSED.

auto_run_tests_finished: tests/DCPS/Observer/run_test.pl Time:10s Result:0

==============================================================================

tests/DCPS/ReliableBestEffortReaders/run_test.pl #

Testing best-effort readers:
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/subscriber  -DCPSConfigFile rtps_disc.ini -r 00 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 1619 started at 2023-04-26 15:56:25
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/publisher  -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 1620 started at 2023-04-26 15:56:25
Reader1: best-effort
Reader2: best-effort
Publisher waiting for subscriber...
Reader1 received 1:1:test
Reader2 received 1:1:test
Reader1 received 1:2:test
Reader2 received 1:2:test
Reader1 received 1:3:test
Reader2 received 1:3:test
Reader1 received 1:4:test
Reader2 received 1:4:test
Publisher cleanup
Reader1 received 1:5:test
Reader2 received 1:5:test
Reader1 received: 5/5
Reader2 received: 5/5
Subscriber cleanup
test PASSED.

Testing reliable readers:
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/subscriber  -DCPSConfigFile rtps_disc.ini -r 11 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 1632 started at 2023-04-26 15:56:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/publisher  -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 1633 started at 2023-04-26 15:56:26
Reader1: reliable
Reader2: reliable
Publisher waiting for subscriber...
Reader1 received 1:1:test
Reader2 received 1:1:test
Reader1 received 1:2:test
Reader2 received 1:2:test
Reader1 received 1:3:test
Reader2 received 1:3:test
Reader1 received 1:4:test
Reader2 received 1:4:test
Publisher cleanup
Reader1 received 1:5:test
Reader2 received 1:5:test
Reader1 received: 5/5
Reader2 received: 5/5
Subscriber cleanup
test PASSED.

Testing best-effort and reliable readers:
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/subscriber  -DCPSConfigFile rtps_disc.ini -r 01 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 1652 started at 2023-04-26 15:56:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/publisher  -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 1653 started at 2023-04-26 15:56:32
Reader1: best-effort
Publisher waiting for subscriber...
Reader2: reliable
Reader1 received 1:2:test
Reader2 received 1:1:test
Reader2 received 1:2:test
Reader1 received 1:3:test
Reader2 received 1:3:test
Reader1 received 1:4:test
Reader2 received 1:4:test
Publisher cleanup
Reader1 received 1:5:test
Reader2 received 1:5:test
Reader1 received: 4/5
Reader2 received: 5/5
Subscriber cleanup
test PASSED.

Testing reliable and best-effort readers:
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/subscriber  -DCPSConfigFile rtps_disc.ini -r 10 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 1665 started at 2023-04-26 15:56:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/publisher  -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 1666 started at 2023-04-26 15:56:34
Reader1: reliable
Publisher waiting for subscriber...
Reader2: best-effort
Reader2 received 1:2:test
Reader1 received 1:1:test
Reader1 received 1:2:test
Reader1 received 1:3:test
Reader2 received 1:3:test
Reader1 received 1:4:test
Reader2 received 1:4:test
Publisher cleanup
Reader1 received 1:5:test
Reader2 received 1:5:test
Reader1 received: 5/5
Reader2 received: 4/5
Subscriber cleanup
test PASSED.

auto_run_tests_finished: tests/DCPS/ReliableBestEffortReaders/run_test.pl Time:11s Result:0

==============================================================================

tests/DCPS/WriteDataContainer/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/WriteDataContainer/WriteDataContainerTest -DcpsBit 0 -ORBVerboseLogging 1 -DCPSDebugLevel 10 -DCPSTransportDebugLevel 1 -ORBLogFile WriteDataContainerTest.log -DCPSPendingTimeout 3 
WriteDataContainerTest PID: 1682 started at 2023-04-26 15:56:36
(1682|1682) write data container test start
test PASSED.

auto_run_tests_finished: tests/DCPS/WriteDataContainer/run_test.pl Time:3s Result:0

==============================================================================

tests/transport/simple/run_test.pl bp #

simple_subscriber -p 1:localhost:11555 -s 2:localhost:10091 -n 400 -q
simple_publisher -p 1:localhost:11555 -s 2:localhost:10091 -n 400 -c 128 -q
(1685|1688) DataReader association with 01030000.00000000.00000001.00000102(99dbc960) is done flags=1.
(1689|1692) DataWriter association with 01030000.00000000.00000001.00000207(c29c6e2c) is done flags=3.
(1689|1689) Publisher total time required was 0.16753 seconds.
(1685|1685) Total time required is 0.16701 seconds.

auto_run_tests_finished: tests/transport/simple/run_test.pl bp Time:16s Result:0

==============================================================================

tests/transport/simple/run_test.pl n #

simple_subscriber -p 1:localhost:10826 -s 2:localhost:10741 -n 400
simple_publisher -p 1:localhost:10826 -s 2:localhost:10741 -n 400
(1695|1700) DataReader association with 01030000.00000000.00000001.00000102(99dbc960) is done flags=1.
(1704|1708) DataWriter association with 01030000.00000000.00000001.00000207(c29c6e2c) is done flags=3.
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [1]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [2]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [3]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [4]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [5]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [6]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [7]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [8]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [9]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [10]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [11]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [12]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [13]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [14]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [15]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [16]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [17]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [18]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [19]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [20]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [21]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [22]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [23]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [24]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [25]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [26]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [27]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [28]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [29]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [30]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [31]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [32]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [33]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [34]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [35]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [36]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [37]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [38]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [39]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [40]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [41]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [42]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [43]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [44]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [45]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [46]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [47]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [48]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [49]]
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [50]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [51]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [52]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [53]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [54]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [55]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [56]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [57]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [58]]
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [59]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [60]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [61]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [62]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [63]]
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [64]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [65]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [66]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [67]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [68]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [69]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [70]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [71]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [72]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [73]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [74]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [75]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [76]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [77]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [78]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [79]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [80]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [81]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [82]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [83]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [84]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [85]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [86]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [87]]
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [88]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [89]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [90]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [91]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [92]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [93]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [94]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [95]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [96]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [97]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [98]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [99]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [100]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [101]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [102]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [103]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [104]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [105]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [106]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [107]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [108]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [109]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [110]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [111]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [112]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [113]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [114]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [115]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [116]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [117]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [118]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [119]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [120]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [121]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [122]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [123]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [124]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [125]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [126]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [127]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [128]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [129]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [130]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [131]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [132]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [133]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [134]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [135]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [136]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [137]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [138]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [139]]
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [140]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [141]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [142]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [143]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [144]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [145]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [146]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [147]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [148]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [149]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [150]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [151]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [152]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [153]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [154]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [155]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [156]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [157]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [158]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [159]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [160]]
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [161]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [162]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [163]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [164]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [165]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [166]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [167]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [168]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [169]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [170]]
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [171]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [172]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [173]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [174]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [175]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [176]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [177]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [178]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [179]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [180]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [181]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [182]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [183]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [184]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [185]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [186]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [187]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [188]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [189]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [190]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [191]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [192]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [193]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [194]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [195]]
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [196]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [197]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [198]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [199]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [200]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [201]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [202]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Message: [Hello World! [203]]
(1704|1704) The transport has confirmed that a sample has been delivered.
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [204]]
(1704|1704) Publisher total time required was 0.20832 seconds.
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [205]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [206]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [207]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [208]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [209]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [210]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [211]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [212]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [213]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [214]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [215]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [216]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [217]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [218]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [219]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [220]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [221]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [222]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [223]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [224]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [225]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [226]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [227]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [228]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [229]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [230]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [231]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [232]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [233]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [234]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [235]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [236]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [237]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [238]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [239]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [240]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [241]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [242]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [243]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [244]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [245]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [246]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [247]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [248]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [249]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [250]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [251]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [252]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [253]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [254]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [255]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [256]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [257]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [258]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [259]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [260]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [261]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [262]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [263]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [264]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [265]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [266]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [267]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [268]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [269]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [270]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [271]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [272]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [273]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [274]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [275]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [276]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [277]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [278]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [279]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [280]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [281]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [282]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [283]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [284]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [285]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [286]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [287]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [288]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [289]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [290]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [291]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [292]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [293]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [294]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [295]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [296]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [297]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [298]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [299]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [300]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [301]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [302]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [303]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [304]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [305]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [306]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [307]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [308]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [309]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [310]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [311]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [312]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [313]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [314]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [315]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [316]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [317]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [318]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [319]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [320]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [321]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [322]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [323]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [324]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [325]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [326]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [327]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [328]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [329]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [330]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [331]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [332]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [333]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [334]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [335]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [336]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [337]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [338]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [339]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [340]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [341]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [342]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [343]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [344]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [345]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [346]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [347]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [348]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [349]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [350]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [351]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [352]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [353]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [354]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [355]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [356]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [357]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [358]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [359]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [360]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [361]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [362]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [363]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [364]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [365]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [366]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [367]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [368]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [369]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [370]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [371]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [372]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [373]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [374]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [375]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [376]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [377]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [378]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [379]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [380]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [381]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [382]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [383]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [384]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [385]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [386]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [387]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [388]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [389]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [390]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [391]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [392]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [393]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [394]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [395]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [396]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [397]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [398]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [399]]
(1695|1700) Data has been received:
(1695|1700) Message: [Hello World! [400]]
(1695|1695) Total time required is 0.35778 seconds.

auto_run_tests_finished: tests/transport/simple/run_test.pl n Time:2s Result:0

==============================================================================

tests/transport/simple/run_test.pl #

simple_subscriber -p 1:localhost:11671 -s 2:localhost:11643
simple_publisher -p 1:localhost:11671 -s 2:localhost:11643
(1710|1713) DataReader association with 01030000.00000000.00000001.00000102(99dbc960) is done flags=1.
(1714|1717) DataWriter association with 01030000.00000000.00000001.00000207(c29c6e2c) is done flags=3.
(1710|1713) Data has been received:
(1710|1713) Message: [Hello World! [1]]
(1714|1714) The transport has confirmed that a sample has been delivered.
(1714|1714) Publisher total time required was 0.868 seconds.
(1710|1710) Total time required is 0.0 seconds.

auto_run_tests_finished: tests/transport/simple/run_test.pl Time:2s Result:0

==============================================================================

tests/transport/simple/run_test.pl shmem bp #

simple_subscriber -p 1:localhost:10274 -s 2:localhost:10335 -m -n 400 -q
simple_publisher -p 1:localhost:10274 -s 2:localhost:10335 -m -n 400 -c 128 -q
(1719|1719) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1725|1725) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1719|1719) DataReader association with 01030000.00000000.00000001.00000102(99dbc960) is done flags=1.
(1725|1729) DataWriter association with 01030000.00000000.00000001.00000207(c29c6e2c) is done flags=3.
(1725|1725) Publisher total time required was 0.6003 seconds.
(1719|1719) Total time required is 0.8987 seconds.

auto_run_tests_finished: tests/transport/simple/run_test.pl shmem bp Time:19s Result:0

==============================================================================

tests/transport/simple/run_test.pl shmem n #

simple_subscriber -p 1:localhost:11332 -s 2:localhost:10568 -m -n 400
simple_publisher -p 1:localhost:11332 -s 2:localhost:10568 -m -n 400
(1731|1731) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1739|1739) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1731|1731) DataReader association with 01030000.00000000.00000001.00000102(99dbc960) is done flags=1.
(1739|1743) DataWriter association with 01030000.00000000.00000001.00000207(c29c6e2c) is done flags=3.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [1]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [2]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [3]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [4]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [5]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [6]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [7]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [8]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [9]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [10]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [11]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [12]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [13]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [14]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [15]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [16]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [17]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [18]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [19]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [20]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [21]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [22]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [23]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [24]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [25]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [26]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [27]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [28]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [29]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [30]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [31]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [32]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [33]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [34]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [35]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [36]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [37]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [38]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [39]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [40]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [41]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [42]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [43]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [44]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [45]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [46]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [47]]
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [48]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [49]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [50]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [51]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [52]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [53]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [54]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [55]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [56]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [57]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [58]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [59]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [60]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [61]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [62]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [63]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [64]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [65]]
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [66]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [67]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [68]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [69]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [70]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [71]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [72]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [73]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [74]]
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [75]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [76]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [77]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [78]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [79]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [80]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [81]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [82]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [83]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [84]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [85]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [86]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [87]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [88]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [89]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [90]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [91]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [92]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [93]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [94]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [95]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [96]]
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [97]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [98]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [99]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [100]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [101]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [102]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [103]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [104]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [105]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [106]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [107]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [108]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [109]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [110]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [111]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [112]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [113]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [114]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [115]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [116]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [117]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [118]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [119]]
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [120]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [121]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [122]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [123]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [124]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [125]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [126]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [127]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [128]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [129]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [130]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [131]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [132]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [133]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [134]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [135]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [136]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [137]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [138]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [139]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [140]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [141]]
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [142]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [143]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [144]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [145]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [146]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [147]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [148]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [149]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [150]]
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [151]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [152]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [153]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [154]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [155]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [156]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [157]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [158]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [159]]
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [160]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [161]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [162]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [163]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [164]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [165]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [166]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [167]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [168]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [169]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [170]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [171]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [172]]
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [173]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [174]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Message: [Hello World! [175]]
(1739|1739) The transport has confirmed that a sample has been delivered.
(1739|1739) The transport has confirmed that a sample has been delivered.
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [176]]
(1739|1739) Publisher total time required was 0.14726 seconds.
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [177]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [178]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [179]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [180]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [181]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [182]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [183]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [184]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [185]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [186]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [187]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [188]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [189]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [190]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [191]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [192]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [193]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [194]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [195]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [196]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [197]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [198]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [199]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [200]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [201]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [202]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [203]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [204]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [205]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [206]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [207]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [208]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [209]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [210]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [211]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [212]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [213]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [214]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [215]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [216]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [217]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [218]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [219]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [220]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [221]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [222]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [223]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [224]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [225]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [226]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [227]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [228]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [229]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [230]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [231]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [232]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [233]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [234]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [235]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [236]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [237]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [238]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [239]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [240]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [241]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [242]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [243]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [244]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [245]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [246]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [247]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [248]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [249]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [250]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [251]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [252]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [253]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [254]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [255]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [256]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [257]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [258]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [259]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [260]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [261]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [262]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [263]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [264]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [265]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [266]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [267]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [268]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [269]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [270]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [271]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [272]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [273]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [274]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [275]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [276]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [277]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [278]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [279]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [280]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [281]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [282]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [283]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [284]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [285]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [286]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [287]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [288]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [289]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [290]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [291]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [292]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [293]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [294]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [295]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [296]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [297]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [298]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [299]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [300]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [301]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [302]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [303]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [304]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [305]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [306]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [307]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [308]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [309]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [310]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [311]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [312]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [313]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [314]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [315]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [316]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [317]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [318]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [319]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [320]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [321]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [322]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [323]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [324]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [325]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [326]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [327]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [328]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [329]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [330]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [331]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [332]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [333]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [334]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [335]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [336]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [337]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [338]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [339]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [340]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [341]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [342]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [343]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [344]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [345]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [346]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [347]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [348]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [349]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [350]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [351]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [352]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [353]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [354]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [355]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [356]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [357]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [358]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [359]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [360]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [361]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [362]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [363]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [364]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [365]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [366]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [367]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [368]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [369]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [370]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [371]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [372]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [373]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [374]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [375]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [376]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [377]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [378]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [379]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [380]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [381]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [382]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [383]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [384]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [385]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [386]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [387]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [388]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [389]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [390]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [391]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [392]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [393]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [394]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [395]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [396]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [397]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [398]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [399]]
(1731|1738) Data has been received:
(1731|1738) Message: [Hello World! [400]]
(1731|1731) Total time required is 0.31361 seconds.

auto_run_tests_finished: tests/transport/simple/run_test.pl shmem n Time:4s Result:0

==============================================================================

tests/transport/simple/run_test.pl shmem #

simple_subscriber -p 1:localhost:11521 -s 2:localhost:10434 -m
simple_publisher -p 1:localhost:11521 -s 2:localhost:10434 -m
(1745|1745) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1750|1750) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1745|1745) DataReader association with 01030000.00000000.00000001.00000102(99dbc960) is done flags=1.
(1750|1754) DataWriter association with 01030000.00000000.00000001.00000207(c29c6e2c) is done flags=3.
(1750|1750) The transport has confirmed that a sample has been delivered.
(1750|1750) Publisher total time required was 0.428 seconds.
(1745|1749) Data has been received:
(1745|1749) Message: [Hello World! [1]]
(1745|1745) Total time required is 0.1 seconds.

auto_run_tests_finished: tests/transport/simple/run_test.pl shmem Time:4s Result:0

==============================================================================

tests/transport/error_handling/run_test.pl #

test PASSED.

auto_run_tests_finished: tests/transport/error_handling/run_test.pl Time:1s Result:0

==============================================================================

tests/transport/network_resource/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/network_resource/NetworkResource  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile nr.log -DCPSPendingTimeout 3 
nr PID: 1763 started at 2023-04-26 15:57:27
[==========] Running 2 tests from 1 test case.
[----------] Global test environment set-up.
[----------] 2 tests from dds_DCPS_NetworkResource
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_double
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_double (124 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_triple
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_triple (42 ms)
[----------] 2 tests from dds_DCPS_NetworkResource (166 ms total)

[----------] Global test environment tear-down
[==========] 2 tests from 1 test case ran. (171 ms total)
[  PASSED  ] 2 tests.
test PASSED.

auto_run_tests_finished: tests/transport/network_resource/run_test.pl Time:0s Result:0

==============================================================================

performance-tests/DCPS/InfoRepo_population/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -NOBITS -o repo.ior
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/syncServer  -p2 -s1
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/publisher   -DCPSConfigFile pub.ini -DCPSBit 0 -t5 -n5 -p5 -s5
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/publisher   -DCPSConfigFile pub.ini -DCPSBit 0 -t5 -n5 -p5 -s5
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/subscriber   -DCPSConfigFile sub.ini -DCPSBit 0 -t5 -n5 -s5 -p10
(1774|1774) NOTICE: using DCPSBit value from command option (overrides value if it's in config file)
(1775|1775) NOTICE: using DCPSBit value from command option (overrides value if it's in config file)
(1776|1776) NOTICE: using DCPSBit value from command option (overrides value if it's in config file)
(1776|1776) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1775|1775) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1774|1774) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.

Role: Topic,  15 instances in 39 milliseconds.
Role: Participant,  15 instances in 8 milliseconds.
Role: Publisher,  10 instances in 209 milliseconds.
Role: Subscriber,  5 instances in 1019 milliseconds.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: performance-tests/DCPS/InfoRepo_population/run_test.pl Time:2s Result:0

==============================================================================

DevGuideExamples/DCPS/Messenger/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -ORBDebugLevel 1 -ORBLogFile DCPSInfoRepo.log -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1801
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger/subscriber  -ORBDebugLevel 1 -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 1808 started at 2023-04-26 15:57:29
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger/publisher  -ORBDebugLevel 1 -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 1809 started at 2023-04-26 15:57:29
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 100
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 101
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 102
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 103
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 104
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 105
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 106
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 107
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 108
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
test PASSED.

auto_run_tests_finished: DevGuideExamples/DCPS/Messenger/run_test.pl Time:1s Result:0

==============================================================================

DevGuideExamples/DCPS/Messenger/run_test.pl --rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger/subscriber  -ORBDebugLevel 1 -DCPSConfigFile rtps.ini -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 1825 started at 2023-04-26 15:57:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger/publisher  -ORBDebugLevel 1 -DCPSConfigFile rtps.ini -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 1827 started at 2023-04-26 15:57:30
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 100
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 101
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 102
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 103
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 104
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 105
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 106
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 107
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 108
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
test PASSED.

auto_run_tests_finished: DevGuideExamples/DCPS/Messenger/run_test.pl --rtps Time:1s Result:0

==============================================================================

DevGuideExamples/DCPS/Messenger_ZeroCopy/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile DCPSInfoRepo.log -o repo.ior 
TAO (1840|1840) - Completed initializing the process-wide service context
TAO (1840|1840) - Default ORB services initialization begins
TAO (1840|1840) - ORBInitializer_Registry::register_orb_initializer 0 @0xef5800
TAO (1840|1840) - ORBInitializer_Registry::register_orb_initializer 1 @0xef2c00
TAO (1840|1840) - Default ORB services initialization completed
TAO (1840|1840) - We are the default ORB ...
TAO (1840|1840) - Initializing the orb-specific services
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger_ZeroCopy/publisher  -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile publisher.log
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger_ZeroCopy/subscriber  -ORBDebugLevel 10 -DCPSDebugLevel 10 -DCPSTransportDebugLevel 6 -ORBLogFile subscriber.log
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 2
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.

auto_run_tests_finished: DevGuideExamples/DCPS/Messenger_ZeroCopy/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/Messenger/run_test.pl udp #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -ORBDebugLevel 1 -ORBLogFile DCPSInfoRepo.log  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1869
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/subscriber -ORBDebugLevel 1 -DCPSConfigFile sub_udp.ini -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 1876 started at 2023-04-26 15:57:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/publisher -ORBDebugLevel 1 -DCPSConfigFile pub_udp.ini -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 1880 started at 2023-04-26 15:57:32
Starting publisher
Starting publisher with 1 args
Transport is UN-RELIABLE
Start Writing Samples
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
deleting contained entities
deleting participant
shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
test PASSED.

auto_run_tests_finished: tests/DCPS/Messenger/run_test.pl udp Time:10s Result:0

==============================================================================

tests/DCPS/Messenger/run_test.pl default_udp #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -ORBDebugLevel 1 -ORBLogFile DCPSInfoRepo.log  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1897
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/subscriber -ORBDebugLevel 1 -t udp -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 1904 started at 2023-04-26 15:57:43
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/publisher -ORBDebugLevel 1 -t udp -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 1905 started at 2023-04-26 15:57:43
Starting publisher
Starting publisher with 3 args
Transport is UN-RELIABLE
Start Writing Samples
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
deleting contained entities
deleting participant
shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
test PASSED.

auto_run_tests_finished: tests/DCPS/Messenger/run_test.pl default_udp Time:11s Result:0

==============================================================================

tests/DCPS/RecorderReplayer/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -ORBDebugLevel 1 -ORBLogFile DCPSInfoRepo.log   -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1924
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/publisher -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile pub.log -DCPSConfigFile pub.ini
publisher PID: 1931 started at 2023-04-26 15:57:53
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile sub.log -DCPSConfigFile sub.ini
subscriber PID: 1932 started at 2023-04-26 15:57:53
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/relay -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile relay.log -DCPSConfigFile relay.ini
relay PID: 1933 started at 2023-04-26 15:57:53
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 100
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 101
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 102
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 103
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 104
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 105
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 106
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 107
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
Message: subject    = Review
         subject_id = 108
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
test PASSED.

auto_run_tests_finished: tests/DCPS/RecorderReplayer/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/RecorderReplayer/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/publisher -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile pub.log -DCPSConfigFile rtps_disc.ini
publisher PID: 1955 started at 2023-04-26 15:57:54
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile sub.log -DCPSConfigFile rtps_disc.ini
subscriber PID: 1956 started at 2023-04-26 15:57:54
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/relay -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile relay.log -DCPSConfigFile rtps_disc.ini
relay PID: 1957 started at 2023-04-26 15:57:54
test PASSED.

auto_run_tests_finished: tests/DCPS/RecorderReplayer/run_test.pl rtps_disc Time:1s Result:0

==============================================================================

tests/DCPS/RecorderLogging/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -ORBDebugLevel 1 -ORBLogFile DCPSInfoRepo.log   -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1974
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderLogging/publisher -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile pub.log -DCPSConfigFile pub.ini
publisher PID: 1981 started at 2023-04-26 15:57:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderLogging/recorder -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile recorder.log -DCPSConfigFile recorder.ini
recorder PID: 1982 started at 2023-04-26 15:57:55
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
test PASSED.

auto_run_tests_finished: tests/DCPS/RecorderLogging/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/RecorderLogging/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderLogging/publisher -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile pub.log -DCPSConfigFile rtps_disc.ini
publisher PID: 1998 started at 2023-04-26 15:57:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderLogging/recorder -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile recorder.log -DCPSConfigFile rtps_disc.ini
recorder PID: 1999 started at 2023-04-26 15:57:56
test PASSED.

auto_run_tests_finished: tests/DCPS/RecorderLogging/run_test.pl rtps_disc Time:1s Result:0

==============================================================================

examples/DCPS/Messenger_Imr/run_test.pl #

>>> /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/TAO/orbsvcs/ImplRepo_Service/tao_imr_locator -o imr.ior  -orbendpoint iiop://:10344
>>> /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/TAO/orbsvcs/ImplRepo_Service/tao_imr_activator -o activator.ior -ORBInitRef ImplRepoService=file://imr.ior  -orbendpoint iiop://:10960
>>> /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -NOBITS -o repo.ior  -ORBuseimr 1 -ORBInitRef ImplRepoService=file://imr.ior
>>> /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin/tao_imr -ORBInitRef ImplRepoService=file://imr.ior shutdown InfoRepo
Successfully shut down server <InfoRepo>
>>> /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin/tao_imr -ORBInitRef ImplRepoService=file://imr.ior update InfoRepo -l flea -c "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/.//DCPSInfoRepo  -NOBITS -o repo.ior  -ORBuseimr 1 -ORBInitRef ImplRepoService=file://imr.ior"
Successfully registered <InfoRepo>.
>>> /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin/tao_imr -ORBInitRef ImplRepoService=file://imr.ior list -v
Server <InfoRepo>
  Activator: flea
  Command Line: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/.//DCPSInfoRepo  -NOBITS -o repo.ior  -ORBuseimr 1 -ORBInitRef ImplRepoService=file://imr.ior
  Working Directory: 
  Activation Mode: NORMAL
  Number of retries: 0
  Not currently running

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_Imr/publisher   -DCPSConfigFile pub.ini -orbendpoint iiop://:10581
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_Imr/subscriber   -DCPSConfigFile sub.ini
(2025|2025) Writer::start
(2025|2043) Writer::svc begins.
DataReaderListenerImpl::on_subscription_matched
(2025|2043)  15:57:59.601683 Writer::svc starting to write.
DataReaderListenerImpl::on_liveliness_changed
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
(2025|2043) Writer::svc finished.
(2025|2025) Writer::end
>>> /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin/tao_imr -ORBInitRef ImplRepoService=file://imr.ior shutdown InfoRepo
Successfully shut down server <InfoRepo>
test PASSED.

auto_run_tests_finished: examples/DCPS/Messenger_Imr/run_test.pl Time:6s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 2048
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_1.log
pub_1 PID: 2056 started at 2023-04-26 15:58:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log
sub_1 PID: 2057 started at 2023-04-26 15:58:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_2.log
pub_2 PID: 2058 started at 2023-04-26 15:58:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log
sub_2 PID: 2059 started at 2023-04-26 15:58:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_3.log
pub_3 PID: 2060 started at 2023-04-26 15:58:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log
sub_3 PID: 2061 started at 2023-04-26 15:58:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_4.log
pub_4 PID: 2062 started at 2023-04-26 15:58:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log
sub_4 PID: 2063 started at 2023-04-26 15:58:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_5.log
pub_5 PID: 2064 started at 2023-04-26 15:58:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log
sub_5 PID: 2065 started at 2023-04-26 15:58:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_6.log
pub_6 PID: 2066 started at 2023-04-26 15:58:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log
sub_6 PID: 2067 started at 2023-04-26 15:58:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_7.log
pub_7 PID: 2069 started at 2023-04-26 15:58:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log
sub_7 PID: 2070 started at 2023-04-26 15:58:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_8.log
pub_8 PID: 2071 started at 2023-04-26 15:58:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log
sub_8 PID: 2072 started at 2023-04-26 15:58:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_9.log
pub_9 PID: 2073 started at 2023-04-26 15:58:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log
sub_9 PID: 2074 started at 2023-04-26 15:58:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_10.log
pub_10 PID: 2075 started at 2023-04-26 15:58:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log
sub_10 PID: 2076 started at 2023-04-26 15:58:03
(2048|2048) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Subscriber 2059 got new message data:
 - From  : 2056
 - Count : 1
Subscriber 2059 is done. Exiting.
Subscriber 2061 got new message data:
 - From  : 2056
 - Count : 9
Subscriber 2061 is done. Exiting.
Subscriber 2057 got new message data:
 - From  : 2056
 - Count : 14
Subscriber 2057 is done. Exiting.
Subscriber 2070 got new message data:
 - From  : 2056
 - Count : 31
Subscriber 2070 got new message data:
 - From  : 2060
 - Count : 14
Subscriber 2070 is done. Exiting.
Subscriber 2070 got new message data:
 - From  : 2056
 - Count : 32
Subscriber 2070 got new message data:
 - From  : 2060
 - Count : 15
Subscriber 2070 got new message data:
 - From  : 2058
 - Count : 14
Subscriber 2063 got new message data:
 - From  : 2058
 - Count : 87
Subscriber 2063 is done. Exiting.
Subscriber 2065 got new message data:
 - From  : 2056
 - Count : 124
Subscriber 2065 is done. Exiting.
Subscriber 2072 got new message data:
 - From  : 2056
 - Count : 146
Subscriber 2072 is done. Exiting.
Subscriber 2067 got new message data:
 - From  : 2056
 - Count : 166
Subscriber 2067 is done. Exiting.
Subscriber 2067 got new message data:
 - From  : 2060
 - Count : 150
Subscriber 2067 got new message data:
 - From  : 2056
 - Count : 167
Subscriber 2067 got new message data:
 - From  : 2056
 - Count : 168
Subscriber 2067 got new message data:
 - From  : 2056
 - Count : 169
Subscriber 2067 got new message data:
 - From  : 2060
 - Count : 151
Subscriber 2067 got new message data:
 - From  : 2060
 - Count : 152
Subscriber 2067 got new message data:
 - From  : 2058
 - Count : 151
Subscriber 2067 got new message data:
 - From  : 2058
 - Count : 152
Subscriber 2067 got new message data:
 - From  : 2062
 - Count : 147
Subscriber 2067 got new message data:
 - From  : 2062
 - Count : 148
Subscriber 2067 got new message data:
 - From  : 2064
 - Count : 146
Subscriber 2067 got new message data:
 - From  : 2073
 - Count : 61
Subscriber 2067 got new message data:
 - From  : 2056
 - Count : 170
Subscriber 2067 got new message data:
 - From  : 2071
 - Count : 43
Subscriber 2067 got new message data:
 - From  : 2060
 - Count : 153
Subscriber 2067 got new message data:
 - From  : 2060
 - Count : 154
Subscriber 2067 got new message data:
 - From  : 2058
 - Count : 153
Subscriber 2067 got new message data:
 - From  : 2062
 - Count : 149
Subscriber 2067 got new message data:
 - From  : 2064
 - Count : 147
Subscriber 2067 got new message data:
 - From  : 2073
 - Count : 62
Subscriber 2067 got new message data:
 - From  : 2069
 - Count : 39
Subscriber 2067 got new message data:
 - From  : 2066
 - Count : 31
Subscriber 2067 got new message data:
 - From  : 2056
 - Count : 171
Subscriber 2067 got new message data:
 - From  : 2071
 - Count : 44
Subscriber 2067 got new message data:
 - From  : 2060
 - Count : 155
Subscriber 2067 got new message data:
 - From  : 2058
 - Count : 154
Subscriber 2076 got new message data:
 - From  : 2060
 - Count : 160
Subscriber 2076 is done. Exiting.
Subscriber 2076 got new message data:
 - From  : 2056
 - Count : 176
Subscriber 2076 got new message data:
 - From  : 2056
 - Count : 177
Subscriber 2076 got new message data:
 - From  : 2058
 - Count : 160
Subscriber 2076 got new message data:
 - From  : 2060
 - Count : 161
Subscriber 2076 got new message data:
 - From  : 2056
 - Count : 178
Subscriber 2076 got new message data:
 - From  : 2060
 - Count : 162
Subscriber 2076 got new message data:
 - From  : 2062
 - Count : 157
Subscriber 2076 got new message data:
 - From  : 2064
 - Count : 155
Subscriber 2074 got new message data:
 - From  : 2056
 - Count : 195
Subscriber 2074 got new message data:
 - From  : 2056
 - Count : 196
Subscriber 2074 got new message data:
 - From  : 2060
 - Count : 180
Subscriber 2074 is done. Exiting.
Publisher 2056 is done. Exiting.
Publisher 2060 is done. Exiting.
Publisher 2058 is done. Exiting.
Publisher 2062 is done. Exiting.
Publisher 2064 is done. Exiting.
Publisher 2073 is done. Exiting.
Publisher 2071 is done. Exiting.
Publisher 2069 is done. Exiting.
Publisher 2066 is done. Exiting.
Publisher 2075 is done. Exiting.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl publishers 10 subscribers 10 Time:11s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl rtps publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 2217
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_1.log -DCPSConfigFile rtps.ini 
pub_1 PID: 2224 started at 2023-04-26 15:58:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log -DCPSConfigFile rtps.ini 
sub_1 PID: 2225 started at 2023-04-26 15:58:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_2.log -DCPSConfigFile rtps.ini 
pub_2 PID: 2226 started at 2023-04-26 15:58:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log -DCPSConfigFile rtps.ini 
sub_2 PID: 2227 started at 2023-04-26 15:58:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_3.log -DCPSConfigFile rtps.ini 
pub_3 PID: 2228 started at 2023-04-26 15:58:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log -DCPSConfigFile rtps.ini 
sub_3 PID: 2229 started at 2023-04-26 15:58:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_4.log -DCPSConfigFile rtps.ini 
pub_4 PID: 2230 started at 2023-04-26 15:58:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log -DCPSConfigFile rtps.ini 
sub_4 PID: 2231 started at 2023-04-26 15:58:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_5.log -DCPSConfigFile rtps.ini 
pub_5 PID: 2232 started at 2023-04-26 15:58:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log -DCPSConfigFile rtps.ini 
sub_5 PID: 2233 started at 2023-04-26 15:58:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_6.log -DCPSConfigFile rtps.ini 
pub_6 PID: 2234 started at 2023-04-26 15:58:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log -DCPSConfigFile rtps.ini 
sub_6 PID: 2235 started at 2023-04-26 15:58:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_7.log -DCPSConfigFile rtps.ini 
pub_7 PID: 2236 started at 2023-04-26 15:58:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log -DCPSConfigFile rtps.ini 
sub_7 PID: 2237 started at 2023-04-26 15:58:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_8.log -DCPSConfigFile rtps.ini 
pub_8 PID: 2238 started at 2023-04-26 15:58:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log -DCPSConfigFile rtps.ini 
sub_8 PID: 2239 started at 2023-04-26 15:58:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_9.log -DCPSConfigFile rtps.ini 
pub_9 PID: 2240 started at 2023-04-26 15:58:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log -DCPSConfigFile rtps.ini 
sub_9 PID: 2241 started at 2023-04-26 15:58:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_10.log -DCPSConfigFile rtps.ini 
pub_10 PID: 2242 started at 2023-04-26 15:58:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log -DCPSConfigFile rtps.ini 
sub_10 PID: 2243 started at 2023-04-26 15:58:14
(2217|2217) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Subscriber 2225 got new message data:
 - From  : 2224
 - Count : 1
Subscriber 2225 is done. Exiting.
Subscriber 2227 got new message data:
 - From  : 2224
 - Count : 11
Subscriber 2227 is done. Exiting.
Subscriber 2229 got new message data:
 - From  : 2224
 - Count : 24
Subscriber 2229 got new message data:
 - From  : 2226
 - Count : 18
Subscriber 2229 is done. Exiting.
Subscriber 2229 got new message data:
 - From  : 2230
 - Count : 10
Subscriber 2229 got new message data:
 - From  : 2226
 - Count : 19
Subscriber 2229 got new message data:
 - From  : 2224
 - Count : 25
Subscriber 2229 got new message data:
 - From  : 2228
 - Count : 6
Subscriber 2239 got new message data:
 - From  : 2230
 - Count : 16
Subscriber 2239 is done. Exiting.
Subscriber 2231 got new message data:
 - From  : 2230
 - Count : 34
Subscriber 2231 got new message data:
 - From  : 2224
 - Count : 49
Subscriber 2231 got new message data:
 - From  : 2226
 - Count : 43
Subscriber 2231 got new message data:
 - From  : 2228
 - Count : 30
Subscriber 2231 got new message data:
 - From  : 2230
 - Count : 35
Subscriber 2231 is done. Exiting.
Subscriber 2235 got new message data:
 - From  : 2226
 - Count : 102
Subscriber 2235 got new message data:
 - From  : 2224
 - Count : 108
Subscriber 2235 got new message data:
 - From  : 2230
 - Count : 93
Subscriber 2235 got new message data:
 - From  : 2242
 - Count : 72
Subscriber 2235 got new message data:
 - From  : 2228
 - Count : 89
Subscriber 2235 got new message data:
 - From  : 2236
 - Count : 8
Subscriber 2235 got new message data:
 - From  : 2226
 - Count : 103
Subscriber 2235 is done. Exiting.
Subscriber 2235 got new message data:
 - From  : 2232
 - Count : 56
Subscriber 2235 got new message data:
 - From  : 2224
 - Count : 109
Subscriber 2235 got new message data:
 - From  : 2230
 - Count : 94
Subscriber 2235 got new message data:
 - From  : 2242
 - Count : 73
Subscriber 2235 got new message data:
 - From  : 2228
 - Count : 90
Subscriber 2235 got new message data:
 - From  : 2236
 - Count : 9
Subscriber 2235 got new message data:
 - From  : 2240
 - Count : 7
Subscriber 2235 got new message data:
 - From  : 2232
 - Count : 57
Subscriber 2235 got new message data:
 - From  : 2226
 - Count : 104
Subscriber 2235 got new message data:
 - From  : 2224
 - Count : 110
Subscriber 2235 got new message data:
 - From  : 2230
 - Count : 95
Subscriber 2235 got new message data:
 - From  : 2242
 - Count : 74
Subscriber 2235 got new message data:
 - From  : 2228
 - Count : 91
Subscriber 2235 got new message data:
 - From  : 2236
 - Count : 10
Subscriber 2235 got new message data:
 - From  : 2240
 - Count : 8
Subscriber 2235 got new message data:
 - From  : 2232
 - Count : 58
Subscriber 2235 got new message data:
 - From  : 2226
 - Count : 105
Subscriber 2235 got new message data:
 - From  : 2224
 - Count : 111
Subscriber 2235 got new message data:
 - From  : 2230
 - Count : 96
Subscriber 2235 got new message data:
 - From  : 2242
 - Count : 75
Subscriber 2235 got new message data:
 - From  : 2228
 - Count : 92
Subscriber 2233 got new message data:
 - From  : 2232
 - Count : 73
Subscriber 2233 got new message data:
 - From  : 2226
 - Count : 120
Subscriber 2233 got new message data:
 - From  : 2236
 - Count : 25
Subscriber 2233 got new message data:
 - From  : 2224
 - Count : 126
Subscriber 2233 got new message data:
 - From  : 2230
 - Count : 111
Subscriber 2233 got new message data:
 - From  : 2228
 - Count : 107
Subscriber 2233 got new message data:
 - From  : 2242
 - Count : 90
Subscriber 2233 got new message data:
 - From  : 2240
 - Count : 24
Subscriber 2233 got new message data:
 - From  : 2232
 - Count : 74
Subscriber 2233 got new message data:
 - From  : 2226
 - Count : 121
Subscriber 2233 got new message data:
 - From  : 2224
 - Count : 127
Subscriber 2233 got new message data:
 - From  : 2236
 - Count : 26
Subscriber 2233 got new message data:
 - From  : 2230
 - Count : 112
Subscriber 2233 got new message data:
 - From  : 2228
 - Count : 108
Subscriber 2233 got new message data:
 - From  : 2242
 - Count : 91
Subscriber 2233 is done. Exiting.
Subscriber 2243 got new message data:
 - From  : 2240
 - Count : 35
Subscriber 2243 got new message data:
 - From  : 2232
 - Count : 85
Subscriber 2243 got new message data:
 - From  : 2226
 - Count : 132
Subscriber 2243 got new message data:
 - From  : 2224
 - Count : 138
Subscriber 2243 got new message data:
 - From  : 2236
 - Count : 37
Subscriber 2243 is done. Exiting.
Subscriber 2243 got new message data:
 - From  : 2228
 - Count : 119
Subscriber 2237 got new message data:
 - From  : 2224
 - Count : 151
Subscriber 2237 is done. Exiting.
Subscriber 2241 got new message data:
 - From  : 2226
 - Count : 155
Subscriber 2241 is done. Exiting.
Publisher 2224 is done. Exiting.
Publisher 2226 is done. Exiting.
Publisher 2230 is done. Exiting.
Publisher 2228 is done. Exiting.
Publisher 2242 is done. Exiting.
Publisher 2232 is done. Exiting.
Publisher 2236 is done. Exiting.
Publisher 2240 is done. Exiting.
Publisher 2234 is done. Exiting.
Publisher 2238 is done. Exiting.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl rtps publishers 10 subscribers 10 Time:10s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl rtps_disc publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_1.log -DCPSConfigFile rtps_disc.ini 
pub_1 PID: 2380 started at 2023-04-26 15:58:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log -DCPSConfigFile rtps_disc.ini 
sub_1 PID: 2381 started at 2023-04-26 15:58:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_2.log -DCPSConfigFile rtps_disc.ini 
pub_2 PID: 2384 started at 2023-04-26 15:58:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log -DCPSConfigFile rtps_disc.ini 
sub_2 PID: 2387 started at 2023-04-26 15:58:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_3.log -DCPSConfigFile rtps_disc.ini 
pub_3 PID: 2388 started at 2023-04-26 15:58:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log -DCPSConfigFile rtps_disc.ini 
sub_3 PID: 2389 started at 2023-04-26 15:58:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_4.log -DCPSConfigFile rtps_disc.ini 
pub_4 PID: 2390 started at 2023-04-26 15:58:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log -DCPSConfigFile rtps_disc.ini 
sub_4 PID: 2391 started at 2023-04-26 15:58:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_5.log -DCPSConfigFile rtps_disc.ini 
pub_5 PID: 2392 started at 2023-04-26 15:58:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log -DCPSConfigFile rtps_disc.ini 
sub_5 PID: 2393 started at 2023-04-26 15:58:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_6.log -DCPSConfigFile rtps_disc.ini 
pub_6 PID: 2398 started at 2023-04-26 15:58:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log -DCPSConfigFile rtps_disc.ini 
sub_6 PID: 2399 started at 2023-04-26 15:58:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_7.log -DCPSConfigFile rtps_disc.ini 
pub_7 PID: 2400 started at 2023-04-26 15:58:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log -DCPSConfigFile rtps_disc.ini 
sub_7 PID: 2401 started at 2023-04-26 15:58:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_8.log -DCPSConfigFile rtps_disc.ini 
pub_8 PID: 2402 started at 2023-04-26 15:58:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log -DCPSConfigFile rtps_disc.ini 
sub_8 PID: 2403 started at 2023-04-26 15:58:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_9.log -DCPSConfigFile rtps_disc.ini 
pub_9 PID: 2404 started at 2023-04-26 15:58:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log -DCPSConfigFile rtps_disc.ini 
sub_9 PID: 2405 started at 2023-04-26 15:58:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_10.log -DCPSConfigFile rtps_disc.ini 
pub_10 PID: 2406 started at 2023-04-26 15:58:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log -DCPSConfigFile rtps_disc.ini 
sub_10 PID: 2407 started at 2023-04-26 15:58:24
Subscriber 2399 got new message data:
 - From  : 2398
 - Count : 211
Subscriber 2399 is done. Exiting.
Subscriber 2393 got new message data:
 - From  : 2390
 - Count : 279
Subscriber 2393 is done. Exiting.
Subscriber 2405 got new message data:
 - From  : 2402
 - Count : 277
Subscriber 2405 is done. Exiting.
Subscriber 2381 got new message data:
 - From  : 2388
 - Count : 298
Subscriber 2381 is done. Exiting.
Subscriber 2381 got new message data:
 - From  : 2388
 - Count : 299
Subscriber 2387 got new message data:
 - From  : 2390
 - Count : 325
Subscriber 2387 is done. Exiting.
Subscriber 2389 got new message data:
 - From  : 2384
 - Count : 341
Subscriber 2389 is done. Exiting.
Subscriber 2391 got new message data:
 - From  : 2384
 - Count : 341
Subscriber 2391 is done. Exiting.
Subscriber 2403 got new message data:
 - From  : 2392
 - Count : 346
Subscriber 2403 is done. Exiting.
Subscriber 2401 got new message data:
 - From  : 2384
 - Count : 363
Subscriber 2401 is done. Exiting.
Subscriber 2407 got new message data:
 - From  : 2404
 - Count : 349
Subscriber 2407 is done. Exiting.
Publisher 2380 is done. Exiting.
Publisher 2388 is done. Exiting.
Publisher 2384 is done. Exiting.
Publisher 2398 is done. Exiting.
Publisher 2390 is done. Exiting.
Publisher 2392 is done. Exiting.
Publisher 2402 is done. Exiting.
Publisher 2400 is done. Exiting.
Publisher 2404 is done. Exiting.
Publisher 2406 is done. Exiting.
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl rtps_disc publishers 10 subscribers 10 Time:8s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl rtps_disc_tcp publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_1.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_1 PID: 2596 started at 2023-04-26 15:58:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_1 PID: 2597 started at 2023-04-26 15:58:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_2.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_2 PID: 2598 started at 2023-04-26 15:58:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_2 PID: 2599 started at 2023-04-26 15:58:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_3.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_3 PID: 2600 started at 2023-04-26 15:58:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_3 PID: 2601 started at 2023-04-26 15:58:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_4.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_4 PID: 2602 started at 2023-04-26 15:58:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_4 PID: 2603 started at 2023-04-26 15:58:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_5.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_5 PID: 2604 started at 2023-04-26 15:58:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_5 PID: 2605 started at 2023-04-26 15:58:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_6.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_6 PID: 2606 started at 2023-04-26 15:58:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_6 PID: 2607 started at 2023-04-26 15:58:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_7.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_7 PID: 2608 started at 2023-04-26 15:58:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_7 PID: 2609 started at 2023-04-26 15:58:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_8.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_8 PID: 2610 started at 2023-04-26 15:58:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_8 PID: 2611 started at 2023-04-26 15:58:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_9.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_9 PID: 2612 started at 2023-04-26 15:58:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_9 PID: 2613 started at 2023-04-26 15:58:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_10.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_10 PID: 2614 started at 2023-04-26 15:58:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_10 PID: 2615 started at 2023-04-26 15:58:32
Subscriber 2613 got new message data:
 - From  : 2614
 - Count : 120
Subscriber 2613 is done. Exiting.
Subscriber 2609 got new message data:
 - From  : 2610
 - Count : 147
Subscriber 2609 is done. Exiting.
Subscriber 2611 got new message data:
 - From  : 2612
 - Count : 154
Subscriber 2611 is done. Exiting.
Subscriber 2615 got new message data:
 - From  : 2612
 - Count : 176
Subscriber 2615 is done. Exiting.
Subscriber 2597 got new message data:
 - From  : 2604
 - Count : 228
Subscriber 2597 is done. Exiting.
Subscriber 2601 got new message data:
 - From  : 2606
 - Count : 234
Subscriber 2601 is done. Exiting.
Subscriber 2601 got new message data:
 - From  : 2606
 - Count : 235
Subscriber 2603 got new message data:
 - From  : 2596
 - Count : 243
Subscriber 2603 is done. Exiting.
Subscriber 2601 got new message data:
 - From  : 2606
 - Count : 236
Subscriber 2605 got new message data:
 - From  : 2606
 - Count : 265
Subscriber 2605 is done. Exiting.
Subscriber 2599 got new message data:
 - From  : 2604
 - Count : 268
Subscriber 2599 is done. Exiting.
Subscriber 2607 got new message data:
 - From  : 2596
 - Count : 279
Subscriber 2607 got new message data:
 - From  : 2606
 - Count : 271
Subscriber 2607 is done. Exiting.
Publisher 2600 is done. Exiting.
Publisher 2596 is done. Exiting.
Publisher 2598 is done. Exiting.
Publisher 2604 is done. Exiting.
Publisher 2602 is done. Exiting.
Publisher 2608 is done. Exiting.
Publisher 2606 is done. Exiting.
Publisher 2610 is done. Exiting.
Publisher 2612 is done. Exiting.
Publisher 2614 is done. Exiting.
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl rtps_disc_tcp publishers 10 subscribers 10 Time:9s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl large_samples publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 2752
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_1.log
pub_1 PID: 2761 started at 2023-04-26 15:58:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log
sub_1 PID: 2762 started at 2023-04-26 15:58:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_2.log
pub_2 PID: 2763 started at 2023-04-26 15:58:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log
sub_2 PID: 2764 started at 2023-04-26 15:58:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_3.log
pub_3 PID: 2765 started at 2023-04-26 15:58:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log
sub_3 PID: 2767 started at 2023-04-26 15:58:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_4.log
pub_4 PID: 2769 started at 2023-04-26 15:58:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log
sub_4 PID: 2770 started at 2023-04-26 15:58:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_5.log
pub_5 PID: 2771 started at 2023-04-26 15:58:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log
sub_5 PID: 2772 started at 2023-04-26 15:58:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_6.log
pub_6 PID: 2773 started at 2023-04-26 15:58:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log
sub_6 PID: 2774 started at 2023-04-26 15:58:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_7.log
pub_7 PID: 2775 started at 2023-04-26 15:58:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log
sub_7 PID: 2776 started at 2023-04-26 15:58:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_8.log
pub_8 PID: 2777 started at 2023-04-26 15:58:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log
sub_8 PID: 2778 started at 2023-04-26 15:58:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_9.log
pub_9 PID: 2779 started at 2023-04-26 15:58:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log
sub_9 PID: 2780 started at 2023-04-26 15:58:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_10.log
pub_10 PID: 2781 started at 2023-04-26 15:58:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log
sub_10 PID: 2782 started at 2023-04-26 15:58:41
(2752|2752) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Subscriber 2762 got new message data:
 - From  : 2761
 - Count : 1
Subscriber 2762 is done. Exiting.
Subscriber 2764 got new message data:
 - From  : 2761
 - Count : 1
Subscriber 2764 is done. Exiting.
Subscriber 2767 got new message data:
 - From  : 2761
 - Count : 1
Subscriber 2767 is done. Exiting.
Subscriber 2770 got new message data:
 - From  : 2761
 - Count : 1
Subscriber 2770 is done. Exiting.
Subscriber 2772 got new message data:
 - From  : 2761
 - Count : 1
Subscriber 2772 is done. Exiting.
Subscriber 2774 got new message data:
 - From  : 2761
 - Count : 1
Subscriber 2774 is done. Exiting.
Subscriber 2782 got new message data:
 - From  : 2761
 - Count : 1
Subscriber 2780 got new message data:
 - From  : 2761
 - Count : 1
Subscriber 2782 is done. Exiting.
Subscriber 2776 got new message data:
 - From  : 2761
 - Count : 1
Subscriber 2776 is done. Exiting.
Subscriber 2778 got new message data:
 - From  : 2761
 - Count : 1
Subscriber 2780 is done. Exiting.
Subscriber 2778 is done. Exiting.
Subscriber 2778 got new message data:
 - From  : 2763
 - Count : 1
Subscriber 2772 got new message data:
 - From  : 2763
 - Count : 1
Subscriber 2772 got new message data:
 - From  : 2765
 - Count : 1
Subscriber 2778 got new message data:
 - From  : 2765
 - Count : 1
Subscriber 2778 got new message data:
 - From  : 2769
 - Count : 1
Subscriber 2778 got new message data:
 - From  : 2781
 - Count : 1
Publisher 2761 is done. Exiting.
Publisher 2763 is done. Exiting.
Publisher 2765 is done. Exiting.
Publisher 2769 is done. Exiting.
Publisher 2781 is done. Exiting.
Publisher 2771 is done. Exiting.
Publisher 2775 is done. Exiting.
Publisher 2779 is done. Exiting.
Publisher 2773 is done. Exiting.
Publisher 2777 is done. Exiting.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl large_samples publishers 10 subscribers 10 Time:10s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl large_samples rtps publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 2915
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_1.log -DCPSConfigFile rtps.ini 
pub_1 PID: 2922 started at 2023-04-26 15:58:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log -DCPSConfigFile rtps.ini 
sub_1 PID: 2923 started at 2023-04-26 15:58:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_2.log -DCPSConfigFile rtps.ini 
pub_2 PID: 2924 started at 2023-04-26 15:58:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log -DCPSConfigFile rtps.ini 
sub_2 PID: 2925 started at 2023-04-26 15:58:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_3.log -DCPSConfigFile rtps.ini 
pub_3 PID: 2926 started at 2023-04-26 15:58:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log -DCPSConfigFile rtps.ini 
sub_3 PID: 2927 started at 2023-04-26 15:58:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_4.log -DCPSConfigFile rtps.ini 
pub_4 PID: 2928 started at 2023-04-26 15:58:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log -DCPSConfigFile rtps.ini 
sub_4 PID: 2929 started at 2023-04-26 15:58:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_5.log -DCPSConfigFile rtps.ini 
pub_5 PID: 2930 started at 2023-04-26 15:58:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log -DCPSConfigFile rtps.ini 
sub_5 PID: 2931 started at 2023-04-26 15:58:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_6.log -DCPSConfigFile rtps.ini 
pub_6 PID: 2933 started at 2023-04-26 15:58:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log -DCPSConfigFile rtps.ini 
sub_6 PID: 2935 started at 2023-04-26 15:58:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_7.log -DCPSConfigFile rtps.ini 
pub_7 PID: 2936 started at 2023-04-26 15:58:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log -DCPSConfigFile rtps.ini 
sub_7 PID: 2937 started at 2023-04-26 15:58:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_8.log -DCPSConfigFile rtps.ini 
pub_8 PID: 2938 started at 2023-04-26 15:58:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log -DCPSConfigFile rtps.ini 
sub_8 PID: 2939 started at 2023-04-26 15:58:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_9.log -DCPSConfigFile rtps.ini 
pub_9 PID: 2941 started at 2023-04-26 15:58:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log -DCPSConfigFile rtps.ini 
sub_9 PID: 2942 started at 2023-04-26 15:58:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_10.log -DCPSConfigFile rtps.ini 
pub_10 PID: 2943 started at 2023-04-26 15:58:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log -DCPSConfigFile rtps.ini 
sub_10 PID: 2944 started at 2023-04-26 15:58:52
(2915|2915) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Subscriber 2929 got new message data:
 - From  : 2922
 - Count : 1
Subscriber 2942 got new message data:
 - From  : 2922
 - Count : 1
Subscriber 2931 got new message data:
 - From  : 2922
 - Count : 1
Subscriber 2937 got new message data:
 - From  : 2922
 - Count : 1
Subscriber 2927 got new message data:
 - From  : 2922
 - Count : 1
Subscriber 2939 got new message data:
 - From  : 2922
 - Count : 1
Subscriber 2942 is done. Exiting.
Subscriber 2939 is done. Exiting.
Subscriber 2925 got new message data:
 - From  : 2922
 - Count : 1
Subscriber 2925 is done. Exiting.
Subscriber 2929 is done. Exiting.
Subscriber 2931 is done. Exiting.
Subscriber 2927 is done. Exiting.
Subscriber 2935 got new message data:
 - From  : 2922
 - Count : 1
Subscriber 2923 got new message data:
 - From  : 2922
 - Count : 1
Subscriber 2935 is done. Exiting.
Subscriber 2923 is done. Exiting.
Subscriber 2937 is done. Exiting.
Subscriber 2944 got new message data:
 - From  : 2922
 - Count : 1
Subscriber 2944 is done. Exiting.
Subscriber 2937 got new message data:
 - From  : 2926
 - Count : 1
Subscriber 2931 got new message data:
 - From  : 2926
 - Count : 1
Subscriber 2937 got new message data:
 - From  : 2924
 - Count : 1
Subscriber 2931 got new message data:
 - From  : 2924
 - Count : 1
Subscriber 2937 got new message data:
 - From  : 2930
 - Count : 1
Subscriber 2937 got new message data:
 - From  : 2928
 - Count : 1
Publisher 2922 is done. Exiting.
Publisher 2926 is done. Exiting.
Publisher 2924 is done. Exiting.
Publisher 2930 is done. Exiting.
Publisher 2928 is done. Exiting.
Publisher 2943 is done. Exiting.
Publisher 2933 is done. Exiting.
Publisher 2936 is done. Exiting.
Publisher 2938 is done. Exiting.
Publisher 2941 is done. Exiting.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl large_samples rtps publishers 10 subscribers 10 Time:11s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl large_samples rtps_disc publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_1.log -DCPSConfigFile rtps_disc.ini 
pub_1 PID: 3088 started at 2023-04-26 15:59:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log -DCPSConfigFile rtps_disc.ini 
sub_1 PID: 3089 started at 2023-04-26 15:59:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_2.log -DCPSConfigFile rtps_disc.ini 
pub_2 PID: 3090 started at 2023-04-26 15:59:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log -DCPSConfigFile rtps_disc.ini 
sub_2 PID: 3092 started at 2023-04-26 15:59:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_3.log -DCPSConfigFile rtps_disc.ini 
pub_3 PID: 3093 started at 2023-04-26 15:59:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log -DCPSConfigFile rtps_disc.ini 
sub_3 PID: 3094 started at 2023-04-26 15:59:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_4.log -DCPSConfigFile rtps_disc.ini 
pub_4 PID: 3095 started at 2023-04-26 15:59:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log -DCPSConfigFile rtps_disc.ini 
sub_4 PID: 3096 started at 2023-04-26 15:59:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_5.log -DCPSConfigFile rtps_disc.ini 
pub_5 PID: 3097 started at 2023-04-26 15:59:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log -DCPSConfigFile rtps_disc.ini 
sub_5 PID: 3098 started at 2023-04-26 15:59:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_6.log -DCPSConfigFile rtps_disc.ini 
pub_6 PID: 3099 started at 2023-04-26 15:59:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log -DCPSConfigFile rtps_disc.ini 
sub_6 PID: 3100 started at 2023-04-26 15:59:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_7.log -DCPSConfigFile rtps_disc.ini 
pub_7 PID: 3101 started at 2023-04-26 15:59:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log -DCPSConfigFile rtps_disc.ini 
sub_7 PID: 3102 started at 2023-04-26 15:59:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_8.log -DCPSConfigFile rtps_disc.ini 
pub_8 PID: 3103 started at 2023-04-26 15:59:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log -DCPSConfigFile rtps_disc.ini 
sub_8 PID: 3104 started at 2023-04-26 15:59:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_9.log -DCPSConfigFile rtps_disc.ini 
pub_9 PID: 3105 started at 2023-04-26 15:59:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log -DCPSConfigFile rtps_disc.ini 
sub_9 PID: 3106 started at 2023-04-26 15:59:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_10.log -DCPSConfigFile rtps_disc.ini 
pub_10 PID: 3107 started at 2023-04-26 15:59:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log -DCPSConfigFile rtps_disc.ini 
sub_10 PID: 3108 started at 2023-04-26 15:59:02
Subscriber 3104 got new message data:
 - From  : 3095
 - Count : 1
Subscriber 3094 got new message data:
 - From  : 3095
 - Count : 1
Subscriber 3094 is done. Exiting.
Subscriber 3104 is done. Exiting.
Subscriber 3106 got new message data:
 - From  : 3107
 - Count : 1
Subscriber 3106 is done. Exiting.
Subscriber 3092 got new message data:
 - From  : 3107
 - Count : 1
Subscriber 3092 is done. Exiting.
Subscriber 3098 got new message data:
 - From  : 3088
 - Count : 2
Subscriber 3102 got new message data:
 - From  : 3088
 - Count : 2
Subscriber 3100 got new message data:
 - From  : 3088
 - Count : 2
Subscriber 3096 got new message data:
 - From  : 3088
 - Count : 2
Subscriber 3108 got new message data:
 - From  : 3088
 - Count : 2
Subscriber 3100 is done. Exiting.
Subscriber 3102 is done. Exiting.
Subscriber 3096 is done. Exiting.
Subscriber 3108 is done. Exiting.
Subscriber 3098 is done. Exiting.
Subscriber 3089 got new message data:
 - From  : 3088
 - Count : 2
Subscriber 3089 is done. Exiting.
Subscriber 3102 got new message data:
 - From  : 3090
 - Count : 2
Subscriber 3108 got new message data:
 - From  : 3090
 - Count : 2
Subscriber 3108 got new message data:
 - From  : 3093
 - Count : 2
Publisher 3088 is done. Exiting.
Publisher 3090 is done. Exiting.
Publisher 3093 is done. Exiting.
Publisher 3095 is done. Exiting.
Publisher 3099 is done. Exiting.
Publisher 3097 is done. Exiting.
Publisher 3101 is done. Exiting.
Publisher 3103 is done. Exiting.
Publisher 3105 is done. Exiting.
Publisher 3107 is done. Exiting.
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl large_samples rtps_disc publishers 10 subscribers 10 Time:9s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl large_samples rtps_disc_tcp publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_1.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_1 PID: 3217 started at 2023-04-26 15:59:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_1 PID: 3218 started at 2023-04-26 15:59:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_2.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_2 PID: 3219 started at 2023-04-26 15:59:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_2 PID: 3220 started at 2023-04-26 15:59:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_3.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_3 PID: 3221 started at 2023-04-26 15:59:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_3 PID: 3222 started at 2023-04-26 15:59:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_4.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_4 PID: 3223 started at 2023-04-26 15:59:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_4 PID: 3224 started at 2023-04-26 15:59:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_5.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_5 PID: 3225 started at 2023-04-26 15:59:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_5 PID: 3226 started at 2023-04-26 15:59:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_6.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_6 PID: 3227 started at 2023-04-26 15:59:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_6 PID: 3228 started at 2023-04-26 15:59:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_7.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_7 PID: 3229 started at 2023-04-26 15:59:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_7 PID: 3230 started at 2023-04-26 15:59:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_8.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_8 PID: 3231 started at 2023-04-26 15:59:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_8 PID: 3232 started at 2023-04-26 15:59:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_9.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_9 PID: 3233 started at 2023-04-26 15:59:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_9 PID: 3234 started at 2023-04-26 15:59:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_10.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_10 PID: 3235 started at 2023-04-26 15:59:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_10 PID: 3236 started at 2023-04-26 15:59:11
Subscriber 3222 got new message data:
 - From  : 3221
 - Count : 1
Subscriber 3222 is done. Exiting.
Subscriber 3226 got new message data:
 - From  : 3229
 - Count : 1
Subscriber 3226 is done. Exiting.
Subscriber 3224 got new message data:
 - From  : 3217
 - Count : 2
Subscriber 3220 got new message data:
 - From  : 3217
 - Count : 2
Subscriber 3218 got new message data:
 - From  : 3217
 - Count : 2
Subscriber 3228 got new message data:
 - From  : 3217
 - Count : 2
Subscriber 3230 got new message data:
 - From  : 3217
 - Count : 2
Subscriber 3236 got new message data:
 - From  : 3217
 - Count : 2
Subscriber 3220 is done. Exiting.
Subscriber 3232 got new message data:
 - From  : 3217
 - Count : 2
Subscriber 3234 got new message data:
 - From  : 3217
 - Count : 2
Subscriber 3224 is done. Exiting.
Subscriber 3218 is done. Exiting.
Subscriber 3228 is done. Exiting.
Subscriber 3230 is done. Exiting.
Subscriber 3236 is done. Exiting.
Subscriber 3232 is done. Exiting.
Subscriber 3234 is done. Exiting.
Subscriber 3234 got new message data:
 - From  : 3221
 - Count : 2
Subscriber 3228 got new message data:
 - From  : 3221
 - Count : 2
Subscriber 3228 got new message data:
 - From  : 3219
 - Count : 2
Publisher 3217 is done. Exiting.
Publisher 3221 is done. Exiting.
Publisher 3219 is done. Exiting.
Publisher 3223 is done. Exiting.
Publisher 3225 is done. Exiting.
Publisher 3227 is done. Exiting.
Publisher 3231 is done. Exiting.
Publisher 3233 is done. Exiting.
Publisher 3229 is done. Exiting.
Publisher 3235 is done. Exiting.
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl large_samples rtps_disc_tcp publishers 10 subscribers 10 Time:9s Result:0

==============================================================================

tests/DCPS/LivelinessKeepAliveTest/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 3339
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessKeepAliveTest/subscriber  -DCPSPendingTimeout 3 
sub PID: 3346 started at 2023-04-26 15:59:20
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessKeepAliveTest/publisher SATELLITE_ONE -DCPSPendingTimeout 3 
pub PID: 3347 started at 2023-04-26 15:59:20
(3339|3339) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(3347|3347) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(3346|3346) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Subscriber: waiting for events
(3346|3346)  15:59:20.756023 waiting for publisher to be ready
(3346|3350) AlertDataReaderListenerImpl::on_subscription_matched
(3346|3346)  15:59:21.006707 Publisher is ready
(3346|3346)  15:59:21.006918 waiting for publisher to finish
(3347|3347) SATELLITE_ONE : Publishing Low Fuel Alert
(3347|3347) SATELLITE_ONE : Publishing Dead Battery Alert

==================================================
(3346|3361) AlertDataReaderListenerImpl::on_liveliness_changed
    Liveliness Changes     = 1
    Alive Count            = 1
    Alive Count Change     = 1
    Not Alive Count        = 0
    Not Alive Count Change = 0
==================================================


======================
(3346|3361) AlertDataReaderListenerImpl::on_data_available:
	SATELLITE_ONE - Received Alert (0) Fuel : Low - Your fuel is low
======================


======================
(3346|3361) AlertDataReaderListenerImpl::on_data_available:
	SATELLITE_ONE - Received Alert (0) Battery : Dead - Your battery is dead; re-orient the solar panels to recharge
======================

(3347|3347) SATELLITE_ONE : Publishing Low Fuel Alert

======================
(3346|3361) AlertDataReaderListenerImpl::on_data_available:
	SATELLITE_ONE - Received Alert (1) Fuel : Low - Your fuel is low
======================

(3347|3347) SATELLITE_ONE : Publishing Low Fuel Alert

======================
(3346|3361) AlertDataReaderListenerImpl::on_data_available:
	SATELLITE_ONE - Received Alert (2) Fuel : Low - Your fuel is low
======================

(3347|3347) SATELLITE_ONE : Publishing Dead Battery Alert

======================
(3346|3361) AlertDataReaderListenerImpl::on_data_available:
	SATELLITE_ONE - Received Alert (1) Battery : Dead - Your battery is dead; re-orient the solar panels to recharge
======================

(3347|3347) SATELLITE_ONE : Publishing Low Fuel Alert

======================
(3346|3361) AlertDataReaderListenerImpl::on_data_available:
	SATELLITE_ONE - Received Alert (3) Fuel : Low - Your fuel is low
======================

(3347|3347)  15:59:41.023882 Writers are finished
(3347|3347)  15:59:41.024212 waiting for readers to finish

======================
(3346|3361) AlertDataReaderListenerImpl::on_data_available:
	SATELLITE_ONE - Received Alert (9999) System : System Shutdown - The Satellite is being shut down
======================

(3346|3361) AlertDataReaderListenerImpl::on_data_available:
Received SYSTEM_SHUTDOWN message, udpating expected liveliness values
(3347|3347)  15:59:41.274422 Readers are finished

==================================================
(3346|3350) AlertDataReaderListenerImpl::on_liveliness_changed
    Liveliness Changes     = 2
    Alive Count            = 0
    Alive Count Change     = -1
    Not Alive Count        = 0
    Not Alive Count Change = 0
==================================================

(3346|3350) AlertDataReaderListenerImpl::on_subscription_matched
Exiting...

=== TEST COMPLETE ===
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/LivelinessKeepAliveTest/run_test.pl Time:26s Result:0

==============================================================================

tests/DCPS/LivelinessTimeout/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 3371
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/subscriber -t 19 -l 2 -x 67.1 -DCPSPendingTimeout 3 
sub PID: 3378 started at 2023-04-26 15:59:46
(3371|3371) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(3378|3378) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 67.1 -DCPSLivelinessFactor 300  -DCPSPendingTimeout 3 
pub0 PID: 3387 started at 2023-04-26 15:59:51
(3387|3387) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(3378|3386) DataReaderListenerImpl::on_subscription_matched
(3387|3393) DataReaderListenerImpl::on_publication_matched
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 64.1 -DCPSLivelinessFactor 80  -DCPSPendingTimeout 3 
pub1 PID: 3394 started at 2023-04-26 15:59:54
(3394|3394) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(3378|3386) DataReaderListenerImpl::on_liveliness_changed: active=1, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=0
(3378|3386) DataReaderListenerImpl::on_subscription_matched
(3394|3400) DataReaderListenerImpl::on_publication_matched
(3378|3386) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=0
(3378|3379) DataReaderListenerImpl::on_liveliness_changed: active=1, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=1
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 61.1 -DCPSLivelinessFactor 80  -DCPSPendingTimeout 3 
pub2 PID: 3401 started at 2023-04-26 15:59:57
(3401|3401) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(3378|3386) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=1
(3378|3386) DataReaderListenerImpl::on_subscription_matched
(3401|3407) DataReaderListenerImpl::on_publication_matched
(3378|3386) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=1
(3378|3379) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=2
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 58.1 -DCPSLivelinessFactor 80  -DCPSPendingTimeout 3 
pub3 PID: 3408 started at 2023-04-26 16:00:00
(3408|3408) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(3378|3386) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=2
(3378|3386) DataReaderListenerImpl::on_subscription_matched
(3408|3414) DataReaderListenerImpl::on_publication_matched
(3378|3386) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=2
(3378|3379) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=3
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 55.1 -DCPSLivelinessFactor 80  -DCPSPendingTimeout 3 
pub4 PID: 3420 started at 2023-04-26 16:00:03
(3420|3420) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(3378|3386) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=3
(3378|3386) DataReaderListenerImpl::on_subscription_matched
(3420|3426) DataReaderListenerImpl::on_publication_matched
(3378|3386) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=3
(3378|3379) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=4
(3378|3386) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=4
(3378|3379) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=5
(3378|3386) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=5
(3378|3379) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=6
(3378|3386) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=6
(3378|3379) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=7
(3378|3386) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=7
(3378|3379) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=8
(3378|3386) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=8
(3378|3379) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=9
(3378|3386) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=9
(3378|3379) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=10
(3378|3386) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=10
(3378|3379) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=11
(3378|3386) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=11
(3378|3379) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=12
(3378|3386) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=12
(3378|3379) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=13
(3378|3386) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=13
(3378|3379) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=14
(3378|3386) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=14
(3378|3379) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=15
(3378|3386) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=15
(3378|3379) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=16
(3378|3386) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=16
(3378|3379) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=17
(3378|3386) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=17
(3378|3379) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=18
(3378|3386) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=18
(3378|3379) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=19
(3378|3386) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=19
(3378|3379) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=20
(3401|3403) DataReaderListenerImpl::on_publication_matched
(3408|3410) DataReaderListenerImpl::on_publication_matched
(3387|3389) DataReaderListenerImpl::on_publication_matched
(3394|3396) DataReaderListenerImpl::on_publication_matched
(3420|3422) DataReaderListenerImpl::on_publication_matched
(3378|3378) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=0, activeDelta=0, inactiveDelta=-1 deadline_missed=20
(3378|3378) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(3378|3378) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(3378|3378) DataReaderListenerImpl::on_liveliness_changed: active=1, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(3378|3378) DataReaderListenerImpl::on_liveliness_changed: active=0, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(3378|3378) DataReaderListenerImpl::on_subscription_matched
(3378|3378) subscriber: liviness deadline violated enough for test. Got 20, expected at least 19.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/LivelinessTimeout/run_test.pl Time:73s Result:0

==============================================================================

tests/DCPS/LivelinessTimeout/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/subscriber -t 19 -l 2 -x 67.1 -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
sub PID: 3441 started at 2023-04-26 16:00:59
(3441|3441) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 67.1 -DCPSLivelinessFactor 300  -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
pub0 PID: 3459 started at 2023-04-26 16:01:04
(3459|3459) NOTICE: using DCPSLivelinessFactor value from command option (overrides value if it's in config file)
(3459|3459) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(3459|3461) DataReaderListenerImpl::on_publication_matched
(3441|3443) DataReaderListenerImpl::on_subscription_matched
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 64.1 -DCPSLivelinessFactor 80  -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
pub1 PID: 3465 started at 2023-04-26 16:01:07
(3465|3465) NOTICE: using DCPSLivelinessFactor value from command option (overrides value if it's in config file)
(3465|3465) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(3441|3444) DataReaderListenerImpl::on_liveliness_changed: active=1, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=0
(3441|3443) DataReaderListenerImpl::on_subscription_matched
(3465|3467) DataReaderListenerImpl::on_publication_matched
(3441|3444) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=0
(3441|3442) DataReaderListenerImpl::on_liveliness_changed: active=1, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=1
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 61.1 -DCPSLivelinessFactor 80  -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
pub2 PID: 3471 started at 2023-04-26 16:01:10
(3471|3471) NOTICE: using DCPSLivelinessFactor value from command option (overrides value if it's in config file)
(3471|3471) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(3441|3444) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=1
(3471|3473) DataReaderListenerImpl::on_publication_matched
(3441|3443) DataReaderListenerImpl::on_subscription_matched
(3441|3444) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=1
(3441|3442) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=2
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 58.1 -DCPSLivelinessFactor 80  -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
pub3 PID: 3477 started at 2023-04-26 16:01:13
(3477|3477) NOTICE: using DCPSLivelinessFactor value from command option (overrides value if it's in config file)
(3477|3477) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(3441|3444) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=2
(3477|3479) DataReaderListenerImpl::on_publication_matched
(3441|3443) DataReaderListenerImpl::on_subscription_matched
(3441|3444) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=2
(3441|3442) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=3
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 55.1 -DCPSLivelinessFactor 80  -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
pub4 PID: 3483 started at 2023-04-26 16:01:16
(3483|3483) NOTICE: using DCPSLivelinessFactor value from command option (overrides value if it's in config file)
(3483|3483) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(3441|3444) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=3
(3483|3485) DataReaderListenerImpl::on_publication_matched
(3441|3443) DataReaderListenerImpl::on_subscription_matched
(3441|3444) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=3
(3441|3442) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=4
(3441|3444) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=4
(3441|3442) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=5
(3441|3444) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=5
(3441|3442) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=6
(3441|3444) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=6
(3441|3442) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=7
(3441|3444) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=7
(3441|3442) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=8
(3441|3444) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=8
(3441|3442) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=9
(3441|3444) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=9
(3441|3442) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=10
(3441|3444) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=10
(3441|3442) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=11
(3441|3444) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=11
(3441|3442) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=12
(3441|3444) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=12
(3441|3442) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=13
(3441|3444) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=13
(3441|3442) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=14
(3441|3444) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=14
(3441|3442) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=15
(3441|3444) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=15
(3441|3442) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=16
(3441|3444) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=16
(3441|3442) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=17
(3441|3444) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=17
(3441|3442) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=18
(3441|3444) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=18
(3441|3442) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=19
(3441|3444) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=19
(3441|3442) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=20
(3441|3441) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=0, activeDelta=0, inactiveDelta=-1 deadline_missed=20
(3483|3485) DataReaderListenerImpl::on_publication_matched
(3441|3441) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(3441|3441) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(3441|3441) DataReaderListenerImpl::on_liveliness_changed: active=1, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(3441|3441) DataReaderListenerImpl::on_liveliness_changed: active=0, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(3441|3441) DataReaderListenerImpl::on_subscription_matched
(3459|3461) DataReaderListenerImpl::on_publication_matched
(3465|3467) DataReaderListenerImpl::on_publication_matched
(3471|3473) DataReaderListenerImpl::on_publication_matched
(3477|3479) DataReaderListenerImpl::on_publication_matched
(3441|3441) subscriber: liviness deadline violated enough for test. Got 20, expected at least 19.
test PASSED.

auto_run_tests_finished: tests/DCPS/LivelinessTimeout/run_test.pl rtps_disc Time:72s Result:0

==============================================================================

tests/DCPS/BitDataReader/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BitDataReader/BitDataReader -DCPSConfigFile rtps.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile exec.log -DCPSPendingTimeout 3 
exec PID: 3501 started at 2023-04-26 16:02:11
test PASSED.

auto_run_tests_finished: tests/DCPS/BitDataReader/run_test.pl Time:5s Result:0

==============================================================================

tests/unit-tests/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/unit-tests/UnitTests  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile UnitTests.log -DCPSPendingTimeout 3 
UnitTests PID: 3506 started at 2023-04-26 16:02:16
[==========] Running 760 tests from 55 test cases.
[----------] Global test environment set-up.
[----------] 2 tests from dds_DCPS_SafeBool_T
[ RUN      ] dds_DCPS_SafeBool_T.virtual_method
[       OK ] dds_DCPS_SafeBool_T.virtual_method (0 ms)
[ RUN      ] dds_DCPS_SafeBool_T.non_virtual_method
[       OK ] dds_DCPS_SafeBool_T.non_virtual_method (0 ms)
[----------] 2 tests from dds_DCPS_SafeBool_T (0 ms total)

[----------] 12 tests from dds_DCPS_RcHandle_T
[ RUN      ] dds_DCPS_RcHandle_T.ctors
[       OK ] dds_DCPS_RcHandle_T.ctors (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.dtor
[       OK ] dds_DCPS_RcHandle_T.dtor (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.reset
[       OK ] dds_DCPS_RcHandle_T.reset (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.assign
[       OK ] dds_DCPS_RcHandle_T.assign (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.swaps
[       OK ] dds_DCPS_RcHandle_T.swaps (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.access
[       OK ] dds_DCPS_RcHandle_T.access (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.param_passing
[       OK ] dds_DCPS_RcHandle_T.param_passing (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.bool_conv
[       OK ] dds_DCPS_RcHandle_T.bool_conv (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.relational
[       OK ] dds_DCPS_RcHandle_T.relational (1 ms)
[ RUN      ] dds_DCPS_RcHandle_T.casts
[       OK ] dds_DCPS_RcHandle_T.casts (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.make_rch
[       OK ] dds_DCPS_RcHandle_T.make_rch (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.rchandle_from
[       OK ] dds_DCPS_RcHandle_T.rchandle_from (0 ms)
[----------] 12 tests from dds_DCPS_RcHandle_T (1 ms total)

[----------] 18 tests from dds_DCPS_XTypes_TypeAssignability
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.PrimitiveTypesTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.PrimitiveTypesTest_Assignable (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.PrimitiveTypesTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.PrimitiveTypesTest_NotAssignable (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.StringTypesTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.StringTypesTest_Assignable (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.StringTypesTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.StringTypesTest_NotAssignable (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.BitmaskTypeTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.BitmaskTypeTest_Assignable (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.BitmaskTypeTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.BitmaskTypeTest_NotAssignable (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.SequenceTypeTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.SequenceTypeTest_Assignable (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.SequenceTypeTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.SequenceTypeTest_NotAssignable (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.ArrayTypeTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.ArrayTypeTest_Assignable (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.ArrayTypeTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.ArrayTypeTest_NotAssignable (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.MapTypeTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.MapTypeTest_Assignable (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.MapTypeTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.MapTypeTest_NotAssignable (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.AliasTypeTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.AliasTypeTest_Assignable (4 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.AliasTypeTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.AliasTypeTest_NotAssignable (3 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.StructTypeTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.StructTypeTest_Assignable (6 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.StructTypeTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.StructTypeTest_NotAssignable (2 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.UnionTypeTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.UnionTypeTest_Assignable (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.UnionTypeTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.UnionTypeTest_NotAssignable (1 ms)
[----------] 18 tests from dds_DCPS_XTypes_TypeAssignability (24 ms total)

[----------] 2 tests from dds_DCPS_XTypes_TypeAssignability_EnumTypeTest
[ RUN      ] dds_DCPS_XTypes_TypeAssignability_EnumTypeTest.Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability_EnumTypeTest.Assignable (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability_EnumTypeTest.NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability_EnumTypeTest.NotAssignable (0 ms)
[----------] 2 tests from dds_DCPS_XTypes_TypeAssignability_EnumTypeTest (1 ms total)

[----------] 6 tests from dds_DCPS_XTypes_Utils
[ RUN      ] dds_DCPS_XTypes_Utils.extensibility
[       OK ] dds_DCPS_XTypes_Utils.extensibility (6 ms)
[ RUN      ] dds_DCPS_XTypes_Utils.max_extensibility
[       OK ] dds_DCPS_XTypes_Utils.max_extensibility (11 ms)
[ RUN      ] dds_DCPS_XTypes_Utils.get_keys
[       OK ] dds_DCPS_XTypes_Utils.get_keys (9 ms)
[ RUN      ] dds_DCPS_XTypes_Utils.member_path_get_member_from_type
[       OK ] dds_DCPS_XTypes_Utils.member_path_get_member_from_type (1 ms)
[ RUN      ] dds_DCPS_XTypes_Utils.less_than
[       OK ] dds_DCPS_XTypes_Utils.less_than (24 ms)
[ RUN      ] dds_DCPS_XTypes_Utils.MemberPathParser
[       OK ] dds_DCPS_XTypes_Utils.MemberPathParser (0 ms)
[----------] 6 tests from dds_DCPS_XTypes_Utils (52 ms total)

[----------] 40 tests from dds_DCPS_XTypes_DynamicDataXcdrReadImpl
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadValueFromStruct
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadValueFromStruct (24 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_StructWithOptionalMembers
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_StructWithOptionalMembers (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadValueFromUnion
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadValueFromUnion (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadSequenceFromStruct
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadSequenceFromStruct (5 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadSequenceFromUnion
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadSequenceFromUnion (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadValueFromArray
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadValueFromArray (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_SkipNestedMembers
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_SkipNestedMembers (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadRecursiveStruct
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadRecursiveStruct (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromStruct
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromStruct (6 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromStructXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromStructXCDR1 (6 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_StructWithOptionalMembers
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_StructWithOptionalMembers (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromUnion
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromUnion (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromUnionXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromUnionXCDR1 (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromStruct
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromStruct (5 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromStructXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromStructXCDR1 (5 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromUnion
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromUnion (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromUnionXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromUnionXCDR1 (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromArray
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromArray (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromArrayXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromArrayXCDR1 (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_SkipNestedMembers
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_SkipNestedMembers (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_SkipNestedMembersXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_SkipNestedMembersXCDR1 (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromStruct
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromStruct (5 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromStructXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromStructXCDR1 (6 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_StructWithOptionalMembers
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_StructWithOptionalMembers (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromUnion
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromUnion (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromUnionXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromUnionXCDR1 (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromStruct
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromStruct (5 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromStructXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromStructXCDR1 (5 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromUnion
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromUnion (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromUnionXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromUnionXCDR1 (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromArray
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromArray (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromArrayXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromArrayXCDR1 (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_SkipNestedMembers
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_SkipNestedMembers (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_SkipNestedMembersXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_SkipNestedMembersXCDR1 (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_SingleKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_SingleKeyOnly (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_NestedStructKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_NestedStructKeyOnly (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_NestedUnionKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_NestedUnionKeyOnly (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ArrayKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ArrayKeyOnly (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ImplicitNestedKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ImplicitNestedKeyOnly (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Enum_As_String
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Enum_As_String (2 ms)
[----------] 40 tests from dds_DCPS_XTypes_DynamicDataXcdrReadImpl (137 ms total)

[----------] 1 test from dds_DCPS_XTypes_DynamicDataAdapter
[ RUN      ] dds_DCPS_XTypes_DynamicDataAdapter.null_get_dynamic_data_adapter
[       OK ] dds_DCPS_XTypes_DynamicDataAdapter.null_get_dynamic_data_adapter (12 ms)
[----------] 1 test from dds_DCPS_XTypes_DynamicDataAdapter (12 ms total)

[----------] 11 tests from dds_DCPS_XTypes_DynamicTypeImpl
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyInnerStruct
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyInnerStruct (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyOuterStruct
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyOuterStruct (0 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyAliasStruct
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyAliasStruct (0 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_StructWithTypedefMember
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_StructWithTypedefMember (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_PrimitiveKind
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_PrimitiveKind (0 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyUnion
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyUnion (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyInnerArray
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyInnerArray (0 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyOuterArray
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyOuterArray (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MySeq
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MySeq (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyAnonStruct
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyAnonStruct (0 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_CircularStruct
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_CircularStruct (1 ms)
[----------] 11 tests from dds_DCPS_XTypes_DynamicTypeImpl (8 ms total)

[----------] 6 tests from dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.MyStruct
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.MyStruct (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.MyUnion
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.MyUnion (0 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.SCC
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.SCC (0 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.LSeq
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.LSeq (0 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.LArr
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.LArr (0 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.MyEnum
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.MyEnum (0 ms)
[----------] 6 tests from dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal (2 ms total)

[----------] 30 tests from dds_DCPS_XTypes_DynamicDataImpl
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToStruct
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToStruct (4 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToStructDefault
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToStructDefault (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToUnion
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToUnion (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToUnionDefault
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToUnionDefault (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteSequenceToStruct
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteSequenceToStruct (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteSequenceToStructDefault
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteSequenceToStructDefault (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteSequenceToUnion
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteSequenceToUnion (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToArray
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToArray (0 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToArrayDefault
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToArrayDefault (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteStructWithNestedMembers
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteStructWithNestedMembers (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteRecursiveStruct
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteRecursiveStruct (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteValueToStruct
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteValueToStruct (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteValueToUnion
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteValueToUnion (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteSequenceToStruct
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteSequenceToStruct (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteSequenceToUnion
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteSequenceToUnion (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteStructWithNestedMembers
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteStructWithNestedMembers (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteValueToStruct
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteValueToStruct (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteValueToUnion
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteValueToUnion (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteSequenceToStruct
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteSequenceToStruct (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteSequenceToUnion
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteSequenceToUnion (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteStructWithNestedMembers
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteStructWithNestedMembers (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteKeyOnly (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteKeyOnly (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteKeyOnly (0 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.MutableArray_WriteKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.MutableArray_WriteKeyOnly (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Nested_WriteKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Nested_WriteKeyOnly (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Union_Defaults
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Union_Defaults (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Union_Setter
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Union_Setter (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Enum_As_String
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Enum_As_String (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.String_As_Enum
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.String_As_Enum (2 ms)
[----------] 30 tests from dds_DCPS_XTypes_DynamicDataImpl (48 ms total)

[----------] 88 tests from dds_DCPS_XTypes_TypeObject
[ RUN      ] dds_DCPS_XTypes_TypeObject.maintest
[       OK ] dds_DCPS_XTypes_TypeObject.maintest (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.Optional_equal
[       OK ] dds_DCPS_XTypes_TypeObject.Optional_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.Optional_has_value
[       OK ] dds_DCPS_XTypes_TypeObject.Optional_has_value (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.Optional_destructor
[       OK ] dds_DCPS_XTypes_TypeObject.Optional_destructor (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.Optional_assignment
[       OK ] dds_DCPS_XTypes_TypeObject.Optional_assignment (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.Sequence_equal
[       OK ] dds_DCPS_XTypes_TypeObject.Sequence_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.ExtendedAnnotationParameterValue_equal
[       OK ] dds_DCPS_XTypes_TypeObject.ExtendedAnnotationParameterValue_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.AnnotationParameterValue_equal
[       OK ] dds_DCPS_XTypes_TypeObject.AnnotationParameterValue_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.AppliedAnnotationParameter_equal
[       OK ] dds_DCPS_XTypes_TypeObject.AppliedAnnotationParameter_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.AppliedVerbatimAnnotation_equal
[       OK ] dds_DCPS_XTypes_TypeObject.AppliedVerbatimAnnotation_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.AppliedBuiltinMemberAnnotations_equal
[       OK ] dds_DCPS_XTypes_TypeObject.AppliedBuiltinMemberAnnotations_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonStructMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonStructMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteMemberDetail_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteMemberDetail_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalMemberDetail_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalMemberDetail_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteStructMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteStructMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalStructMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalStructMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.AppliedBuiltinTypeAnnotations_equal
[       OK ] dds_DCPS_XTypes_TypeObject.AppliedBuiltinTypeAnnotations_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalTypeDetail_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalTypeDetail_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteTypeDetail_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteTypeDetail_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteStructHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteStructHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalStructHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalStructHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteStructType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteStructType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalStructType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalStructType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonUnionMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonUnionMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteUnionMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteUnionMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalUnionMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalUnionMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonDiscriminatorMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonDiscriminatorMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteDiscriminatorMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteDiscriminatorMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalDiscriminatorMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalDiscriminatorMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteUnionHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteUnionHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalUnionHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalUnionHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteUnionType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteUnionType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalUnionType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalUnionType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonAnnotationParameter_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonAnnotationParameter_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteAnnotationParameter_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteAnnotationParameter_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalAnnotationParameter_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalAnnotationParameter_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteAnnotationHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteAnnotationHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalAnnotationHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalAnnotationHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteAnnotationType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteAnnotationType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalAnnotationType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalAnnotationType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonAliasBody_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonAliasBody_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteAliasBody_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteAliasBody_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalAliasBody_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalAliasBody_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteAliasHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteAliasHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalAliasHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalAliasHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteAliasType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteAliasType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalAliasType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalAliasType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteElementDetail_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteElementDetail_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonCollectionElement_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonCollectionElement_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteCollectionElement_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteCollectionElement_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalCollectionElement_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalCollectionElement_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonCollectionHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonCollectionHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteCollectionHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteCollectionHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalCollectionHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalCollectionHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteSequenceType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteSequenceType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalSequenceType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalSequenceType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonArrayHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonArrayHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteArrayHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteArrayHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalArrayHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalArrayHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteArrayType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteArrayType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalArrayType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalArrayType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteMapType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteMapType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalMapType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalMapType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonEnumeratedLiteral_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonEnumeratedLiteral_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteEnumeratedLiteral_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteEnumeratedLiteral_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalEnumeratedLiteral_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalEnumeratedLiteral_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonEnumeratedHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonEnumeratedHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteEnumeratedHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteEnumeratedHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalEnumeratedHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalEnumeratedHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteEnumeratedType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteEnumeratedType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalEnumeratedType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalEnumeratedType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonBitflag_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonBitflag_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteBitflag_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteBitflag_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalBitflag_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalBitflag_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteBitmaskType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteBitmaskType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalBitmaskType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalBitmaskType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonBitfield_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonBitfield_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteBitfield_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteBitfield_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalBitfield_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalBitfield_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteBitsetHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteBitsetHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalBitsetHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalBitsetHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteBitsetType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteBitsetType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalBitsetType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalBitsetType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteExtendedType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteExtendedType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalExtendedType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalExtendedType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteTypeObject_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteTypeObject_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalTypeObject_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalTypeObject_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.TypeObject_equal
[       OK ] dds_DCPS_XTypes_TypeObject.TypeObject_equal (0 ms)
[----------] 88 tests from dds_DCPS_XTypes_TypeObject (3 ms total)

[----------] 1 test from dds_DCPS_XTypes_TypeIdentifierTypeObjectPair
[ RUN      ] dds_DCPS_XTypes_TypeIdentifierTypeObjectPair.TypeIdentifierTypeObjectPair_equal
[       OK ] dds_DCPS_XTypes_TypeIdentifierTypeObjectPair.TypeIdentifierTypeObjectPair_equal (0 ms)
[----------] 1 test from dds_DCPS_XTypes_TypeIdentifierTypeObjectPair (0 ms total)

[----------] 1 test from dds_DCPS_XTypes_TypeIdentifierPair
[ RUN      ] dds_DCPS_XTypes_TypeIdentifierPair.TypeIdentifierPair_equal
[       OK ] dds_DCPS_XTypes_TypeIdentifierPair.TypeIdentifierPair_equal (0 ms)
[----------] 1 test from dds_DCPS_XTypes_TypeIdentifierPair (0 ms total)

[----------] 1 test from dds_DCPS_XTypes_TypeIdentifierWithSize
[ RUN      ] dds_DCPS_XTypes_TypeIdentifierWithSize.TypeIdentifierWithSize_equal
[       OK ] dds_DCPS_XTypes_TypeIdentifierWithSize.TypeIdentifierWithSize_equal (0 ms)
[----------] 1 test from dds_DCPS_XTypes_TypeIdentifierWithSize (0 ms total)

[----------] 5 tests from dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue
[ RUN      ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.enqueue
[       OK ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.enqueue (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.enqueue_vector
[       OK ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.enqueue_vector (1 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.purge
[       OK ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.purge (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.purge_remote
[       OK ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.purge_remote (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.purge_local
[       OK ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.purge_local (0 ms)
[----------] 5 tests from dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue (1 ms total)

[----------] 1 test from dds_DCPS_TransactionalRtpsSendQueue
[ RUN      ] dds_DCPS_TransactionalRtpsSendQueue.begin_and_end_transaction
[       OK ] dds_DCPS_TransactionalRtpsSendQueue.begin_and_end_transaction (0 ms)
[----------] 1 test from dds_DCPS_TransactionalRtpsSendQueue (0 ms total)

[----------] 5 tests from dds_DCPS_transport_rtps_udp_MetaSubmessage
[ RUN      ] dds_DCPS_transport_rtps_udp_MetaSubmessage.DefaultConstructor
[       OK ] dds_DCPS_transport_rtps_udp_MetaSubmessage.DefaultConstructor (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_MetaSubmessage.Constructor
[       OK ] dds_DCPS_transport_rtps_udp_MetaSubmessage.Constructor (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_MetaSubmessage.reset_destination
[       OK ] dds_DCPS_transport_rtps_udp_MetaSubmessage.reset_destination (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_MetaSubmessage.dedup_empty
[       OK ] dds_DCPS_transport_rtps_udp_MetaSubmessage.dedup_empty (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_MetaSubmessage.Merging
[       OK ] dds_DCPS_transport_rtps_udp_MetaSubmessage.Merging (0 ms)
[----------] 5 tests from dds_DCPS_transport_rtps_udp_MetaSubmessage (0 ms total)

[----------] 6 tests from dds_DCPS_transport_framework_ReceivedDataSample
[ RUN      ] dds_DCPS_transport_framework_ReceivedDataSample.defctor
[       OK ] dds_DCPS_transport_framework_ReceivedDataSample.defctor (0 ms)
[ RUN      ] dds_DCPS_transport_framework_ReceivedDataSample.msgblock
[       OK ] dds_DCPS_transport_framework_ReceivedDataSample.msgblock (1 ms)
[ RUN      ] dds_DCPS_transport_framework_ReceivedDataSample.alloc
[       OK ] dds_DCPS_transport_framework_ReceivedDataSample.alloc (0 ms)
[ RUN      ] dds_DCPS_transport_framework_ReceivedDataSample.cont
[       OK ] dds_DCPS_transport_framework_ReceivedDataSample.cont (0 ms)
[ RUN      ] dds_DCPS_transport_framework_ReceivedDataSample.ser_copy_peek
[       OK ] dds_DCPS_transport_framework_ReceivedDataSample.ser_copy_peek (0 ms)
[ RUN      ] dds_DCPS_transport_framework_ReceivedDataSample.modifiers
[       OK ] dds_DCPS_transport_framework_ReceivedDataSample.modifiers (0 ms)
[----------] 6 tests from dds_DCPS_transport_framework_ReceivedDataSample (1 ms total)

[----------] 13 tests from dds_DCPS_transport_framework_TransportReassembly
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Main_Test
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Main_Test (3 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Empty
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Empty (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_Has_Frag
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_Has_Frag (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_First_Insert_Has_No_Gaps
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_First_Insert_Has_No_Gaps (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_Gaps
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_Gaps (1 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_One_Then_Gap
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_One_Then_Gap (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_One_Then_Split_Gap
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_One_Then_Split_Gap (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Right_To_Left
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Right_To_Left (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Left_To_Right
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Left_To_Right (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Out_Of_Order
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Out_Of_Order (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Permutations
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Permutations (18 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Overlapping_Inputs
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Overlapping_Inputs (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Overlapping_Inputs_2
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Overlapping_Inputs_2 (0 ms)
[----------] 13 tests from dds_DCPS_transport_framework_TransportReassembly (22 ms total)

[----------] 2 tests from copy_chain_visitor
[ RUN      ] copy_chain_visitor.simple_copy
[       OK ] copy_chain_visitor.simple_copy (0 ms)
[ RUN      ] copy_chain_visitor.simple_duplicate
[       OK ] copy_chain_visitor.simple_duplicate (1 ms)
[----------] 2 tests from copy_chain_visitor (1 ms total)

[----------] 4 tests from dds_DCPS_RTPS_DiscoveredEntities
[ RUN      ] dds_DCPS_RTPS_DiscoveredEntities.LocalEntity_ctor
[       OK ] dds_DCPS_RTPS_DiscoveredEntities.LocalEntity_ctor (0 ms)
[ RUN      ] dds_DCPS_RTPS_DiscoveredEntities.DiscoveredParticipant_ctor
[       OK ] dds_DCPS_RTPS_DiscoveredEntities.DiscoveredParticipant_ctor (0 ms)
[ RUN      ] dds_DCPS_RTPS_DiscoveredEntities.DiscoveredSubscription_ctor
[       OK ] dds_DCPS_RTPS_DiscoveredEntities.DiscoveredSubscription_ctor (0 ms)
[ RUN      ] dds_DCPS_RTPS_DiscoveredEntities.DiscoveredPublication_ctor
[       OK ] dds_DCPS_RTPS_DiscoveredEntities.DiscoveredPublication_ctor (0 ms)
[----------] 4 tests from dds_DCPS_RTPS_DiscoveredEntities (0 ms total)

[----------] 1 test from dds_DCPS_RTPS_ParameterListConverter
[ RUN      ] dds_DCPS_RTPS_ParameterListConverter.maintest
[       OK ] dds_DCPS_RTPS_ParameterListConverter.maintest (5 ms)
[----------] 1 test from dds_DCPS_RTPS_ParameterListConverter (5 ms total)

[----------] 5 tests from dds_DCPS_RTPS_AssociationRecord
[ RUN      ] dds_DCPS_RTPS_AssociationRecord.BuiltinAssociationRecord_ctor
[       OK ] dds_DCPS_RTPS_AssociationRecord.BuiltinAssociationRecord_ctor (2 ms)
[ RUN      ] dds_DCPS_RTPS_AssociationRecord.BuiltinAssociationRecord_ctor_with_flags
[       OK ] dds_DCPS_RTPS_AssociationRecord.BuiltinAssociationRecord_ctor_with_flags (1 ms)
[ RUN      ] dds_DCPS_RTPS_AssociationRecord.BuiltinAssociationRecord_local_tokens_sent
[       OK ] dds_DCPS_RTPS_AssociationRecord.BuiltinAssociationRecord_local_tokens_sent (1 ms)
[ RUN      ] dds_DCPS_RTPS_AssociationRecord.WriterAssociationRecord_ctor
[       OK ] dds_DCPS_RTPS_AssociationRecord.WriterAssociationRecord_ctor (0 ms)
[ RUN      ] dds_DCPS_RTPS_AssociationRecord.ReaderAssociationRecord_ctor
[       OK ] dds_DCPS_RTPS_AssociationRecord.ReaderAssociationRecord_ctor (0 ms)
[----------] 5 tests from dds_DCPS_RTPS_AssociationRecord (4 ms total)

[----------] 8 tests from RtpsCoreTypeSupportImpl
[ RUN      ] RtpsCoreTypeSupportImpl.PropertyQosPolicy
[       OK ] RtpsCoreTypeSupportImpl.PropertyQosPolicy (0 ms)
[ RUN      ] RtpsCoreTypeSupportImpl.InsertParameter
[       OK ] RtpsCoreTypeSupportImpl.InsertParameter (0 ms)
[ RUN      ] RtpsCoreTypeSupportImpl.ExtractParameter
[       OK ] RtpsCoreTypeSupportImpl.ExtractParameter (0 ms)
[ RUN      ] RtpsCoreTypeSupportImpl.InsertFilterResult_t
[       OK ] RtpsCoreTypeSupportImpl.InsertFilterResult_t (0 ms)
[ RUN      ] RtpsCoreTypeSupportImpl.ExtractFilterResult_t
[       OK ] RtpsCoreTypeSupportImpl.ExtractFilterResult_t (0 ms)
[ RUN      ] RtpsCoreTypeSupportImpl.ExtractSequenceWithUnverifiedLength
[       OK ] RtpsCoreTypeSupportImpl.ExtractSequenceWithUnverifiedLength (0 ms)
[ RUN      ] RtpsCoreTypeSupportImpl.Serializer_test_issue4105
[       OK ] RtpsCoreTypeSupportImpl.Serializer_test_issue4105 (0 ms)
[ RUN      ] RtpsCoreTypeSupportImpl.Serializer_test_parameterlist
[       OK ] RtpsCoreTypeSupportImpl.Serializer_test_parameterlist (0 ms)
[----------] 8 tests from RtpsCoreTypeSupportImpl (0 ms total)

[----------] 1 test from dds_DCPS_RTPS_MessageUtils
[ RUN      ] dds_DCPS_RTPS_MessageUtils.maintest
[       OK ] dds_DCPS_RTPS_MessageUtils.maintest (0 ms)
[----------] 1 test from dds_DCPS_RTPS_MessageUtils (0 ms total)

[----------] 4 tests from dds_DCPS_RTPS_GuidGenerator
[ RUN      ] dds_DCPS_RTPS_GuidGenerator.not_NULL
[       OK ] dds_DCPS_RTPS_GuidGenerator.not_NULL (1 ms)
[ RUN      ] dds_DCPS_RTPS_GuidGenerator.populate
[       OK ] dds_DCPS_RTPS_GuidGenerator.populate (0 ms)
[ RUN      ] dds_DCPS_RTPS_GuidGenerator.getCount
[       OK ] dds_DCPS_RTPS_GuidGenerator.getCount (0 ms)
[ RUN      ] dds_DCPS_RTPS_GuidGenerator.interfaceName
[       OK ] dds_DCPS_RTPS_GuidGenerator.interfaceName (0 ms)
[----------] 4 tests from dds_DCPS_RTPS_GuidGenerator (1 ms total)

[----------] 18 tests from dds_DCPS_DispatchService
[ RUN      ] dds_DCPS_DispatchService.DefaultConstructor
[       OK ] dds_DCPS_DispatchService.DefaultConstructor (0 ms)
[ RUN      ] dds_DCPS_DispatchService.ArgConstructorFour
[       OK ] dds_DCPS_DispatchService.ArgConstructorFour (1 ms)
[ RUN      ] dds_DCPS_DispatchService.ArgConstructorOrderAlpha
[       OK ] dds_DCPS_DispatchService.ArgConstructorOrderAlpha (1 ms)
[ RUN      ] dds_DCPS_DispatchService.ArgConstructorOrderBeta
[       OK ] dds_DCPS_DispatchService.ArgConstructorOrderBeta (0 ms)
[ RUN      ] dds_DCPS_DispatchService.SimpleDispatchAlpha
[       OK ] dds_DCPS_DispatchService.SimpleDispatchAlpha (0 ms)
[ RUN      ] dds_DCPS_DispatchService.SimpleDispatchBeta
[       OK ] dds_DCPS_DispatchService.SimpleDispatchBeta (1 ms)
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchAlpha
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchAlpha (0 ms)
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchAlpha_ImmediateShutdown
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchAlpha_ImmediateShutdown (0 ms)
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchBeta
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchBeta (1 ms)
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchBeta_ImmediateShutdown
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchBeta_ImmediateShutdown (1 ms)
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchGamma
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchGamma (1 ms)
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchGamma_ImmediateShutdown
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchGamma_ImmediateShutdown (2 ms)
[ RUN      ] dds_DCPS_DispatchService.InternalShutdown
[       OK ] dds_DCPS_DispatchService.InternalShutdown (0 ms)
[ RUN      ] dds_DCPS_DispatchService.ShutdownReturnsPending
[       OK ] dds_DCPS_DispatchService.ShutdownReturnsPending (0 ms)
[ RUN      ] dds_DCPS_DispatchService.TimedDispatch
[       OK ] dds_DCPS_DispatchService.TimedDispatch (91 ms)
[ RUN      ] dds_DCPS_DispatchService.TimedDispatchSingleThreaded
[       OK ] dds_DCPS_DispatchService.TimedDispatchSingleThreaded (91 ms)
[ RUN      ] dds_DCPS_DispatchService.CancelDispatch
[       OK ] dds_DCPS_DispatchService.CancelDispatch (71 ms)
[ RUN      ] dds_DCPS_DispatchService.CancelDispatchSingleThreaded
[       OK ] dds_DCPS_DispatchService.CancelDispatchSingleThreaded (71 ms)
[----------] 18 tests from dds_DCPS_DispatchService (332 ms total)

[----------] 9 tests from dds_DCPS_WaitSet
[ RUN      ] dds_DCPS_WaitSet.DefaultConstructor
[       OK ] dds_DCPS_WaitSet.DefaultConstructor (0 ms)
[ RUN      ] dds_DCPS_WaitSet.VarDuplicate
[       OK ] dds_DCPS_WaitSet.VarDuplicate (0 ms)
[ RUN      ] dds_DCPS_WaitSet.AttachDetachFailures
[       OK ] dds_DCPS_WaitSet.AttachDetachFailures (0 ms)
[ RUN      ] dds_DCPS_WaitSet.AttachDetach
[       OK ] dds_DCPS_WaitSet.AttachDetach (1 ms)
[ RUN      ] dds_DCPS_WaitSet.AttachDetachAll
[       OK ] dds_DCPS_WaitSet.AttachDetachAll (0 ms)
[ RUN      ] dds_DCPS_WaitSet.AttachNoDetach
[       OK ] dds_DCPS_WaitSet.AttachNoDetach (0 ms)
[ RUN      ] dds_DCPS_WaitSet.WaitBogusDeadline
[       OK ] dds_DCPS_WaitSet.WaitBogusDeadline (0 ms)
[ RUN      ] dds_DCPS_WaitSet.WaitDeadlineTimeout
[       OK ] dds_DCPS_WaitSet.WaitDeadlineTimeout (0 ms)
[ RUN      ] dds_DCPS_WaitSet.WaitDeadlineTriggered
[       OK ] dds_DCPS_WaitSet.WaitDeadlineTriggered (0 ms)
[----------] 9 tests from dds_DCPS_WaitSet (1 ms total)

[----------] 6 tests from dds_DCPS_RcObject
[ RUN      ] dds_DCPS_RcObject.ctors_weak
[       OK ] dds_DCPS_RcObject.ctors_weak (0 ms)
[ RUN      ] dds_DCPS_RcObject.assign_weak
[       OK ] dds_DCPS_RcObject.assign_weak (0 ms)
[ RUN      ] dds_DCPS_RcObject.add_remove_ref_count
[       OK ] dds_DCPS_RcObject.add_remove_ref_count (0 ms)
[ RUN      ] dds_DCPS_RcObject.lock_reset_weak
[       OK ] dds_DCPS_RcObject.lock_reset_weak (0 ms)
[ RUN      ] dds_DCPS_RcObject.lock_failed
[       OK ] dds_DCPS_RcObject.lock_failed (0 ms)
[ RUN      ] dds_DCPS_RcObject.compare_weak
[       OK ] dds_DCPS_RcObject.compare_weak (0 ms)
[----------] 6 tests from dds_DCPS_RcObject (0 ms total)

[----------] 2 tests from dds_DCPS_TimeDuration
[ RUN      ] dds_DCPS_TimeDuration.str
[       OK ] dds_DCPS_TimeDuration.str (0 ms)
[ RUN      ] dds_DCPS_TimeDuration.double_ctor
[       OK ] dds_DCPS_TimeDuration.double_ctor (0 ms)
[----------] 2 tests from dds_DCPS_TimeDuration (0 ms total)

[----------] 17 tests from dds_DCPS_ServiceEventDispatcher
[ RUN      ] dds_DCPS_ServiceEventDispatcher.DefaultConstructor
[       OK ] dds_DCPS_ServiceEventDispatcher.DefaultConstructor (0 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.ArgConstructorFour
[       OK ] dds_DCPS_ServiceEventDispatcher.ArgConstructorFour (0 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.ArgConstructorOrderAlpha
[       OK ] dds_DCPS_ServiceEventDispatcher.ArgConstructorOrderAlpha (1 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.ArgConstructorOrderBeta
[       OK ] dds_DCPS_ServiceEventDispatcher.ArgConstructorOrderBeta (1 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.SimpleDispatchAlpha
[       OK ] dds_DCPS_ServiceEventDispatcher.SimpleDispatchAlpha (0 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.SimpleDispatchBeta
[       OK ] dds_DCPS_ServiceEventDispatcher.SimpleDispatchBeta (1 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchAlpha
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchAlpha (0 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchAlpha_ImmediateShutdown
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchAlpha_ImmediateShutdown (0 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchBeta
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchBeta (1 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchBeta_ImmediateShutdown
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchBeta_ImmediateShutdown (1 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchGamma
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchGamma (5 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchGamma_ImmediateShutdown
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchGamma_ImmediateShutdown (4 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.TestShutdown
[       OK ] dds_DCPS_ServiceEventDispatcher.TestShutdown (0 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.TimedDispatch
[       OK ] dds_DCPS_ServiceEventDispatcher.TimedDispatch (91 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.TimedDispatchSingleThreaded
[       OK ] dds_DCPS_ServiceEventDispatcher.TimedDispatchSingleThreaded (91 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.CancelDispatch
[       OK ] dds_DCPS_ServiceEventDispatcher.CancelDispatch (71 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.CancelDispatchSingleThreaded
[       OK ] dds_DCPS_ServiceEventDispatcher.CancelDispatchSingleThreaded (70 ms)
[----------] 17 tests from dds_DCPS_ServiceEventDispatcher (337 ms total)

[----------] 4 tests from dds_DCPS_DisjointSequence
[ RUN      ] dds_DCPS_DisjointSequence.maintest
[       OK ] dds_DCPS_DisjointSequence.maintest (1 ms)
[ RUN      ] dds_DCPS_DisjointSequence.OrderedRanges_main_test
[       OK ] dds_DCPS_DisjointSequence.OrderedRanges_main_test (0 ms)
[ RUN      ] dds_DCPS_DisjointSequence.OrderedRanges_insert_out_of_order
[       OK ] dds_DCPS_DisjointSequence.OrderedRanges_insert_out_of_order (0 ms)
[ RUN      ] dds_DCPS_DisjointSequence.OrderedRanges_insert_ranges
[       OK ] dds_DCPS_DisjointSequence.OrderedRanges_insert_ranges (0 ms)
[----------] 4 tests from dds_DCPS_DisjointSequence (1 ms total)

[----------] 14 tests from dds_DCPS_InternalDataReader
[ RUN      ] dds_DCPS_InternalDataReader.durable
[       OK ] dds_DCPS_InternalDataReader.durable (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.write
[       OK ] dds_DCPS_InternalDataReader.write (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.write_keep_all
[       OK ] dds_DCPS_InternalDataReader.write_keep_all (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.unregister_instance
[       OK ] dds_DCPS_InternalDataReader.unregister_instance (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.dispose
[       OK ] dds_DCPS_InternalDataReader.dispose (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.remove_publication_autodispose
[       OK ] dds_DCPS_InternalDataReader.remove_publication_autodispose (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.remove_publication
[       OK ] dds_DCPS_InternalDataReader.remove_publication (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.listener
[       OK ] dds_DCPS_InternalDataReader.listener (1002 ms)
[ RUN      ] dds_DCPS_InternalDataReader.read
[       OK ] dds_DCPS_InternalDataReader.read (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.read_instance_state
[       OK ] dds_DCPS_InternalDataReader.read_instance_state (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.take
[       OK ] dds_DCPS_InternalDataReader.take (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.take_instance_state
[       OK ] dds_DCPS_InternalDataReader.take_instance_state (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.read_instance
[       OK ] dds_DCPS_InternalDataReader.read_instance (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.take_instance
[       OK ] dds_DCPS_InternalDataReader.take_instance (0 ms)
[----------] 14 tests from dds_DCPS_InternalDataReader (1003 ms total)

[----------] 5 tests from dds_DCPS_InternalTopic
[ RUN      ] dds_DCPS_InternalTopic.connect_writer
[       OK ] dds_DCPS_InternalTopic.connect_writer (0 ms)
[ RUN      ] dds_DCPS_InternalTopic.connect_reader
[       OK ] dds_DCPS_InternalTopic.connect_reader (0 ms)
[ RUN      ] dds_DCPS_InternalTopic.disconnect_writer
[       OK ] dds_DCPS_InternalTopic.disconnect_writer (0 ms)
[ RUN      ] dds_DCPS_InternalTopic.disconnect_reader
[       OK ] dds_DCPS_InternalTopic.disconnect_reader (0 ms)
[ RUN      ] dds_DCPS_InternalTopic.connect_multiple
[       OK ] dds_DCPS_InternalTopic.connect_multiple (0 ms)
[----------] 5 tests from dds_DCPS_InternalTopic (0 ms total)

[----------] 26 tests from dds_DCPS_NetworkAddress
[ RUN      ] dds_DCPS_NetworkAddress.DefaultConstructor
[       OK ] dds_DCPS_NetworkAddress.DefaultConstructor (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.AddrConstructorDefault
[       OK ] dds_DCPS_NetworkAddress.AddrConstructorDefault (1 ms)
[ RUN      ] dds_DCPS_NetworkAddress.AddrConstructorPortStrIpFour
[       OK ] dds_DCPS_NetworkAddress.AddrConstructorPortStrIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.AddrConstructorStrIpFour
[       OK ] dds_DCPS_NetworkAddress.AddrConstructorStrIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.AddrConstructorPortStrIpSix
[       OK ] dds_DCPS_NetworkAddress.AddrConstructorPortStrIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.AddrConstructortStrIpSix
[       OK ] dds_DCPS_NetworkAddress.AddrConstructortStrIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.PortStrConstructorIpFour
[       OK ] dds_DCPS_NetworkAddress.PortStrConstructorIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.StrConstructorIpFour
[       OK ] dds_DCPS_NetworkAddress.StrConstructorIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.PortStrConstructorIpSix
[       OK ] dds_DCPS_NetworkAddress.PortStrConstructorIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.StrConstructorIpSix
[       OK ] dds_DCPS_NetworkAddress.StrConstructorIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.SetPortIpFour
[       OK ] dds_DCPS_NetworkAddress.SetPortIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.SetPortIpSix
[       OK ] dds_DCPS_NetworkAddress.SetPortIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.OperatorsIpFour
[       OK ] dds_DCPS_NetworkAddress.OperatorsIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.OperatorsIpSix
[       OK ] dds_DCPS_NetworkAddress.OperatorsIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsAnyIpFour
[       OK ] dds_DCPS_NetworkAddress.IsAnyIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsAnyIpSix
[       OK ] dds_DCPS_NetworkAddress.IsAnyIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsLoopbackIpFour
[       OK ] dds_DCPS_NetworkAddress.IsLoopbackIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsLoopbackIpSix
[       OK ] dds_DCPS_NetworkAddress.IsLoopbackIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsMulticastIpFour
[       OK ] dds_DCPS_NetworkAddress.IsMulticastIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsMulticastIpSix
[       OK ] dds_DCPS_NetworkAddress.IsMulticastIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsPrivateIpFour
[       OK ] dds_DCPS_NetworkAddress.IsPrivateIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsUniqueLocalIpSix
[       OK ] dds_DCPS_NetworkAddress.IsUniqueLocalIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsLinkLocalIpSix
[       OK ] dds_DCPS_NetworkAddress.IsLinkLocalIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsSiteLocalIpSix
[       OK ] dds_DCPS_NetworkAddress.IsSiteLocalIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsMoreLocalIpFour
[       OK ] dds_DCPS_NetworkAddress.IsMoreLocalIpFour (1 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsMoreLocalIpSix
[       OK ] dds_DCPS_NetworkAddress.IsMoreLocalIpSix (0 ms)
[----------] 26 tests from dds_DCPS_NetworkAddress (2 ms total)

[----------] 42 tests from dds_DCPS_PrinterValueWriter
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_struct
[       OK ] dds_DCPS_PrinterValueWriter.begin_struct (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_struct
[       OK ] dds_DCPS_PrinterValueWriter.end_struct (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_struct_member
[       OK ] dds_DCPS_PrinterValueWriter.begin_struct_member (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_struct_member
[       OK ] dds_DCPS_PrinterValueWriter.end_struct_member (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_union
[       OK ] dds_DCPS_PrinterValueWriter.begin_union (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_union
[       OK ] dds_DCPS_PrinterValueWriter.end_union (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_discriminator
[       OK ] dds_DCPS_PrinterValueWriter.begin_discriminator (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_discriminator
[       OK ] dds_DCPS_PrinterValueWriter.end_discriminator (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_union_member
[       OK ] dds_DCPS_PrinterValueWriter.begin_union_member (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_union_member
[       OK ] dds_DCPS_PrinterValueWriter.end_union_member (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.complete_struct
[       OK ] dds_DCPS_PrinterValueWriter.complete_struct (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_array
[       OK ] dds_DCPS_PrinterValueWriter.begin_array (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_array
[       OK ] dds_DCPS_PrinterValueWriter.end_array (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_sequence
[       OK ] dds_DCPS_PrinterValueWriter.begin_sequence (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_sequence
[       OK ] dds_DCPS_PrinterValueWriter.end_sequence (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_element
[       OK ] dds_DCPS_PrinterValueWriter.begin_element (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_element
[       OK ] dds_DCPS_PrinterValueWriter.end_element (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.complete_sequence
[       OK ] dds_DCPS_PrinterValueWriter.complete_sequence (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.complete_sequence_write_array
[       OK ] dds_DCPS_PrinterValueWriter.complete_sequence_write_array (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.complete_array
[       OK ] dds_DCPS_PrinterValueWriter.complete_array (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.complete_array_write_array
[       OK ] dds_DCPS_PrinterValueWriter.complete_array_write_array (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.complete_struct_with_complete_array
[       OK ] dds_DCPS_PrinterValueWriter.complete_struct_with_complete_array (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_boolean
[       OK ] dds_DCPS_PrinterValueWriter.write_boolean (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_byte
[       OK ] dds_DCPS_PrinterValueWriter.write_byte (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_int8
[       OK ] dds_DCPS_PrinterValueWriter.write_int8 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_uint8
[       OK ] dds_DCPS_PrinterValueWriter.write_uint8 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_int16
[       OK ] dds_DCPS_PrinterValueWriter.write_int16 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_uint16
[       OK ] dds_DCPS_PrinterValueWriter.write_uint16 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_int32
[       OK ] dds_DCPS_PrinterValueWriter.write_int32 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_uint32
[       OK ] dds_DCPS_PrinterValueWriter.write_uint32 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_int64
[       OK ] dds_DCPS_PrinterValueWriter.write_int64 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_uint64
[       OK ] dds_DCPS_PrinterValueWriter.write_uint64 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_float32
[       OK ] dds_DCPS_PrinterValueWriter.write_float32 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_float64
[       OK ] dds_DCPS_PrinterValueWriter.write_float64 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_float128
[       OK ] dds_DCPS_PrinterValueWriter.write_float128 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_fixed
[       OK ] dds_DCPS_PrinterValueWriter.write_fixed (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_char8
[       OK ] dds_DCPS_PrinterValueWriter.write_char8 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_char8_null
[       OK ] dds_DCPS_PrinterValueWriter.write_char8_null (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_char16
[       OK ] dds_DCPS_PrinterValueWriter.write_char16 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_char16_null
[       OK ] dds_DCPS_PrinterValueWriter.write_char16_null (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_string
[       OK ] dds_DCPS_PrinterValueWriter.write_string (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_enum
[       OK ] dds_DCPS_PrinterValueWriter.write_enum (0 ms)
[----------] 42 tests from dds_DCPS_PrinterValueWriter (1 ms total)

[----------] 5 tests from dds_DCPS_SporadicEvent
[ RUN      ] dds_DCPS_SporadicEvent.ConstructDestruct
[       OK ] dds_DCPS_SporadicEvent.ConstructDestruct (1 ms)
[ RUN      ] dds_DCPS_SporadicEvent.Nominal
[       OK ] dds_DCPS_SporadicEvent.Nominal (1 ms)
[ RUN      ] dds_DCPS_SporadicEvent.MoveUp
[       OK ] dds_DCPS_SporadicEvent.MoveUp (2 ms)
[ RUN      ] dds_DCPS_SporadicEvent.NoDoubleExec
[       OK ] dds_DCPS_SporadicEvent.NoDoubleExec (201 ms)
[ RUN      ] dds_DCPS_SporadicEvent.Cancel
[       OK ] dds_DCPS_SporadicEvent.Cancel (101 ms)
[----------] 5 tests from dds_DCPS_SporadicEvent (306 ms total)

[----------] 4 tests from dds_DCPS_EventDispatcher
[ RUN      ] dds_DCPS_EventDispatcher.EventBaseConstructDestruct
[       OK ] dds_DCPS_EventDispatcher.EventBaseConstructDestruct (0 ms)
[ RUN      ] dds_DCPS_EventDispatcher.EventBasePassThrough
[       OK ] dds_DCPS_EventDispatcher.EventBasePassThrough (0 ms)
[ RUN      ] dds_DCPS_EventDispatcher.EventBaseHandleException
[       OK ] dds_DCPS_EventDispatcher.EventBaseHandleException (0 ms)
[ RUN      ] dds_DCPS_EventDispatcher.TestEventDispatcher
[       OK ] dds_DCPS_EventDispatcher.TestEventDispatcher (0 ms)
[----------] 4 tests from dds_DCPS_EventDispatcher (0 ms total)

[----------] 206 tests from dds_DCPS_Qos_Helper
[ RUN      ] dds_DCPS_Qos_Helper.maintest
[       OK ] dds_DCPS_Qos_Helper.maintest (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TransportPriorityQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.TransportPriorityQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TransportPriorityQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.TransportPriorityQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TransportPriorityQosPolicyBuilder_value
[       OK ] dds_DCPS_Qos_Helper.TransportPriorityQosPolicyBuilder_value (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LifespanQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.LifespanQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LifespanQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.LifespanQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LifespanQosPolicyBuilder_duration
[       OK ] dds_DCPS_Qos_Helper.LifespanQosPolicyBuilder_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_kind
[       OK ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_volatile
[       OK ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_volatile (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_transient_local
[       OK ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_transient_local (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_transient
[       OK ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_transient (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_persistent
[       OK ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_persistent (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_service_cleanup_delay
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_service_cleanup_delay (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_history_kind
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_history_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_keep_last
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_keep_last (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_keep_all
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_keep_all (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_history_depth
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_history_depth (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_max_samples
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_max_samples (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_max_instances
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_max_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_max_samples_per_instance
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_max_samples_per_instance (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DeadlineQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.DeadlineQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DeadlineQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.DeadlineQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DeadlineQosPolicyBuilder_period
[       OK ] dds_DCPS_Qos_Helper.DeadlineQosPolicyBuilder_period (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LatencyBudgetQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.LatencyBudgetQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LatencyBudgetQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.LatencyBudgetQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LatencyBudgetQosPolicyBuilder_duration
[       OK ] dds_DCPS_Qos_Helper.LatencyBudgetQosPolicyBuilder_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_kind
[       OK ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_shared
[       OK ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_shared (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_exclusive
[       OK ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_exclusive (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipStrengthQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.OwnershipStrengthQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipStrengthQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.OwnershipStrengthQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipStrengthQosPolicyBuilder_value
[       OK ] dds_DCPS_Qos_Helper.OwnershipStrengthQosPolicyBuilder_value (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_kind
[       OK ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_automatic
[       OK ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_automatic (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_manual_by_participant
[       OK ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_manual_by_participant (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_manual_by_topic
[       OK ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_manual_by_topic (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_lease_duration
[       OK ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_lease_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TimeBasedFilterQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.TimeBasedFilterQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TimeBasedFilterQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.TimeBasedFilterQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TimeBasedFilterQosPolicyBuilder_minimum_separation
[       OK ] dds_DCPS_Qos_Helper.TimeBasedFilterQosPolicyBuilder_minimum_separation (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_max_samples
[       OK ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_max_samples (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_max_instances
[       OK ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_max_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_max_samples_per_instance
[       OK ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_max_samples_per_instance (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.WriterDataLifecycleQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.WriterDataLifecycleQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.WriterDataLifecycleQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.WriterDataLifecycleQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.WriterDataLifecycleQosPolicyBuilder_autodispose_unregistered_instances
[       OK ] dds_DCPS_Qos_Helper.WriterDataLifecycleQosPolicyBuilder_autodispose_unregistered_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.ReaderDataLifecycleQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.ReaderDataLifecycleQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.ReaderDataLifecycleQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.ReaderDataLifecycleQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.ReaderDataLifecycleQosPolicyBuilder_autopurge_nowriter_samples_delay
[       OK ] dds_DCPS_Qos_Helper.ReaderDataLifecycleQosPolicyBuilder_autopurge_nowriter_samples_delay (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_kind
[       OK ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_disallow_type_coercion
[       OK ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_disallow_type_coercion (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_allow_type_coercion
[       OK ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_allow_type_coercion (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_ignore_sequence_bounds
[       OK ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_ignore_sequence_bounds (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_ignore_string_bounds
[       OK ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_ignore_string_bounds (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_ignore_member_names
[       OK ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_ignore_member_names (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_prevent_type_widening
[       OK ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_prevent_type_widening (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_force_type_validation
[       OK ] dds_DCPS_Qos_Helper.TypeConsistencyEnforcementQosPolicyBuilder_force_type_validation (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_topic_data_value
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_topic_data_value (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_kind
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_volatile
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_volatile (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_transient_local
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_transient_local (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_transient
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_transient (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_persistent
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_persistent (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_service_cleanup_delay
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_service_cleanup_delay (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_history_kind
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_history_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_keep_last
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_keep_last (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_keep_all
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_keep_all (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_history_depth
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_history_depth (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_max_samples
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_max_samples (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_max_instances
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_max_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_max_samples_per_instance
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_max_samples_per_instance (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_deadline_period
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_deadline_period (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_latency_budget_duration
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_latency_budget_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_kind
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_automatic
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_automatic (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_manual_by_participant
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_manual_by_participant (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_manual_by_topic
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_manual_by_topic (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_lease_duration
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_lease_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_kind
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_best_effort
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_best_effort (1 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_reliable
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_reliable (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_max_blocking_time
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_max_blocking_time (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_destination_order_kind
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_destination_order_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_destination_order_by_source_timestamp
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_destination_order_by_source_timestamp (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_destination_order_by_reception_timestamp
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_destination_order_by_reception_timestamp (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_kind
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_keep_last
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_keep_last (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_keep_all
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_keep_all (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_depth
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_depth (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_resource_limits_max_samples
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_resource_limits_max_samples (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_resource_limits_max_instances
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_resource_limits_max_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_resource_limits_max_samples_per_instance
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_resource_limits_max_samples_per_instance (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_transport_priority_value
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_transport_priority_value (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_lifespan_duration
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_lifespan_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_ownership_kind
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_ownership_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_ownership_shared
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_ownership_shared (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_ownership_exclusive
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_ownership_exclusive (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_kind
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_volatile
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_volatile (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_transient_local
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_transient_local (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_transient
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_transient (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_persistent
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_persistent (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_service_cleanup_delay
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_service_cleanup_delay (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_history_kind
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_history_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_keep_last
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_keep_last (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_keep_all
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_keep_all (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_history_depth
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_history_depth (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_max_samples
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_max_samples (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_max_instances
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_max_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_max_samples_per_instance
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_max_samples_per_instance (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_deadline_period
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_deadline_period (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_latency_budget_duration
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_latency_budget_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_kind
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_automatic
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_automatic (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_manual_by_participant
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_manual_by_participant (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_manual_by_topic
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_manual_by_topic (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_lease_duration
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_lease_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_kind
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_best_effort
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_best_effort (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_reliable
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_reliable (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_max_blocking_time
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_max_blocking_time (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_destination_order_kind
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_destination_order_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_destination_order_by_source_timestamp
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_destination_order_by_source_timestamp (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_destination_order_by_reception_timestamp
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_destination_order_by_reception_timestamp (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_kind
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_keep_last
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_keep_last (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_keep_all
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_keep_all (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_depth
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_depth (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_resource_limits_max_samples
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_resource_limits_max_samples (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_resource_limits_max_instances
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_resource_limits_max_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_resource_limits_max_samples_per_instance
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_resource_limits_max_samples_per_instance (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_transport_priority_value
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_transport_priority_value (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_lifespan_duration
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_lifespan_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_user_data_value
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_user_data_value (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_kind
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_shared
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_shared (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_exclusive
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_exclusive (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_strength_value
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_strength_value (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_writer_data_lifecycle_autodispose_unregistered_instances
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_writer_data_lifecycle_autodispose_unregistered_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Publisher_ctor
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Publisher_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Publisher_ctor_error
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Publisher_ctor_error (1 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Topic_ctor
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Topic_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Topic_ctor_error
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Topic_ctor_error (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_durability_kind
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_durability_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_durability_volatile
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_durability_volatile (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_durability_transient_local
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_durability_transient_local (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_durability_transient
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_durability_transient (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_durability_persistent
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_durability_persistent (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_deadline_period
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_deadline_period (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_latency_budget_duration
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_latency_budget_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_liveliness_kind
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_liveliness_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_liveliness_automatic
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_liveliness_automatic (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_liveliness_manual_by_participant
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_liveliness_manual_by_participant (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_liveliness_manual_by_topic
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_liveliness_manual_by_topic (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_liveliness_lease_duration
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_liveliness_lease_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_reliability_kind
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_reliability_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_reliability_best_effort
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_reliability_best_effort (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_reliability_reliable
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_reliability_reliable (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_reliability_max_blocking_time
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_reliability_max_blocking_time (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_destination_order_kind
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_destination_order_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_destination_order_by_source_timestamp
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_destination_order_by_source_timestamp (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_destination_order_by_reception_timestamp
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_destination_order_by_reception_timestamp (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_history_kind
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_history_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_history_keep_last
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_history_keep_last (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_history_keep_all
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_history_keep_all (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_history_depth
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_history_depth (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_resource_limits_max_samples
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_resource_limits_max_samples (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_resource_limits_max_instances
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_resource_limits_max_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_resource_limits_max_samples_per_instance
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_resource_limits_max_samples_per_instance (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_user_data_value
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_user_data_value (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_ownership_kind
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_ownership_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_ownership_shared
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_ownership_shared (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_ownership_exclusive
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_ownership_exclusive (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_time_based_filter_minimum_separation
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_time_based_filter_minimum_separation (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_reader_data_lifecycle_autopurge_nowriter_samples_delay
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_reader_data_lifecycle_autopurge_nowriter_samples_delay (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_reader_data_lifecycle_autopurge_disposed_samples_delay
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_reader_data_lifecycle_autopurge_disposed_samples_delay (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_kind
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_disallow_type_coercion
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_disallow_type_coercion (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_allow_type_coercion
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_allow_type_coercion (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_ignore_sequence_bounds
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_ignore_sequence_bounds (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_ignore_string_bounds
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_ignore_string_bounds (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_ignore_member_names
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_ignore_member_names (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_prevent_type_widening
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_prevent_type_widening (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_force_type_validation
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_type_consistency_force_type_validation (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_Subscriber_ctor
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_Subscriber_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_Subscriber_ctor_error
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_Subscriber_ctor_error (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_Topic_ctor
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_Topic_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_Topic_ctor_error
[       OK ] dds_DCPS_Qos_Helper.DataReaderQosBuilder_Topic_ctor_error (1 ms)
[----------] 206 tests from dds_DCPS_Qos_Helper (8 ms total)

[----------] 3 tests from dds_DCPS_PeriodicEvent
[ RUN      ] dds_DCPS_PeriodicEvent.ConstructDestruct
[       OK ] dds_DCPS_PeriodicEvent.ConstructDestruct (0 ms)
[ RUN      ] dds_DCPS_PeriodicEvent.Nominal
[       OK ] dds_DCPS_PeriodicEvent.Nominal (601 ms)
[ RUN      ] dds_DCPS_PeriodicEvent.NoDoubleExec
[       OK ] dds_DCPS_PeriodicEvent.NoDoubleExec (1001 ms)
[----------] 3 tests from dds_DCPS_PeriodicEvent (1602 ms total)

[----------] 1 test from dds_DCPS_DataSampleHeader
[ RUN      ] dds_DCPS_DataSampleHeader.valid_data
[       OK ] dds_DCPS_DataSampleHeader.valid_data (0 ms)
[----------] 1 test from dds_DCPS_DataSampleHeader (0 ms total)

[----------] 1 test from dds_DCPS_SequenceNumber
[ RUN      ] dds_DCPS_SequenceNumber.maintest
[       OK ] dds_DCPS_SequenceNumber.maintest (0 ms)
[----------] 1 test from dds_DCPS_SequenceNumber (0 ms total)

[----------] 1 test from dds_DCPS_MemoryPool
[ RUN      ] dds_DCPS_MemoryPool.maintest
[       OK ] dds_DCPS_MemoryPool.maintest (6 ms)
[----------] 1 test from dds_DCPS_MemoryPool (6 ms total)

[----------] 9 tests from dds_DCPS_SporadicTask
[ RUN      ] dds_DCPS_SporadicTask.schedule
[       OK ] dds_DCPS_SporadicTask.schedule (0 ms)
[ RUN      ] dds_DCPS_SporadicTask.schedule_pmf
[       OK ] dds_DCPS_SporadicTask.schedule_pmf (0 ms)
[ RUN      ] dds_DCPS_SporadicTask.schedule_error
[       OK ] dds_DCPS_SporadicTask.schedule_error (0 ms)
[ RUN      ] dds_DCPS_SporadicTask.schedule_earlier
[       OK ] dds_DCPS_SporadicTask.schedule_earlier (0 ms)
[ RUN      ] dds_DCPS_SporadicTask.schedule_later
[       OK ] dds_DCPS_SporadicTask.schedule_later (1 ms)
[ RUN      ] dds_DCPS_SporadicTask.schedule_no_interceptor
[       OK ] dds_DCPS_SporadicTask.schedule_no_interceptor (0 ms)
[ RUN      ] dds_DCPS_SporadicTask.cancel_not_scheduled
[       OK ] dds_DCPS_SporadicTask.cancel_not_scheduled (0 ms)
[ RUN      ] dds_DCPS_SporadicTask.cancel_scheduled
[       OK ] dds_DCPS_SporadicTask.cancel_scheduled (1 ms)
[ RUN      ] dds_DCPS_SporadicTask.cancel_no_interceptor
[       OK ] dds_DCPS_SporadicTask.cancel_no_interceptor (0 ms)
[----------] 9 tests from dds_DCPS_SporadicTask (4 ms total)

[----------] 2 tests from dds_DCPS_GuidUtils
[ RUN      ] dds_DCPS_GuidUtils.guid_t_vs_octet_array16_size_test
[       OK ] dds_DCPS_GuidUtils.guid_t_vs_octet_array16_size_test (0 ms)
[ RUN      ] dds_DCPS_GuidUtils.guid_pair_cmp
[       OK ] dds_DCPS_GuidUtils.guid_pair_cmp (0 ms)
[----------] 2 tests from dds_DCPS_GuidUtils (0 ms total)

[----------] 12 tests from dds_DCPS_NetworkResource
[ RUN      ] dds_DCPS_NetworkResource.hostname_info
[       OK ] dds_DCPS_NetworkResource.hostname_info (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.fully_qualified_domain_hostname_basic
(3506|3506) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
[       OK ] dds_DCPS_NetworkResource.fully_qualified_domain_hostname_basic (6 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv4
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv4 (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6 (1 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_localhost
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_localhost (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_double_self
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_double_self (3 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals_port0
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals_port0 (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals_localhost
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals_localhost (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals_localhost_port0
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals_localhost_port0 (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv4_literals
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv4_literals (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv4_literals_port0
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv4_literals_port0 (0 ms)
[----------] 12 tests from dds_DCPS_NetworkResource (10 ms total)

[----------] 1 test from dds_DCPS_AtomicBool
[ RUN      ] dds_DCPS_AtomicBool.tsan_test
[       OK ] dds_DCPS_AtomicBool.tsan_test (2 ms)
[----------] 1 test from dds_DCPS_AtomicBool (2 ms total)

[----------] 3 tests from dds_DCPS_GuidConverter
[ RUN      ] dds_DCPS_GuidConverter.prefixes_to_ParticipantID
[       OK ] dds_DCPS_GuidConverter.prefixes_to_ParticipantID (0 ms)
[ RUN      ] dds_DCPS_GuidConverter.validate_Checksum
[       OK ] dds_DCPS_GuidConverter.validate_Checksum (0 ms)
[ RUN      ] dds_DCPS_GuidConverter.validate_IDs_and_Key
[       OK ] dds_DCPS_GuidConverter.validate_IDs_and_Key (0 ms)
[----------] 3 tests from dds_DCPS_GuidConverter (0 ms total)

[----------] 8 tests from dds_DCPS_InternalDataWriter
[ RUN      ] dds_DCPS_InternalDataWriter.add_reader
[       OK ] dds_DCPS_InternalDataWriter.add_reader (0 ms)
[ RUN      ] dds_DCPS_InternalDataWriter.add_reader_durable
[       OK ] dds_DCPS_InternalDataWriter.add_reader_durable (0 ms)
[ RUN      ] dds_DCPS_InternalDataWriter.add_reader_durable_history1
[       OK ] dds_DCPS_InternalDataWriter.add_reader_durable_history1 (0 ms)
[ RUN      ] dds_DCPS_InternalDataWriter.remove_reader
[       OK ] dds_DCPS_InternalDataWriter.remove_reader (0 ms)
[ RUN      ] dds_DCPS_InternalDataWriter.write
[       OK ] dds_DCPS_InternalDataWriter.write (0 ms)
[ RUN      ] dds_DCPS_InternalDataWriter.unregister_instance
[       OK ] dds_DCPS_InternalDataWriter.unregister_instance (0 ms)
[ RUN      ] dds_DCPS_InternalDataWriter.unregister_instance_no_dispose
[       OK ] dds_DCPS_InternalDataWriter.unregister_instance_no_dispose (0 ms)
[ RUN      ] dds_DCPS_InternalDataWriter.dispose
[       OK ] dds_DCPS_InternalDataWriter.dispose (0 ms)
[----------] 8 tests from dds_DCPS_InternalDataWriter (1 ms total)

[----------] 6 tests from dds_DCPS_ThreadPool
[ RUN      ] dds_DCPS_ThreadPool.NoArgConstructor
[       OK ] dds_DCPS_ThreadPool.NoArgConstructor (0 ms)
[ RUN      ] dds_DCPS_ThreadPool.ArgConstructorZero
[       OK ] dds_DCPS_ThreadPool.ArgConstructorZero (0 ms)
[ RUN      ] dds_DCPS_ThreadPool.ArgConstructorOne
[       OK ] dds_DCPS_ThreadPool.ArgConstructorOne (0 ms)
[ RUN      ] dds_DCPS_ThreadPool.ArgConstructorFour
[       OK ] dds_DCPS_ThreadPool.ArgConstructorFour (1 ms)
[ RUN      ] dds_DCPS_ThreadPool.ArgConstructorSixteen
[       OK ] dds_DCPS_ThreadPool.ArgConstructorSixteen (2 ms)
[ RUN      ] dds_DCPS_ThreadPool.CheckMembership
[       OK ] dds_DCPS_ThreadPool.CheckMembership (0 ms)
[----------] 6 tests from dds_DCPS_ThreadPool (3 ms total)

[----------] 1 test from dds_DCPS_DomainParticipantImpl
[ RUN      ] dds_DCPS_DomainParticipantImpl.maintest
[       OK ] dds_DCPS_DomainParticipantImpl.maintest (0 ms)
[----------] 1 test from dds_DCPS_DomainParticipantImpl (0 ms total)

[----------] 5 tests from dds_DCPS_FibonacciSequence
[ RUN      ] dds_DCPS_FibonacciSequence.size_t_test
[       OK ] dds_DCPS_FibonacciSequence.size_t_test (1 ms)
[ RUN      ] dds_DCPS_FibonacciSequence.TimeDuration_test
[       OK ] dds_DCPS_FibonacciSequence.TimeDuration_test (0 ms)
[ RUN      ] dds_DCPS_FibonacciSequence.advance_with_max
[       OK ] dds_DCPS_FibonacciSequence.advance_with_max (0 ms)
[ RUN      ] dds_DCPS_FibonacciSequence.set_with_value
[       OK ] dds_DCPS_FibonacciSequence.set_with_value (0 ms)
[ RUN      ] dds_DCPS_FibonacciSequence.set_with_two_values
[       OK ] dds_DCPS_FibonacciSequence.set_with_two_values (0 ms)
[----------] 5 tests from dds_DCPS_FibonacciSequence (1 ms total)

[----------] 8 tests from dds_DCPS_AddressCache
[ RUN      ] dds_DCPS_AddressCache.load_fail
[       OK ] dds_DCPS_AddressCache.load_fail (0 ms)
[ RUN      ] dds_DCPS_AddressCache.store_load_success
[       OK ] dds_DCPS_AddressCache.store_load_success (0 ms)
[ RUN      ] dds_DCPS_AddressCache.store_remove_load_fail
[       OK ] dds_DCPS_AddressCache.store_remove_load_fail (0 ms)
[ RUN      ] dds_DCPS_AddressCache.store_remove_id_load_fail
[       OK ] dds_DCPS_AddressCache.store_remove_id_load_fail (0 ms)
[ RUN      ] dds_DCPS_AddressCache.scoped_access_load_success
[       OK ] dds_DCPS_AddressCache.scoped_access_load_success (0 ms)
[ RUN      ] dds_DCPS_AddressCache.scoped_access_cache_hit
[       OK ] dds_DCPS_AddressCache.scoped_access_cache_hit (0 ms)
[ RUN      ] dds_DCPS_AddressCache.store_twice
[       OK ] dds_DCPS_AddressCache.store_twice (0 ms)
[ RUN      ] dds_DCPS_AddressCache.scoped_access_expired
[       OK ] dds_DCPS_AddressCache.scoped_access_expired (0 ms)
[----------] 8 tests from dds_DCPS_AddressCache (0 ms total)

[----------] 60 tests from dds_DCPS_Serializer
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding
[       OK ] dds_DCPS_Serializer.Encoding_Encoding (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR1_ENDIAN_BIG
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR1_ENDIAN_BIG (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_UNALIGNED_CDR_ENDIAN_BIG
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_UNALIGNED_CDR_ENDIAN_BIG (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_ENDIAN_BIG
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_ENDIAN_BIG (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR1_ENDIAN_LITTLE
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR1_ENDIAN_LITTLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_UNALIGNED_CDR_ENDIAN_LITTLE
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_UNALIGNED_CDR_ENDIAN_LITTLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_ENDIAN_LITTLE
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_ENDIAN_LITTLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_Swap
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_Swap (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_No_Swap
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_No_Swap (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR1_max_align
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR1_max_align (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_UNALIGNED_CDR_max_align
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_UNALIGNED_CDR_max_align (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_max_align
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_max_align (0 ms)
[ RUN      ] dds_DCPS_Serializer.align_value_no_offset
[       OK ] dds_DCPS_Serializer.align_value_no_offset (0 ms)
[ RUN      ] dds_DCPS_Serializer.align_value_add_offset
[       OK ] dds_DCPS_Serializer.align_value_add_offset (0 ms)
[ RUN      ] dds_DCPS_Serializer.align_value_smaller_than_by
[       OK ] dds_DCPS_Serializer.align_value_smaller_than_by (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_is_encapsulated_this_XCDR1
[       OK ] dds_DCPS_Serializer.Encoding_is_encapsulated_this_XCDR1 (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_is_encapsulated_this_UNALIGNED_CDR
[       OK ] dds_DCPS_Serializer.Encoding_is_encapsulated_this_UNALIGNED_CDR (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_static_is_encacapsulatd_XCDR2
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_static_is_encacapsulatd_XCDR2 (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_EncapsulationHeader
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_EncapsulationHeader (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_EncapsulationHeader_Encoding_Valid
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_EncapsulationHeader_Encoding_Valid (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_EncapsulationHeader_Encoding_Invalid
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_EncapsulationHeader_Encoding_Invalid (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR1_BIG_FINAL
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR1_BIG_FINAL (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR1_LITTLE_FINAL
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR1_LITTLE_FINAL (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR1_LITTLE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR1_LITTLE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR2_LITTLE_FINAL
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR2_LITTLE_FINAL (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR2_LITTLE_APPENDABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR2_LITTLE_APPENDABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR2_LITTLE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR2_LITTLE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_UNALIGNED_CDR_LITTLE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_UNALIGNED_CDR_LITTLE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_NOT_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_NOT_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR_LE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR_LE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR_LE_NOT_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR_LE_NOT_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR_BE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR_BE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR_LE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR_LE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR2_BE_FINAL
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR2_BE_FINAL (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR2_LE_FINAL
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR2_LE_FINAL (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_D_CDR2_BE_APPENDABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_D_CDR2_BE_APPENDABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_D_CDR2_LE_APPENDABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_D_CDR2_LE_APPENDABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR2_BE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR2_BE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR2_LE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR2_LE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_XML
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_XML (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_INVALID
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_INVALID (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_Serializer_ACE_Message_Block_Encoding
[       OK ] dds_DCPS_Serializer.Serializer_Serializer_ACE_Message_Block_Encoding (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_Serializer_ACE_Message_Block_Kind
[       OK ] dds_DCPS_Serializer.Serializer_Serializer_ACE_Message_Block_Kind (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_Serializer_ACE_Message_Block_Kind_bool
[       OK ] dds_DCPS_Serializer.Serializer_Serializer_ACE_Message_Block_Kind_bool (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_set_endianness
[       OK ] dds_DCPS_Serializer.Serializer_set_endianness (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_swap_bytes_endianness
[       OK ] dds_DCPS_Serializer.Serializer_swap_bytes_endianness (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_align_context_basic_reference
[       OK ] dds_DCPS_Serializer.Serializer_align_context_basic_reference (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_align_context_basic
[       OK ] dds_DCPS_Serializer.Serializer_align_context_basic (1 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_align_context_2_buff
[       OK ] dds_DCPS_Serializer.Serializer_align_context_2_buff (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_align_context_2_buff_diff_walign
[       OK ] dds_DCPS_Serializer.Serializer_align_context_2_buff_diff_walign (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_align_context_2_buff_diff_walign_read
[       OK ] dds_DCPS_Serializer.Serializer_align_context_2_buff_diff_walign_read (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_align_context_2_buff_diff_walign_read_with_min
[       OK ] dds_DCPS_Serializer.Serializer_align_context_2_buff_diff_walign_read_with_min (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_test_peek_align
[       OK ] dds_DCPS_Serializer.Serializer_test_peek_align (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_test_peek_depth
[       OK ] dds_DCPS_Serializer.Serializer_test_peek_depth (7 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_test_trim
[       OK ] dds_DCPS_Serializer.Serializer_test_trim (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_test_bad_string
[       OK ] dds_DCPS_Serializer.Serializer_test_bad_string (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_test_bad_wstring
[       OK ] dds_DCPS_Serializer.Serializer_test_bad_wstring (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_test_bad_string2
[       OK ] dds_DCPS_Serializer.Serializer_test_bad_string2 (0 ms)
[ RUN      ] dds_DCPS_Serializer.read_parameter_id_xcdr2
[       OK ] dds_DCPS_Serializer.read_parameter_id_xcdr2 (0 ms)
[----------] 60 tests from dds_DCPS_Serializer (10 ms total)

[----------] 7 tests from dds_DCPS_Time_Helper
[ RUN      ] dds_DCPS_Time_Helper.infinite_duration_to_time_value
[       OK ] dds_DCPS_Time_Helper.infinite_duration_to_time_value (0 ms)
[ RUN      ] dds_DCPS_Time_Helper.infinite_duration_to_absolute_time_value
[       OK ] dds_DCPS_Time_Helper.infinite_duration_to_absolute_time_value (0 ms)
[ RUN      ] dds_DCPS_Time_Helper.finite_duration_to_time_value
[       OK ] dds_DCPS_Time_Helper.finite_duration_to_time_value (0 ms)
[ RUN      ] dds_DCPS_Time_Helper.Duration_t_difference
[       OK ] dds_DCPS_Time_Helper.Duration_t_difference (0 ms)
[ RUN      ] dds_DCPS_Time_Helper.MonotonicTime_t_equal
[       OK ] dds_DCPS_Time_Helper.MonotonicTime_t_equal (0 ms)
[ RUN      ] dds_DCPS_Time_Helper.make_duration
[       OK ] dds_DCPS_Time_Helper.make_duration (0 ms)
[ RUN      ] dds_DCPS_Time_Helper.add_time_duration
[       OK ] dds_DCPS_Time_Helper.add_time_duration (0 ms)
[----------] 7 tests from dds_DCPS_Time_Helper (0 ms total)

[----------] Global test environment tear-down
[==========] 760 tests from 55 test cases ran. (3954 ms total)
[  PASSED  ] 760 tests.
test PASSED.

auto_run_tests_finished: tests/unit-tests/run_test.pl Time:4s Result:0

==============================================================================

tests/stress-tests/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/stress-tests/StressTests  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile StressTests.log -DCPSPendingTimeout 3 
StressTests PID: 3672 started at 2023-04-26 16:02:20
[==========] Running 7 tests from 5 test cases.
[----------] Global test environment set-up.
[----------] 2 tests from dds_DCPS_DispatchService
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchDelta
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchDelta (294 ms)
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchDelta_ImmediateShutdown
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchDelta_ImmediateShutdown (245 ms)
[----------] 2 tests from dds_DCPS_DispatchService (539 ms total)

[----------] 1 test from dds_DCPS_RcObject
[ RUN      ] dds_DCPS_RcObject.WRCH_lock_vs_RCH_reset
[       OK ] dds_DCPS_RcObject.WRCH_lock_vs_RCH_reset (5832 ms)
[----------] 1 test from dds_DCPS_RcObject (5832 ms total)

[----------] 2 tests from dds_DCPS_ServiceEventDispatcher
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchDelta
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchDelta (380 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchDelta_ImmediateShutdown
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchDelta_ImmediateShutdown (360 ms)
[----------] 2 tests from dds_DCPS_ServiceEventDispatcher (740 ms total)

[----------] 1 test from dds_DCPS_MultiTask
[ RUN      ] dds_DCPS_MultiTask.TimingChecker
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
total_count = 0
TestObj::execute() called at  16:02:30.648039
TestObj::execute() called at  16:02:32.648009
total_count = 2
TestObj::execute() called at  16:02:33.746421
TestObj::execute() called at  16:02:33.846696
TestObj::execute() called at  16:02:33.946972
TestObj::execute() called at  16:02:34.047268
TestObj::execute() called at  16:02:34.147743
TestObj::execute() called at  16:02:34.248015
TestObj::execute() called at  16:02:34.348314
TestObj::execute() called at  16:02:34.448615
TestObj::execute() called at  16:02:34.548890
TestObj::execute() called at  16:02:34.649065
TestObj::execute() called at  16:02:34.749326
TestObj::execute() called at  16:02:34.849617
TestObj::execute() called at  16:02:34.949877
TestObj::execute() called at  16:02:35.050135
TestObj::execute() called at  16:02:35.150312
TestObj::execute() called at  16:02:35.250625
TestObj::execute() called at  16:02:35.350937
TestObj::execute() called at  16:02:35.451225
TestObj::execute() called at  16:02:35.551520
TestObj::execute() called at  16:02:35.651885
enable_calls = 1767
total_count = 22
TestObj::execute() called at  16:02:37.652680
TestObj::execute() called at  16:02:39.653803
total_count = 24
[       OK ] dds_DCPS_MultiTask.TimingChecker (12653 ms)
[----------] 1 test from dds_DCPS_MultiTask (12653 ms total)

[----------] 1 test from dds_DCPS_SporadicTask
[ RUN      ] dds_DCPS_SporadicTask.TimingChecker
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
total_count = 0
TestObj::execute() called at  16:02:43.262463
total_count = 1
TestObj::execute() called at  16:02:46.361595
TestObj::execute() called at  16:02:46.461888
TestObj::execute() called at  16:02:46.562156
TestObj::execute() called at  16:02:46.662427
TestObj::execute() called at  16:02:46.762703
TestObj::execute() called at  16:02:46.862980
TestObj::execute() called at  16:02:46.963260
TestObj::execute() called at  16:02:47.063540
TestObj::execute() called at  16:02:47.163809
TestObj::execute() called at  16:02:47.264084
TestObj::execute() called at  16:02:47.364382
TestObj::execute() called at  16:02:47.464668
TestObj::execute() called at  16:02:47.564956
TestObj::execute() called at  16:02:47.665244
TestObj::execute() called at  16:02:47.765568
TestObj::execute() called at  16:02:47.865889
TestObj::execute() called at  16:02:47.966179
TestObj::execute() called at  16:02:48.066475
TestObj::execute() called at  16:02:48.166775
TestObj::execute() called at  16:02:48.267114
schedule_calls = 1830
total_count = 21
total_count = 21
[       OK ] dds_DCPS_SporadicTask.TimingChecker (9615 ms)
[----------] 1 test from dds_DCPS_SporadicTask (9615 ms total)

[----------] Global test environment tear-down
[==========] 7 tests from 5 test cases ran. (29379 ms total)
[  PASSED  ] 7 tests.
test PASSED.

auto_run_tests_finished: tests/stress-tests/run_test.pl Time:30s Result:0

==============================================================================

tests/DCPS/KeyTest/run_test.pl keymarshalling #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/KeyTest/KeyMarshalling  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile keymarshalling.log -DCPSPendingTimeout 3 
keymarshalling PID: 3727 started at 2023-04-26 16:02:50
Messenger1::Message
  bound = 0
0xd41d8cd98f00b204e9800998ecf8427e
Messenger2::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger3::Message
  bound = 8
0x0ee0646c1c77d8131cc8f4ee65c7673b
Messenger4::Message
  bound = <unbounded>
0x6cd8d9afcad0b3ec16c7e092506262a9
Messenger5::Message
  bound = <unbounded>
0xf1d3ff8443297732862df21dc4e57262
Messenger6::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger7::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger8::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger9::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger10::Message
  bound = <unbounded>
0x4716e03130f78bb16871f3b75b93bd26
Messenger11::Message
  bound = 16
0x190c4c105786a2121d85018939108a6c
Messenger12::Message
  bound = 20
0x4d5555e067dd97d08fef90959b1510cb
Messenger1::Message
  bound = 0
0xd41d8cd98f00b204e9800998ecf8427e
Messenger2::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger3::Message
  bound = 8
0x0ee0646c1c77d8131cc8f4ee65c7673b
Messenger4::Message
  bound = <unbounded>
0x6cd8d9afcad0b3ec16c7e092506262a9
Messenger5::Message
  bound = <unbounded>
0xf1d3ff8443297732862df21dc4e57262
Messenger6::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger7::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger8::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger9::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger10::Message
  bound = <unbounded>
0x4716e03130f78bb16871f3b75b93bd26
Messenger11::Message
  bound = 16
0x190c4c105786a2121d85018939108a6c
Messenger12::Message
  bound = 20
0x4d5555e067dd97d08fef90959b1510cb
Messenger1::Message
  bound = 0
0xd41d8cd98f00b204e9800998ecf8427e
Messenger2::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger3::Message
  bound = 8
0x0ee0646c1c77d8131cc8f4ee65c7673b
Messenger4::Message
  bound = <unbounded>
0x6cd8d9afcad0b3ec16c7e092506262a9
Messenger5::Message
  bound = <unbounded>
0xf1d3ff8443297732862df21dc4e57262
Messenger6::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger7::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger8::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger9::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger10::Message
  bound = <unbounded>
0x4716e03130f78bb16871f3b75b93bd26
Messenger11::Message
  bound = 16
0x190c4c105786a2121d85018939108a6c
Messenger12::Message
  bound = 20
0x4d5555e067dd97d08fef90959b1510cb
test PASSED.

auto_run_tests_finished: tests/DCPS/KeyTest/run_test.pl keymarshalling Time:0s Result:0

==============================================================================

tests/DCPS/KeyTest/run_test.pl isbounded #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/KeyTest/IsBounded  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile isbounded.log -DCPSPendingTimeout 3 
isbounded PID: 3729 started at 2023-04-26 16:02:50
test PASSED.

auto_run_tests_finished: tests/DCPS/KeyTest/run_test.pl isbounded Time:0s Result:0

==============================================================================

tests/DCPS/KeyTest/run_test.pl md5 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/KeyTest/KeyTest_MD5  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile md5.log -DCPSPendingTimeout 3 
md5 PID: 3731 started at 2023-04-26 16:02:50
0xe4d909c290d0fb1ca068ffaddf22cbd0
0xe4d909c290d0fb1ca068ffaddf22cbd0
0xd41d8cd98f00b204e9800998ecf8427e
0xd41d8cd98f00b204e9800998ecf8427e
0x9e107d9d372bb6826bd81d3542a419d6
0x9e107d9d372bb6826bd81d3542a419d6
test PASSED.

auto_run_tests_finished: tests/DCPS/KeyTest/run_test.pl md5 Time:0s Result:0

==============================================================================

tests/DCPS/KeyTest/run_test.pl compiler #

compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_array_noindex.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_bad_nesting.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_missing_right_bracket.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_multi_dim_array.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_nofield.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_nonarrayindex2.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_nonarrayindex.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_struct_no_nest.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_sequence.idl

auto_run_tests_finished: tests/DCPS/KeyTest/run_test.pl compiler Time:1s Result:0

==============================================================================

tests/DCPS/CompatibilityTest/run_test.pl #

Test #1


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 3761
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c true -d transient_local -k automatic -r reliable -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 3768 started at 2023-04-26 16:02:51
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 3769 started at 2023-04-26 16:02:51
(3761|3761) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #2


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 3785
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 7 -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 3792 started at 2023-04-26 16:03:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 3793 started at 2023-04-26 16:03:02
(3785|3785) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #3


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 3809
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l infinite -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 3816 started at 2023-04-26 16:03:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 3817 started at 2023-04-26 16:03:12
(3809|3809) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #4


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 3832
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l infinite -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 3839 started at 2023-04-26 16:03:23
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l infinite -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 3840 started at 2023-04-26 16:03:23
(3832|3832) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #5


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 3863
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 3870 started at 2023-04-26 16:03:33
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 3871 started at 2023-04-26 16:03:33
(3863|3863) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #6


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 3886
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 3893 started at 2023-04-26 16:03:47
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l infinite -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 3894 started at 2023-04-26 16:03:47
(3886|3886) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #7


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 3910
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 3917 started at 2023-04-26 16:03:57
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 3918 started at 2023-04-26 16:03:57
(3910|3910) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #8


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 3934
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 3941 started at 2023-04-26 16:04:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r best_effort -l 5 -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 3942 started at 2023-04-26 16:04:11
(3934|3934) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #9


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 3960
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 3967 started at 2023-04-26 16:04:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d volatile -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 3968 started at 2023-04-26 16:04:24
(3960|3960) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/CompatibilityTest/run_test.pl Time:106s Result:0

==============================================================================

tests/DCPS/CompatibilityTest/run_test.pl rtps_disc #

Test #1


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c true -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 3992 started at 2023-04-26 16:04:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 3993 started at 2023-04-26 16:04:38
test PASSED.


Test #2


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 7 -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4004 started at 2023-04-26 16:04:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4005 started at 2023-04-26 16:04:48
test PASSED.


Test #3


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l infinite -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4016 started at 2023-04-26 16:04:58
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4017 started at 2023-04-26 16:04:58
test PASSED.


Test #4


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l infinite -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4028 started at 2023-04-26 16:05:08
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l infinite -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4029 started at 2023-04-26 16:05:08
test PASSED.


Test #5


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4042 started at 2023-04-26 16:05:18
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4043 started at 2023-04-26 16:05:18
test PASSED.


Test #6


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4054 started at 2023-04-26 16:05:28
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l infinite -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4055 started at 2023-04-26 16:05:28
test PASSED.


Test #7


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4075 started at 2023-04-26 16:05:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4076 started at 2023-04-26 16:05:38
test PASSED.


Test #8


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4087 started at 2023-04-26 16:05:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r best_effort -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4088 started at 2023-04-26 16:05:48
test PASSED.


Test #9


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4099 started at 2023-04-26 16:05:59
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d volatile -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4100 started at 2023-04-26 16:05:59
test PASSED.

auto_run_tests_finished: tests/DCPS/CompatibilityTest/run_test.pl rtps_disc Time:92s Result:0

==============================================================================

tests/DCPS/CompatibilityTest/run_test.pl rtps_disc_tcp #

Test #1


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c true -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4114 started at 2023-04-26 16:06:09
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4115 started at 2023-04-26 16:06:09
test PASSED.


Test #2


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 7 -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4126 started at 2023-04-26 16:06:19
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4127 started at 2023-04-26 16:06:19
test PASSED.


Test #3


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l infinite -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4147 started at 2023-04-26 16:06:29
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4148 started at 2023-04-26 16:06:29
test PASSED.


Test #4


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l infinite -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4160 started at 2023-04-26 16:06:39
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l infinite -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4161 started at 2023-04-26 16:06:39
test PASSED.


Test #5


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4173 started at 2023-04-26 16:06:49
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4174 started at 2023-04-26 16:06:49
test PASSED.


Test #6


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4185 started at 2023-04-26 16:07:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l infinite -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4186 started at 2023-04-26 16:07:00
test PASSED.


Test #7


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4197 started at 2023-04-26 16:07:10
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4198 started at 2023-04-26 16:07:10
test PASSED.


Test #8


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4209 started at 2023-04-26 16:07:20
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r best_effort -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4210 started at 2023-04-26 16:07:20
test PASSED.


Test #9


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4229 started at 2023-04-26 16:07:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d volatile -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4230 started at 2023-04-26 16:07:30
test PASSED.

auto_run_tests_finished: tests/DCPS/CompatibilityTest/run_test.pl rtps_disc_tcp Time:91s Result:0

==============================================================================

tests/DCPS/Partition/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 4244
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Partition/publisher -ORBLogFile test_run.data -DCPSPendingTimeout 3 
pub PID: 4251 started at 2023-04-26 16:07:40
(4244|4244) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Partition/subscriber  -DCPSPendingTimeout 3 
sub PID: 4260 started at 2023-04-26 16:07:42
(4260|4260) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(4260|4266) DataReaderListener::on_subscription_matched
(4260|4266) Requested Partition
Partition	Name
=========	====
** Zero length partition name sequence (default) **
(4260|4260) DataReaderListener::on_subscription_matched
(4260|4260) Requested Partition
Partition	Name
=========	====
** Zero length partition name sequence (default) **
(4260|4262) DataReaderListener::on_subscription_matched
(4260|4262) Requested Partition
Partition	Name
=========	====
 0		Amadeus
 1		Wun1Two23Tree
(4260|4260) DataReaderListener::on_subscription_matched
(4260|4260) Requested Partition
Partition	Name
=========	====
 0		Amadeus
 1		Wun1Two23Tree
(4260|4262) DataReaderListener::on_subscription_matched
(4260|4262) Requested Partition
Partition	Name
=========	====
 0		ZiggieStardust
(4260|4262) DataReaderListener::on_subscription_matched
(4260|4262) Requested Partition
Partition	Name
=========	====
 0		""
(4260|4260) DataReaderListener::on_subscription_matched
(4260|4260) Requested Partition
Partition	Name
=========	====
 0		""
(4260|4262) DataReaderListener::on_subscription_matched
(4260|4262) Requested Partition
Partition	Name
=========	====
 0		*
(4260|4262) DataReaderListener::on_subscription_matched
(4260|4262) Requested Partition
Partition	Name
=========	====
 0		*
(4260|4262) DataReaderListener::on_subscription_matched
(4260|4262) Requested Partition
Partition	Name
=========	====
 0		*
(4260|4262) DataReaderListener::on_subscription_matched
(4260|4262) Requested Partition
Partition	Name
=========	====
 0		*
(4260|4261) DataReaderListener::on_subscription_matched
(4260|4261) Requested Partition
Partition	Name
=========	====
** Zero length partition name sequence (default) **
(4260|4261) DataReaderListener::on_subscription_matched
(4260|4261) Requested Partition
Partition	Name
=========	====
 0		Amadeus
 1		Wun1Two23Tree
(4260|4261) DataReaderListener::on_subscription_matched
(4260|4261) Requested Partition
Partition	Name
=========	====
 0		ZiggieStardust
(4260|4261) DataReaderListener::on_subscription_matched
(4260|4261) Requested Partition
Partition	Name
=========	====
 0		""
(4260|4261) DataReaderListener::on_subscription_matched
(4260|4261) Requested Partition
Partition	Name
=========	====
 0		*
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Partition/run_test.pl Time:21s Result:0

==============================================================================

tests/DCPS/Deadline/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 4270
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Deadline/publisher -DCPSConfigFile pub.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4277 started at 2023-04-26 16:08:01
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Deadline/subscriber -DCPSConfigFile sub.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4278 started at 2023-04-26 16:08:01
(4270|4270) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Deadline/run_test.pl Time:27s Result:0

==============================================================================

tests/DCPS/Deadline/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Deadline/publisher -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4296 started at 2023-04-26 16:08:28
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Deadline/subscriber -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4297 started at 2023-04-26 16:08:28
test PASSED.

auto_run_tests_finished: tests/DCPS/Deadline/run_test.pl rtps_disc Time:29s Result:0

==============================================================================

tests/DCPS/SetQosDeadline/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 4320
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosDeadline/publisher -DCPSConfigFile pub.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4327 started at 2023-04-26 16:08:57
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosDeadline/subscriber -DCPSConfigFile sub.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4328 started at 2023-04-26 16:08:57
(4320|4320) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
DataReaderListenerImpl[0xa743f0]::on_subscription_matched tc=1 tcc=1 cc=1 ccc=1
DataReaderListenerImpl::on_requested_incompatible_qos
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
instance is unregistered
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl[0xa743f0]::on_subscription_matched tc=1 tcc=0 cc=0 ccc=-1
DataReaderListenerImpl::on_subscription_lost
DataReaderListenerImpl[0xa743f0]::on_subscription_matched tc=2 tcc=1 cc=1 ccc=1
DataReaderListenerImpl[0xab5960]::on_subscription_matched tc=1 tcc=1 cc=1 ccc=1
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 1
  total_count_change = 1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 2
  total_count_change = 1
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 3
  total_count_change = 1
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 1
  total_count_change = 1
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 4
  total_count_change = 1
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl[0xa743f0]::on_subscription_matched tc=2 tcc=0 cc=0 ccc=-1
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl[0xab5960]::on_subscription_matched tc=1 tcc=0 cc=0 ccc=-1
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/SetQosDeadline/run_test.pl Time:20s Result:0

==============================================================================

tests/DCPS/SetQosDeadline/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosDeadline/publisher -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4351 started at 2023-04-26 16:09:18
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosDeadline/subscriber -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4352 started at 2023-04-26 16:09:18
DataReaderListenerImpl::on_requested_incompatible_qos
DataReaderListenerImpl[0x266c940]::on_subscription_matched tc=1 tcc=1 cc=1 ccc=1
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
instance is unregistered
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl[0x266c940]::on_subscription_matched tc=1 tcc=0 cc=0 ccc=-1
DataReaderListenerImpl[0x266c940]::on_subscription_matched tc=2 tcc=1 cc=1 ccc=1
DataReaderListenerImpl[0x2683f00]::on_subscription_matched tc=1 tcc=1 cc=1 ccc=1
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 1
  total_count_change = 1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 2
  total_count_change = 1
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 3
  total_count_change = 1
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 1
  total_count_change = 1
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 4
  total_count_change = 1
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl[0x266c940]::on_subscription_matched tc=2 tcc=0 cc=0 ccc=-1
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl[0x2683f00]::on_subscription_matched tc=1 tcc=0 cc=0 ccc=-1
test PASSED.

auto_run_tests_finished: tests/DCPS/SetQosDeadline/run_test.pl rtps_disc Time:23s Result:0

==============================================================================

tests/DCPS/SetQosPartition/run_test.pl ini=inforepo_tcp.ini #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 4374
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosPartition/pubsub -DCPSPendingTimeout 0 -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pubsub.log -DCPSConfigFile inforepo_tcp.ini 
pubsub PID: 4381 started at 2023-04-26 16:09:40
(4374|4374) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Pub waiting for match on partition A
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
instance is unregistered
Pub waiting for match on partition B
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 10
         text       = Worst. Movie. Ever.
Pub waiting for additional match on partition B
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber = Subscriber_1Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
instance is unregistered

SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
instance is unregistered
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/SetQosPartition/run_test.pl ini=inforepo_tcp.ini Time:0s Result:0

==============================================================================

tests/DCPS/SetQosPartition/run_test.pl ini=rtps_rtps.ini #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosPartition/pubsub -DCPSPendingTimeout 0 -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pubsub.log -DCPSConfigFile rtps_rtps.ini 
pubsub PID: 4396 started at 2023-04-26 16:09:41
Pub waiting for match on partition A
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
instance is unregistered
Pub waiting for match on partition B
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 10
         text       = Worst. Movie. Ever.
Pub waiting for additional match on partition B
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
instance is disposed
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
instance is disposed
test PASSED.

auto_run_tests_finished: tests/DCPS/SetQosPartition/run_test.pl ini=rtps_rtps.ini Time:5s Result:0

==============================================================================

tests/DCPS/SetQosPartition/run_test.pl ini=rtps_tcp.ini #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosPartition/pubsub -DCPSPendingTimeout 0 -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pubsub.log -DCPSConfigFile rtps_tcp.ini 
pubsub PID: 4410 started at 2023-04-26 16:09:45
Pub waiting for match on partition A
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
instance is unregistered
Pub waiting for match on partition B
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 10
         text       = Worst. Movie. Ever.
Pub waiting for additional match on partition B
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
instance is disposed
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
instance is disposed
test PASSED.

auto_run_tests_finished: tests/DCPS/SetQosPartition/run_test.pl ini=rtps_tcp.ini Time:2s Result:0

==============================================================================

tests/DCPS/StringKey/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 4424
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StringKey/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub.log -DCPSPendingTimeout 3 
pub PID: 4431 started at 2023-04-26 16:09:47
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StringKey/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub.log -DCPSPendingTimeout 3 
sub PID: 4432 started at 2023-04-26 16:09:47
(4424|4424) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
HANDLE CHANGED: previous handle = 0
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/StringKey/run_test.pl Time:2s Result:0

==============================================================================

tests/DCPS/GuardCondition/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/GuardCondition/GuardConditionTest  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile GuardConditionTest.log -DCPSPendingTimeout 3 
GuardConditionTest PID: 4449 started at 2023-04-26 16:09:49
test PASSED.

auto_run_tests_finished: tests/DCPS/GuardCondition/run_test.pl Time:9s Result:0

==============================================================================

tests/DCPS/ReadCondition/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -NOBITS -DCPSPendingTimeout 3 
InfoRepo PID: 4455
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReadCondition/ReadConditionTest -DCPSConfigFile dcps.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile rc.log -DCPSBit 0  -DCPSPendingTimeout 3 
rc PID: 4462 started at 2023-04-26 16:09:58
wait returned
took sample 1
took sample 2
took sample 3
testing take_next_instance_w_condition
took sample 4
took sample 5
took sample 6
took sample 7
took sample 8
took sample 9
took sample 10
took sample 11
wait returned
an instance has been disposed, exiting
wait returned
took sample 1
took sample 2
took sample 3
testing take_instance_w_condition
wait returned
took sample 4
took sample 5
took sample 6
testing take_instance_w_condition
wait returned
took sample 7
took sample 8
took sample 9
testing take_instance_w_condition
wait returned
took sample 10
took sample 11
testing take_instance_w_condition
wait returned
wait returned
an instance has been disposed, exiting
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ReadCondition/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/RegisterInstance/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RegisterInstance/register_instance_test -DCPSConfigFile rtps_disc.ini -ORBLogFile output.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
register_instance_test PID: 4468 started at 2023-04-26 16:09:59
test PASSED.

auto_run_tests_finished: tests/DCPS/RegisterInstance/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/FileSystemStorage/run_test.pl #

encoded {} =>	{}
encoded {f} =>	{CO======}
encoded {fo} =>	{CPNG====}
encoded {foo} =>	{CPNMU===}
encoded {foob} =>	{CPNMUOG=}
encoded {fooba} =>	{CPNMUOJ1}
encoded {foobar} =>	{CPNMUOJ1E8======}
encoded {The[quIck]brOwn-fox?jumPes\oVer The/lazy dog!} =>	{AHK6AMRHEL4M6QQTC9P4UTRE5LJ6UU1VD9QMQK35EDE6ULJ5E8G58Q355TM62UJP41I6UPP1}
Testing file and directory operations...
...done

auto_run_tests_finished: tests/DCPS/FileSystemStorage/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/LatencyBudget/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 4477
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LatencyBudget/publisher  -ORBLogFile test_run.data -DCPSPendingTimeout 3 
pub PID: 4484 started at 2023-04-26 16:09:59
(4477|4477) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LatencyBudget/subscriber  -DCPSPendingTimeout 3 
sub PID: 4499 started at 2023-04-26 16:10:02
(4499|4499) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/LatencyBudget/run_test.pl Time:31s Result:0

==============================================================================

tests/DCPS/LatencyBudget/run_test.pl late #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 4518
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LatencyBudget/publisher -o 10 -ORBLogFile test_run.data -DCPSPendingTimeout 3 
pub PID: 4525 started at 2023-04-26 16:10:30
(4518|4518) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LatencyBudget/subscriber -l 10 -DCPSPendingTimeout 3 
sub PID: 4535 started at 2023-04-26 16:10:32
(4535|4535) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 1
       max = 10.0014
       min = 10.0014
      mean = 10.0014
  variance = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 2
       max = 10.0022
       min = 10.0014
      mean = 10.0018
  variance = 1.58404e-07
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 3
       max = 10.0121
       min = 10.0014
      mean = 10.0052
  variance = 2.3929e-05
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 4
       max = 10.0126
       min = 10.0014
      mean = 10.0071
  variance = 2.83302e-05
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 5
       max = 10.0131
       min = 10.0014
      mean = 10.0083
  variance = 2.83959e-05
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 6
       max = 10.0135
       min = 10.0014
      mean = 10.0091
  variance = 2.74133e-05
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 7
       max = 10.0139
       min = 10.0014
      mean = 10.0098
  variance = 2.62752e-05
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 8
       max = 10.0143
       min = 10.0014
      mean = 10.0104
  variance = 2.51759e-05
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 9
       max = 10.0147
       min = 10.0014
      mean = 10.0109
  variance = 2.42321e-05
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 10
       max = 10.0151
       min = 10.0014
      mean = 10.0113
  variance = 2.34419e-05
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/LatencyBudget/run_test.pl late Time:30s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl single #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 4543
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1 -n 1 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 4550 started at 2023-04-26 16:11:01
(4550|4550) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(4550|4550) -> Thrasher started
(4550|4550) -> Subscriber::Subscriber
(4543|4543) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(4550|4550) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(4550|4550)    Subscriber reader id: 01030000.14016a46.00000002.00000507(6fa0654c)
(4550|4550) -> PublisherService::PublisherService
(4550|4550) -> PublisherService::start (1 threads)
(4550|4550) sub wait_received 0:1
(4550|4559) pub0  writer id: 01030000.14016a46.00000003.00000102(46c67d77)
(4550|4559) pub0->started
(4550|4559) pub0->wait_match() before write for 01030000.14016a46.00000003.00000102(46c67d77)
(4550|4559) pub0<-match found! before write for 01030000.14016a46.00000003.00000102(46c67d77)
(4550|4558)  sub 100% (1 samples received)
(4550|4558) sub condition_.notify_all
(4550|4550) sub condition_.wait returned
(4550|4550) sub check_received
(4550|4550) sub check_received returns 0
(4550|4550) <- PublisherService::end
(4550|4559) pub0  100% (1 samples sent)
(4550|4559) pub0  waiting for acks
(4550|4559) pub0  waiting for acks returned
(4550|4559) pub0<-delete_contained_entities
(4550|4559) pub0<-delete_participant
(4550|4550) <- PublisherService::~PublisherService
(4550|4550) <- Subscriber delete_contained_entities
(4550|4550) <- Subscriber delete_participant
(4550|4550) <- Subscriber::~Subscriber
(4550|4550) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl single Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl double #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 4561
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 2 -s 1 -n 2 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 4568 started at 2023-04-26 16:11:01
(4568|4568) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(4568|4568) -> Thrasher started
(4568|4568) -> Subscriber::Subscriber
(4561|4561) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(4568|4568) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(4568|4568)    Subscriber reader id: 01030000.57f8e06e.00000002.00000507(12dde0d6)
(4568|4568) -> PublisherService::PublisherService
(4568|4568) -> PublisherService::start (2 threads)
(4568|4568) sub wait_received 0:2
(4568|4577) pub0  writer id: 01030000.57f8e06e.00000003.00000102(3bbbf8ed)
(4568|4577) pub0->started
(4568|4577) pub0->wait_match() before write for 01030000.57f8e06e.00000003.00000102(3bbbf8ed)
(4568|4577) pub0<-match found! before write for 01030000.57f8e06e.00000003.00000102(3bbbf8ed)
(4568|4577) pub0  100% (1 samples sent)
(4568|4577) pub0  waiting for acks
(4568|4576)  sub 50% (1 samples received)
(4568|4577) pub0  waiting for acks returned
(4568|4577) pub0<-delete_contained_entities
(4568|4578) pub1  writer id: 01030000.57f8e06e.00000004.00000102(899b24fd)
(4568|4578) pub1->started
(4568|4578) pub1->wait_match() before write for 01030000.57f8e06e.00000004.00000102(899b24fd)
(4568|4578) pub1<-match found! before write for 01030000.57f8e06e.00000004.00000102(899b24fd)
(4568|4578) pub1  100% (1 samples sent)
(4568|4578) pub1  waiting for acks
(4568|4576)  sub 100% (2 samples received)
(4568|4576) sub condition_.notify_all
(4568|4568) sub condition_.wait returned
(4568|4568) sub check_received
(4568|4568) sub check_received returns 0
(4568|4568) <- PublisherService::end
(4568|4578) pub1  waiting for acks returned
(4568|4578) pub1<-delete_contained_entities
(4568|4577) pub0<-delete_participant
(4568|4578) pub1<-delete_participant
(4568|4568) <- PublisherService::~PublisherService
(4568|4568) <- Subscriber delete_contained_entities
(4568|4568) <- Subscriber delete_participant
(4568|4568) <- Subscriber::~Subscriber
(4568|4568) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl double Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl triangle #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 4580
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 3 -s 3 -n 9 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 4587 started at 2023-04-26 16:11:02
(4587|4587) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(4587|4587) -> Thrasher started
(4587|4587) -> Subscriber::Subscriber
(4580|4580) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(4587|4587) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(4587|4587)    Subscriber reader id: 01030000.16dba764.00000002.00000507(4f2d64d8)
(4587|4587) -> PublisherService::PublisherService
(4587|4587) -> PublisherService::start (3 threads)
(4587|4587) sub wait_received 0:3
(4587|4598) pub1  writer id: 01030000.16dba764.00000004.00000102(d46ba0f3)
(4587|4598) pub1->started
(4587|4598) pub1->wait_match() before write for 01030000.16dba764.00000004.00000102(d46ba0f3)
(4587|4598) pub1<-match found! before write for 01030000.16dba764.00000004.00000102(d46ba0f3)
(4587|4598) pub1  33% (1 samples sent)
(4587|4598) pub1  66% (2 samples sent)
(4587|4595)  sub 11% (1 samples received)
(4587|4598) pub1  100% (3 samples sent)
(4587|4598) pub1  waiting for acks
(4587|4595)  sub 22% (2 samples received)
(4587|4595)  sub 33% (3 samples received)
(4587|4598) pub1  waiting for acks returned
(4587|4598) pub1<-delete_contained_entities
(4587|4596) pub0  writer id: 01030000.16dba764.00000003.00000102(664b7ce3)
(4587|4596) pub0->started
(4587|4596) pub0->wait_match() before write for 01030000.16dba764.00000003.00000102(664b7ce3)
(4587|4596) pub0<-match found! before write for 01030000.16dba764.00000003.00000102(664b7ce3)
(4587|4596) pub0  33% (1 samples sent)
(4587|4596) pub0  66% (2 samples sent)
(4587|4596) pub0  100% (3 samples sent)
(4587|4596) pub0  waiting for acks
(4587|4595)  sub 44% (4 samples received)
(4587|4595)  sub 55% (5 samples received)
(4587|4595)  sub 66% (6 samples received)
(4587|4596) pub0  waiting for acks returned
(4587|4596) pub0<-delete_contained_entities
(4587|4597) pub2  writer id: 01030000.16dba764.00000005.00000102(e90b8943)
(4587|4597) pub2->started
(4587|4597) pub2->wait_match() before write for 01030000.16dba764.00000005.00000102(e90b8943)
(4587|4597) pub2<-match found! before write for 01030000.16dba764.00000005.00000102(e90b8943)
(4587|4597) pub2  33% (1 samples sent)
(4587|4595)  sub 77% (7 samples received)
(4587|4595) sub condition_.notify_all
(4587|4587) sub condition_.wait returned
(4587|4587) sub check_received
(4587|4587) sub check_received returns 0
(4587|4587) <- PublisherService::end
(4587|4597) pub2  66% (2 samples sent)
(4587|4597) pub2  100% (3 samples sent)
(4587|4597) pub2  waiting for acks
(4587|4595)  sub 88% (8 samples received)
(4587|4595) sub condition_.notify_all
(4587|4595)  sub 100% (9 samples received)
(4587|4595) sub condition_.notify_all
(4587|4597) pub2  waiting for acks returned
(4587|4597) pub2<-delete_contained_entities
(4587|4598) pub1<-delete_participant
(4587|4596) pub0<-delete_participant
(4587|4597) pub2<-delete_participant
(4587|4587) <- PublisherService::~PublisherService
(4587|4587) <- Subscriber delete_contained_entities
(4587|4587) <- Subscriber delete_participant
(4587|4587) <- Subscriber::~Subscriber
(4587|4587) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl triangle Time:0s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl default #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 4600
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1024 -n 1024 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 4607 started at 2023-04-26 16:11:03
(4607|4607) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(4607|4607) -> Thrasher started
(4607|4607) -> Subscriber::Subscriber
(4600|4600) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(4607|4607) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(4607|4607)    Subscriber reader id: 01030000.75f6ded8.00000002.00000507(f9e5852b)
(4607|4607) -> PublisherService::PublisherService
(4607|4607) -> PublisherService::start (1 threads)
(4607|4607) sub wait_received 0:1
(4607|4616) pub0  writer id: 01030000.75f6ded8.00000003.00000102(d0839d10)
(4607|4616) pub0->started
(4607|4616) pub0->wait_match() before write for 01030000.75f6ded8.00000003.00000102(d0839d10)
(4607|4616) pub0<-match found! before write for 01030000.75f6ded8.00000003.00000102(d0839d10)
(4607|4615) sub condition_.notify_all
(4607|4607) sub condition_.wait returned
(4607|4607) sub check_received
(4607|4607) sub check_received returns 0
(4607|4607) <- PublisherService::end
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4616) pub0  10% (103 samples sent)
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4616) pub0  20% (205 samples sent)
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615)  sub 10% (103 samples received)
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4616) pub0  30% (308 samples sent)
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4616) pub0  40% (410 samples sent)
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615)  sub 20% (205 samples received)
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4616) pub0  50% (512 samples sent)
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4616) pub0  60% (615 samples sent)
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615)  sub 30% (308 samples received)
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4616) pub0  70% (717 samples sent)
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4616) pub0  80% (820 samples sent)
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4616) pub0  90% (922 samples sent)
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615)  sub 40% (410 samples received)
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4616) pub0  100% (1024 samples sent)
(4607|4616) pub0  waiting for acks
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615)  sub 50% (512 samples received)
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615)  sub 60% (615 samples received)
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615)  sub 70% (717 samples received)
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615)  sub 80% (820 samples received)
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615)  sub 90% (922 samples received)
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615) sub condition_.notify_all
(4607|4615)  sub 100% (1024 samples received)
(4607|4615) sub condition_.notify_all
(4607|4616) pub0  waiting for acks returned
(4607|4616) pub0<-delete_contained_entities
(4607|4616) pub0<-delete_participant
(4607|4607) <- PublisherService::~PublisherService
(4607|4607) <- Subscriber delete_contained_entities
(4607|4607) <- Subscriber delete_participant
(4607|4607) <- Subscriber::~Subscriber
(4607|4607) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl default Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl low #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 4618
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 8 -s 128 -n 1024 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 4625 started at 2023-04-26 16:11:03
(4625|4625) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(4625|4625) -> Thrasher started
(4625|4625) -> Subscriber::Subscriber
(4618|4618) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(4625|4625) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(4625|4625)    Subscriber reader id: 01030000.34709efc.00000002.00000507(8cd05567)
(4625|4625) -> PublisherService::PublisherService
(4625|4625) -> PublisherService::start (8 threads)
(4625|4625) sub wait_received 0:8
(4625|4634) pub5  writer id: 01030000.34709efc.00000006.00000102(6d56c22c)
(4625|4634) pub5->started
(4625|4634) pub5->wait_match() before write for 01030000.34709efc.00000006.00000102(6d56c22c)
(4625|4634) pub5<-match found! before write for 01030000.34709efc.00000006.00000102(6d56c22c)
(4625|4634) pub5  10% (13 samples sent)
(4625|4634) pub5  20% (26 samples sent)
(4625|4634) pub5  30% (39 samples sent)
(4625|4635) pub1  writer id: 01030000.34709efc.00000003.00000102(a5b64d5c)
(4625|4635) pub1->started
(4625|4635) pub1->wait_match() before write for 01030000.34709efc.00000003.00000102(a5b64d5c)
(4625|4634) pub5  40% (52 samples sent)
(4625|4634) pub5  50% (64 samples sent)
(4625|4634) pub5  60% (77 samples sent)
(4625|4635) pub1<-match found! before write for 01030000.34709efc.00000003.00000102(a5b64d5c)
(4625|4635) pub1  10% (13 samples sent)
(4625|4635) pub1  20% (26 samples sent)
(4625|4637) pub2  writer id: 01030000.34709efc.00000004.00000102(1796914c)
(4625|4637) pub2->started
(4625|4637) pub2->wait_match() before write for 01030000.34709efc.00000004.00000102(1796914c)
(4625|4634) pub5  70% (90 samples sent)
(4625|4634) pub5  80% (103 samples sent)
(4625|4635) pub1  30% (39 samples sent)
(4625|4638) pub0  writer id: 01030000.34709efc.00000005.00000102(2af6b8fc)
(4625|4638) pub0->started
(4625|4638) pub0->wait_match() before write for 01030000.34709efc.00000005.00000102(2af6b8fc)
(4625|4640) pub4  writer id: 01030000.34709efc.00000008.00000102(d2667c4d)
(4625|4640) pub4->started
(4625|4640) pub4->wait_match() before write for 01030000.34709efc.00000008.00000102(d2667c4d)
(4625|4635) pub1  40% (52 samples sent)
(4625|4635) pub1  50% (64 samples sent)
(4625|4634) pub5  90% (116 samples sent)
(4625|4634) pub5  100% (128 samples sent)
(4625|4634) pub5  waiting for acks
(4625|4635) pub1  60% (77 samples sent)
(4625|4637) pub2<-match found! before write for 01030000.34709efc.00000004.00000102(1796914c)
(4625|4637) pub2  10% (13 samples sent)
(4625|4637) pub2  20% (26 samples sent)
(4625|4639) pub6  writer id: 01030000.34709efc.00000009.00000102(ef0655fd)
(4625|4639) pub6->started
(4625|4639) pub6->wait_match() before write for 01030000.34709efc.00000009.00000102(ef0655fd)
(4625|4638) pub0<-match found! before write for 01030000.34709efc.00000005.00000102(2af6b8fc)
(4625|4635) pub1  70% (90 samples sent)
(4625|4638) pub0  10% (13 samples sent)
(4625|4637) pub2  30% (39 samples sent)
(4625|4640) pub4<-match found! before write for 01030000.34709efc.00000008.00000102(d2667c4d)
(4625|4640) pub4  10% (13 samples sent)
(4625|4637) pub2  40% (52 samples sent)
(4625|4633)  sub 10% (103 samples received)
(4625|4640) pub4  20% (26 samples sent)
(4625|4640) pub4  30% (39 samples sent)
(4625|4640) pub4  40% (52 samples sent)
(4625|4638) pub0  20% (26 samples sent)
(4625|4638) pub0  30% (39 samples sent)
(4625|4638) pub0  40% (52 samples sent)
(4625|4638) pub0  50% (64 samples sent)
(4625|4635) pub1  80% (103 samples sent)
(4625|4635) pub1  90% (116 samples sent)
(4625|4637) pub2  50% (64 samples sent)
(4625|4641) pub7  writer id: 01030000.34709efc.0000000a.00000102(a8a62f2d)
(4625|4641) pub7->started
(4625|4641) pub7->wait_match() before write for 01030000.34709efc.0000000a.00000102(a8a62f2d)
(4625|4637) pub2  60% (77 samples sent)
(4625|4637) pub2  70% (90 samples sent)
(4625|4637) pub2  80% (103 samples sent)
(4625|4637) pub2  90% (116 samples sent)
(4625|4635) pub1  100% (128 samples sent)
(4625|4635) pub1  waiting for acks
(4625|4640) pub4  50% (64 samples sent)
(4625|4636) pub3  writer id: 01030000.34709efc.00000007.00000102(5036eb9c)
(4625|4636) pub3->started
(4625|4636) pub3->wait_match() before write for 01030000.34709efc.00000007.00000102(5036eb9c)
(4625|4638) pub0  60% (77 samples sent)
(4625|4638) pub0  70% (90 samples sent)
(4625|4640) pub4  60% (77 samples sent)
(4625|4640) pub4  70% (90 samples sent)
(4625|4640) pub4  80% (103 samples sent)
(4625|4637) pub2  100% (128 samples sent)
(4625|4637) pub2  waiting for acks
(4625|4638) pub0  80% (103 samples sent)
(4625|4638) pub0  90% (116 samples sent)
(4625|4638) pub0  100% (128 samples sent)
(4625|4638) pub0  waiting for acks
(4625|4640) pub4  90% (116 samples sent)
(4625|4640) pub4  100% (128 samples sent)
(4625|4640) pub4  waiting for acks
(4625|4633)  sub 20% (205 samples received)
(4625|4639) pub6<-match found! before write for 01030000.34709efc.00000009.00000102(ef0655fd)
(4625|4641) pub7<-match found! before write for 01030000.34709efc.0000000a.00000102(a8a62f2d)
(4625|4636) pub3<-match found! before write for 01030000.34709efc.00000007.00000102(5036eb9c)
(4625|4634) pub5  waiting for acks returned
(4625|4634) pub5<-delete_contained_entities
(4625|4639) pub6  10% (13 samples sent)
(4625|4641) pub7  10% (13 samples sent)
(4625|4639) pub6  20% (26 samples sent)
(4625|4636) pub3  10% (13 samples sent)
(4625|4636) pub3  20% (26 samples sent)
(4625|4641) pub7  20% (26 samples sent)
(4625|4639) pub6  30% (39 samples sent)
(4625|4641) pub7  30% (39 samples sent)
(4625|4641) pub7  40% (52 samples sent)
(4625|4636) pub3  30% (39 samples sent)
(4625|4636) pub3  40% (52 samples sent)
(4625|4639) pub6  40% (52 samples sent)
(4625|4639) pub6  50% (64 samples sent)
(4625|4641) pub7  50% (64 samples sent)
(4625|4641) pub7  60% (77 samples sent)
(4625|4641) pub7  70% (90 samples sent)
(4625|4641) pub7  80% (103 samples sent)
(4625|4639) pub6  60% (77 samples sent)
(4625|4634) pub5<-delete_participant
(4625|4639) pub6  70% (90 samples sent)
(4625|4636) pub3  50% (64 samples sent)
(4625|4636) pub3  60% (77 samples sent)
(4625|4639) pub6  80% (103 samples sent)
(4625|4639) pub6  90% (116 samples sent)
(4625|4639) pub6  100% (128 samples sent)
(4625|4639) pub6  waiting for acks
(4625|4641) pub7  90% (116 samples sent)
(4625|4636) pub3  70% (90 samples sent)
(4625|4641) pub7  100% (128 samples sent)
(4625|4641) pub7  waiting for acks
(4625|4636) pub3  80% (103 samples sent)
(4625|4636) pub3  90% (116 samples sent)
(4625|4636) pub3  100% (128 samples sent)
(4625|4636) pub3  waiting for acks
(4625|4633)  sub 30% (308 samples received)
(4625|4633)  sub 40% (410 samples received)
(4625|4633)  sub 50% (512 samples received)
(4625|4633)  sub 60% (615 samples received)
(4625|4635) pub1  waiting for acks returned
(4625|4635) pub1<-delete_contained_entities
(4625|4637) pub2  waiting for acks returned
(4625|4637) pub2<-delete_contained_entities
(4625|4638) pub0  waiting for acks returned
(4625|4638) pub0<-delete_contained_entities
(4625|4640) pub4  waiting for acks returned
(4625|4640) pub4<-delete_contained_entities
(4625|4633) sub condition_.notify_all
(4625|4625) sub condition_.wait returned
(4625|4625) sub check_received
(4625|4625) sub check_received returns 0
(4625|4625) <- PublisherService::end
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4635) pub1<-delete_participant
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4637) pub2<-delete_participant
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633)  sub 70% (717 samples received)
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4638) pub0<-delete_participant
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4640) pub4<-delete_participant
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633)  sub 80% (820 samples received)
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633)  sub 90% (922 samples received)
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633) sub condition_.notify_all
(4625|4633)  sub 100% (1024 samples received)
(4625|4633) sub condition_.notify_all
(4625|4639) pub6  waiting for acks returned
(4625|4639) pub6<-delete_contained_entities
(4625|4636) pub3  waiting for acks returned
(4625|4636) pub3<-delete_contained_entities
(4625|4641) pub7  waiting for acks returned
(4625|4641) pub7<-delete_contained_entities
(4625|4636) pub3<-delete_participant
(4625|4641) pub7<-delete_participant
(4625|4639) pub6<-delete_participant
(4625|4625) <- PublisherService::~PublisherService
(4625|4625) <- Subscriber delete_contained_entities
(4625|4625) <- Subscriber delete_participant
(4625|4625) <- Subscriber::~Subscriber
(4625|4625) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl low Time:2s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl medium #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 4643
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 16 -s 64 -n 1024 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 4651 started at 2023-04-26 16:11:05
(4651|4651) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(4651|4651) -> Thrasher started
(4651|4651) -> Subscriber::Subscriber
(4643|4643) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(4651|4651) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(4651|4651)    Subscriber reader id: 01030000.018963bf.00000002.00000507(f0797571)
(4651|4651) -> PublisherService::PublisherService
(4651|4651) -> PublisherService::start (16 threads)
(4651|4651) sub wait_received 0:16
(4651|4670) pub11  writer id: 01030000.018963bf.00000011.00000102(c33fa9a8)
(4651|4670) pub11->started
(4651|4670) pub11->wait_match() before write for 01030000.018963bf.00000011.00000102(c33fa9a8)
(4651|4670) pub11<-match found! before write for 01030000.018963bf.00000011.00000102(c33fa9a8)
(4651|4670) pub11  10% (7 samples sent)
(4651|4660) pub0  writer id: 01030000.018963bf.00000003.00000102(d91f6d4a)
(4651|4660) pub0->started
(4651|4660) pub0->wait_match() before write for 01030000.018963bf.00000003.00000102(d91f6d4a)
(4651|4660) pub0<-match found! before write for 01030000.018963bf.00000003.00000102(d91f6d4a)
(4651|4660) pub0  10% (7 samples sent)
(4651|4670) pub11  20% (13 samples sent)
(4651|4670) pub11  31% (20 samples sent)
(4651|4670) pub11  40% (26 samples sent)
(4651|4660) pub0  20% (13 samples sent)
(4651|4660) pub0  31% (20 samples sent)
(4651|4660) pub0  40% (26 samples sent)
(4651|4670) pub11  50% (32 samples sent)
(4651|4670) pub11  60% (39 samples sent)
(4651|4660) pub0  50% (32 samples sent)
(4651|4660) pub0  60% (39 samples sent)
(4651|4660) pub0  70% (45 samples sent)
(4651|4660) pub0  81% (52 samples sent)
(4651|4660) pub0  90% (58 samples sent)
(4651|4660) pub0  100% (64 samples sent)
(4651|4660) pub0  waiting for acks
(4651|4670) pub11  70% (45 samples sent)
(4651|4670) pub11  81% (52 samples sent)
(4651|4670) pub11  90% (58 samples sent)
(4651|4670) pub11  100% (64 samples sent)
(4651|4670) pub11  waiting for acks
(4651|4669) pub5  writer id: 01030000.018963bf.0000000d.00000102(662fd32b)
(4651|4669) pub5->started
(4651|4669) pub5->wait_match() before write for 01030000.018963bf.0000000d.00000102(662fd32b)
(4651|4668) pub13  writer id: 01030000.018963bf.00000008.00000102(aecf5c5b)
(4651|4668) pub13->started
(4651|4668) pub13->wait_match() before write for 01030000.018963bf.00000008.00000102(aecf5c5b)
(4651|4671) pub12  writer id: 01030000.018963bf.0000000b.00000102(e96f268b)
(4651|4671) pub12->started
(4651|4671) pub12->wait_match() before write for 01030000.018963bf.0000000b.00000102(e96f268b)
(4651|4666) pub4  writer id: 01030000.018963bf.00000007.00000102(2c9fcb8a)
(4651|4666) pub4->started
(4651|4666) pub4->wait_match() before write for 01030000.018963bf.00000007.00000102(2c9fcb8a)
(4651|4662) pub7  writer id: 01030000.018963bf.0000000e.00000102(218fa9fb)
(4651|4662) pub7->started
(4651|4662) pub7->wait_match() before write for 01030000.018963bf.0000000e.00000102(218fa9fb)
(4651|4659)  sub 10% (103 samples received)
(4651|4675) pub15  writer id: 01030000.018963bf.0000000c.00000102(5b4ffa9b)
(4651|4675) pub15->started
(4651|4675) pub15->wait_match() before write for 01030000.018963bf.0000000c.00000102(5b4ffa9b)
(4651|4663) pub8  writer id: 01030000.018963bf.00000006.00000102(11ffe23a)
(4651|4663) pub8->started
(4651|4663) pub8->wait_match() before write for 01030000.018963bf.00000006.00000102(11ffe23a)
(4651|4669) pub5<-match found! before write for 01030000.018963bf.0000000d.00000102(662fd32b)
(4651|4669) pub5  10% (7 samples sent)
(4651|4669) pub5  20% (13 samples sent)
(4651|4669) pub5  31% (20 samples sent)
(4651|4664) pub2  writer id: 01030000.018963bf.0000000a.00000102(d40f0f3b)
(4651|4664) pub2->started
(4651|4664) pub2->wait_match() before write for 01030000.018963bf.0000000a.00000102(d40f0f3b)
(4651|4669) pub5  40% (26 samples sent)
(4651|4669) pub5  50% (32 samples sent)
(4651|4672) pub10  writer id: 01030000.018963bf.00000009.00000102(93af75eb)
(4651|4672) pub10->started
(4651|4672) pub10->wait_match() before write for 01030000.018963bf.00000009.00000102(93af75eb)
(4651|4668) pub13<-match found! before write for 01030000.018963bf.00000008.00000102(aecf5c5b)
(4651|4668) pub13  10% (7 samples sent)
(4651|4668) pub13  20% (13 samples sent)
(4651|4674) pub14  writer id: 01030000.018963bf.00000012.00000102(849fd378)
(4651|4674) pub14->started
(4651|4674) pub14->wait_match() before write for 01030000.018963bf.00000012.00000102(849fd378)
(4651|4668) pub13  31% (20 samples sent)
(4651|4671) pub12<-match found! before write for 01030000.018963bf.0000000b.00000102(e96f268b)
(4651|4671) pub12  10% (7 samples sent)
(4651|4668) pub13  40% (26 samples sent)
(4651|4671) pub12  20% (13 samples sent)
(4651|4668) pub13  50% (32 samples sent)
(4651|4668) pub13  60% (39 samples sent)
(4651|4668) pub13  70% (45 samples sent)
(4651|4673) pub9  writer id: 01030000.018963bf.0000000f.00000102(1cef804b)
(4651|4673) pub9->started
(4651|4673) pub9->wait_match() before write for 01030000.018963bf.0000000f.00000102(1cef804b)
(4651|4668) pub13  81% (52 samples sent)
(4651|4666) pub4<-match found! before write for 01030000.018963bf.00000007.00000102(2c9fcb8a)
(4651|4668) pub13  90% (58 samples sent)
(4651|4666) pub4  10% (7 samples sent)
(4651|4666) pub4  20% (13 samples sent)
(4651|4666) pub4  31% (20 samples sent)
(4651|4666) pub4  40% (26 samples sent)
(4651|4666) pub4  50% (32 samples sent)
(4651|4666) pub4  60% (39 samples sent)
(4651|4666) pub4  70% (45 samples sent)
(4651|4666) pub4  81% (52 samples sent)
(4651|4666) pub4  90% (58 samples sent)
(4651|4666) pub4  100% (64 samples sent)
(4651|4666) pub4  waiting for acks
(4651|4662) pub7<-match found! before write for 01030000.018963bf.0000000e.00000102(218fa9fb)
(4651|4675) pub15<-match found! before write for 01030000.018963bf.0000000c.00000102(5b4ffa9b)
(4651|4663) pub8<-match found! before write for 01030000.018963bf.00000006.00000102(11ffe23a)
(4651|4660) pub0  waiting for acks returned
(4651|4660) pub0<-delete_contained_entities
(4651|4669) pub5  60% (39 samples sent)
(4651|4675) pub15  10% (7 samples sent)
(4651|4661) pub6  writer id: 01030000.018963bf.00000010.00000102(fe5f8018)
(4651|4661) pub6->started
(4651|4675) pub15  20% (13 samples sent)
(4651|4669) pub5  70% (45 samples sent)
(4651|4661) pub6->wait_match() before write for 01030000.018963bf.00000010.00000102(fe5f8018)
(4651|4662) pub7  10% (7 samples sent)
(4651|4668) pub13  100% (64 samples sent)
(4651|4668) pub13  waiting for acks
(4651|4663) pub8  10% (7 samples sent)
(4651|4671) pub12  31% (20 samples sent)
(4651|4663) pub8  20% (13 samples sent)
(4651|4671) pub12  40% (26 samples sent)
(4651|4671) pub12  50% (32 samples sent)
(4651|4671) pub12  60% (39 samples sent)
(4651|4669) pub5  81% (52 samples sent)
(4651|4662) pub7  20% (13 samples sent)
(4651|4675) pub15  31% (20 samples sent)
(4651|4675) pub15  40% (26 samples sent)
(4651|4675) pub15  50% (32 samples sent)
(4651|4675) pub15  60% (39 samples sent)
(4651|4675) pub15  70% (45 samples sent)
(4651|4675) pub15  81% (52 samples sent)
(4651|4675) pub15  90% (58 samples sent)
(4651|4662) pub7  31% (20 samples sent)
(4651|4662) pub7  40% (26 samples sent)
(4651|4662) pub7  50% (32 samples sent)
(4651|4669) pub5  90% (58 samples sent)
(4651|4669) pub5  100% (64 samples sent)
(4651|4669) pub5  waiting for acks
(4651|4671) pub12  70% (45 samples sent)
(4651|4671) pub12  81% (52 samples sent)
(4651|4671) pub12  90% (58 samples sent)
(4651|4662) pub7  60% (39 samples sent)
(4651|4662) pub7  70% (45 samples sent)
(4651|4662) pub7  81% (52 samples sent)
(4651|4675) pub15  100% (64 samples sent)
(4651|4675) pub15  waiting for acks
(4651|4671) pub12  100% (64 samples sent)
(4651|4671) pub12  waiting for acks
(4651|4662) pub7  90% (58 samples sent)
(4651|4662) pub7  100% (64 samples sent)
(4651|4662) pub7  waiting for acks
(4651|4663) pub8  31% (20 samples sent)
(4651|4663) pub8  40% (26 samples sent)
(4651|4663) pub8  50% (32 samples sent)
(4651|4663) pub8  60% (39 samples sent)
(4651|4663) pub8  70% (45 samples sent)
(4651|4663) pub8  81% (52 samples sent)
(4651|4663) pub8  90% (58 samples sent)
(4651|4663) pub8  100% (64 samples sent)
(4651|4663) pub8  waiting for acks
(4651|4665) pub1  writer id: 01030000.018963bf.00000005.00000102(565f98ea)
(4651|4665) pub1->started
(4651|4665) pub1->wait_match() before write for 01030000.018963bf.00000005.00000102(565f98ea)
(4651|4660) pub0<-delete_participant
(4651|4667) pub3  writer id: 01030000.018963bf.00000004.00000102(6b3fb15a)
(4651|4667) pub3->started
(4651|4667) pub3->wait_match() before write for 01030000.018963bf.00000004.00000102(6b3fb15a)
(4651|4659)  sub 20% (205 samples received)
(4651|4664) pub2<-match found! before write for 01030000.018963bf.0000000a.00000102(d40f0f3b)
(4651|4664) pub2  10% (7 samples sent)
(4651|4664) pub2  20% (13 samples sent)
(4651|4664) pub2  31% (20 samples sent)
(4651|4664) pub2  40% (26 samples sent)
(4651|4664) pub2  50% (32 samples sent)
(4651|4664) pub2  60% (39 samples sent)
(4651|4664) pub2  70% (45 samples sent)
(4651|4664) pub2  81% (52 samples sent)
(4651|4664) pub2  90% (58 samples sent)
(4651|4664) pub2  100% (64 samples sent)
(4651|4664) pub2  waiting for acks
(4651|4672) pub10<-match found! before write for 01030000.018963bf.00000009.00000102(93af75eb)
(4651|4672) pub10  10% (7 samples sent)
(4651|4672) pub10  20% (13 samples sent)
(4651|4672) pub10  31% (20 samples sent)
(4651|4672) pub10  40% (26 samples sent)
(4651|4672) pub10  50% (32 samples sent)
(4651|4672) pub10  60% (39 samples sent)
(4651|4674) pub14<-match found! before write for 01030000.018963bf.00000012.00000102(849fd378)
(4651|4672) pub10  70% (45 samples sent)
(4651|4673) pub9<-match found! before write for 01030000.018963bf.0000000f.00000102(1cef804b)
(4651|4674) pub14  10% (7 samples sent)
(4651|4674) pub14  20% (13 samples sent)
(4651|4673) pub9  10% (7 samples sent)
(4651|4674) pub14  31% (20 samples sent)
(4651|4673) pub9  20% (13 samples sent)
(4651|4674) pub14  40% (26 samples sent)
(4651|4661) pub6<-match found! before write for 01030000.018963bf.00000010.00000102(fe5f8018)
(4651|4674) pub14  50% (32 samples sent)
(4651|4661) pub6  10% (7 samples sent)
(4651|4673) pub9  31% (20 samples sent)
(4651|4674) pub14  60% (39 samples sent)
(4651|4661) pub6  20% (13 samples sent)
(4651|4673) pub9  40% (26 samples sent)
(4651|4670) pub11  waiting for acks returned
(4651|4670) pub11<-delete_contained_entities
(4651|4661) pub6  31% (20 samples sent)
(4651|4674) pub14  70% (45 samples sent)
(4651|4673) pub9  50% (32 samples sent)
(4651|4661) pub6  40% (26 samples sent)
(4651|4661) pub6  50% (32 samples sent)
(4651|4665) pub1<-match found! before write for 01030000.018963bf.00000005.00000102(565f98ea)
(4651|4665) pub1  10% (7 samples sent)
(4651|4665) pub1  20% (13 samples sent)
(4651|4665) pub1  31% (20 samples sent)
(4651|4665) pub1  40% (26 samples sent)
(4651|4673) pub9  60% (39 samples sent)
(4651|4673) pub9  70% (45 samples sent)
(4651|4673) pub9  81% (52 samples sent)
(4651|4665) pub1  50% (32 samples sent)
(4651|4667) pub3<-match found! before write for 01030000.018963bf.00000004.00000102(6b3fb15a)
(4651|4661) pub6  60% (39 samples sent)
(4651|4667) pub3  10% (7 samples sent)
(4651|4667) pub3  20% (13 samples sent)
(4651|4667) pub3  31% (20 samples sent)
(4651|4674) pub14  81% (52 samples sent)
(4651|4674) pub14  90% (58 samples sent)
(4651|4674) pub14  100% (64 samples sent)
(4651|4674) pub14  waiting for acks
(4651|4659)  sub 30% (308 samples received)
(4651|4670) pub11<-delete_participant
(4651|4667) pub3  40% (26 samples sent)
(4651|4667) pub3  50% (32 samples sent)
(4651|4661) pub6  70% (45 samples sent)
(4651|4672) pub10  81% (52 samples sent)
(4651|4672) pub10  90% (58 samples sent)
(4651|4667) pub3  60% (39 samples sent)
(4651|4661) pub6  81% (52 samples sent)
(4651|4672) pub10  100% (64 samples sent)
(4651|4665) pub1  60% (39 samples sent)
(4651|4665) pub1  70% (45 samples sent)
(4651|4672) pub10  waiting for acks
(4651|4661) pub6  90% (58 samples sent)
(4651|4667) pub3  70% (45 samples sent)
(4651|4661) pub6  100% (64 samples sent)
(4651|4661) pub6  waiting for acks
(4651|4673) pub9  90% (58 samples sent)
(4651|4665) pub1  81% (52 samples sent)
(4651|4665) pub1  90% (58 samples sent)
(4651|4665) pub1  100% (64 samples sent)
(4651|4665) pub1  waiting for acks
(4651|4667) pub3  81% (52 samples sent)
(4651|4673) pub9  100% (64 samples sent)
(4651|4673) pub9  waiting for acks
(4651|4667) pub3  90% (58 samples sent)
(4651|4667) pub3  100% (64 samples sent)
(4651|4667) pub3  waiting for acks
(4651|4659)  sub 40% (410 samples received)
(4651|4659)  sub 50% (512 samples received)
(4651|4659)  sub 60% (615 samples received)
(4651|4659)  sub 70% (717 samples received)
(4651|4659)  sub 80% (820 samples received)
(4651|4659) sub condition_.notify_all
(4651|4651) sub condition_.wait returned
(4651|4651) sub check_received
(4651|4651) sub check_received returns 0
(4651|4651) <- PublisherService::end
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4666) pub4  waiting for acks returned
(4651|4666) pub4<-delete_contained_entities
(4651|4668) pub13  waiting for acks returned
(4651|4668) pub13<-delete_contained_entities
(4651|4669) pub5  waiting for acks returned
(4651|4669) pub5<-delete_contained_entities
(4651|4675) pub15  waiting for acks returned
(4651|4675) pub15<-delete_contained_entities
(4651|4671) pub12  waiting for acks returned
(4651|4671) pub12<-delete_contained_entities
(4651|4662) pub7  waiting for acks returned
(4651|4662) pub7<-delete_contained_entities
(4651|4663) pub8  waiting for acks returned
(4651|4663) pub8<-delete_contained_entities
(4651|4664) pub2  waiting for acks returned
(4651|4664) pub2<-delete_contained_entities
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4666) pub4<-delete_participant
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659)  sub 90% (922 samples received)
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4668) pub13<-delete_participant
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4669) pub5<-delete_participant
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659) sub condition_.notify_all
(4651|4659)  sub 100% (1024 samples received)
(4651|4659) sub condition_.notify_all
(4651|4674) pub14  waiting for acks returned
(4651|4674) pub14<-delete_contained_entities
(4651|4672) pub10  waiting for acks returned
(4651|4672) pub10<-delete_contained_entities
(4651|4661) pub6  waiting for acks returned
(4651|4661) pub6<-delete_contained_entities
(4651|4665) pub1  waiting for acks returned
(4651|4665) pub1<-delete_contained_entities
(4651|4673) pub9  waiting for acks returned
(4651|4673) pub9<-delete_contained_entities
(4651|4667) pub3  waiting for acks returned
(4651|4667) pub3<-delete_contained_entities
(4651|4675) pub15<-delete_participant
(4651|4671) pub12<-delete_participant
(4651|4662) pub7<-delete_participant
(4651|4663) pub8<-delete_participant
(4651|4664) pub2<-delete_participant
(4651|4674) pub14<-delete_participant
(4651|4672) pub10<-delete_participant
(4651|4661) pub6<-delete_participant
(4651|4665) pub1<-delete_participant
(4651|4673) pub9<-delete_participant
(4651|4667) pub3<-delete_participant
(4651|4651) <- PublisherService::~PublisherService
(4651|4651) <- Subscriber delete_contained_entities
(4651|4651) <- Subscriber delete_participant
(4651|4651) <- Subscriber::~Subscriber
(4651|4651) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl medium Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl high #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 4677
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 32 -s 32 -n 1024 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 4684 started at 2023-04-26 16:11:07
(4684|4684) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(4684|4684) -> Thrasher started
(4684|4684) -> Subscriber::Subscriber
(4677|4677) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(4684|4684) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(4684|4684)    Subscriber reader id: 01030000.4182441c.00000002.00000507(9393e345)
(4684|4684) -> PublisherService::PublisherService
(4684|4684) -> PublisherService::start (32 threads)
(4684|4684) sub wait_received 0:32
(4684|4693) pub0  writer id: 01030000.4182441c.00000003.00000102(baf5fb7e)
(4684|4693) pub0->started
(4684|4693) pub0->wait_match() before write for 01030000.4182441c.00000003.00000102(baf5fb7e)
(4684|4693) pub0<-match found! before write for 01030000.4182441c.00000003.00000102(baf5fb7e)
(4684|4693) pub0  12% (4 samples sent)
(4684|4693) pub0  21% (7 samples sent)
(4684|4693) pub0  31% (10 samples sent)
(4684|4693) pub0  40% (13 samples sent)
(4684|4693) pub0  50% (16 samples sent)
(4684|4693) pub0  62% (20 samples sent)
(4684|4693) pub0  71% (23 samples sent)
(4684|4693) pub0  81% (26 samples sent)
(4684|4693) pub0  90% (29 samples sent)
(4684|4693) pub0  100% (32 samples sent)
(4684|4693) pub0  waiting for acks
(4684|4693) pub0  waiting for acks returned
(4684|4693) pub0<-delete_contained_entities
(4684|4697) pub4  writer id: 01030000.4182441c.00000004.00000102(08d5276e)
(4684|4697) pub4->started
(4684|4697) pub4->wait_match() before write for 01030000.4182441c.00000004.00000102(08d5276e)
(4684|4697) pub4<-match found! before write for 01030000.4182441c.00000004.00000102(08d5276e)
(4684|4697) pub4  12% (4 samples sent)
(4684|4697) pub4  21% (7 samples sent)
(4684|4697) pub4  31% (10 samples sent)
(4684|4697) pub4  40% (13 samples sent)
(4684|4697) pub4  50% (16 samples sent)
(4684|4697) pub4  62% (20 samples sent)
(4684|4697) pub4  71% (23 samples sent)
(4684|4697) pub4  81% (26 samples sent)
(4684|4697) pub4  90% (29 samples sent)
(4684|4697) pub4  100% (32 samples sent)
(4684|4697) pub4  waiting for acks
(4684|4697) pub4  waiting for acks returned
(4684|4697) pub4<-delete_contained_entities
(4684|4700) pub6  writer id: 01030000.4182441c.00000009.00000102(f045e3df)
(4684|4700) pub6->started
(4684|4700) pub6->wait_match() before write for 01030000.4182441c.00000009.00000102(f045e3df)
(4684|4700) pub6<-match found! before write for 01030000.4182441c.00000009.00000102(f045e3df)
(4684|4700) pub6  12% (4 samples sent)
(4684|4700) pub6  21% (7 samples sent)
(4684|4700) pub6  31% (10 samples sent)
(4684|4700) pub6  40% (13 samples sent)
(4684|4700) pub6  50% (16 samples sent)
(4684|4700) pub6  62% (20 samples sent)
(4684|4704) pub11  writer id: 01030000.4182441c.00000012.00000102(e775454c)
(4684|4704) pub11->started
(4684|4704) pub11->wait_match() before write for 01030000.4182441c.00000012.00000102(e775454c)
(4684|4700) pub6  71% (23 samples sent)
(4684|4700) pub6  81% (26 samples sent)
(4684|4700) pub6  90% (29 samples sent)
(4684|4700) pub6  100% (32 samples sent)
(4684|4700) pub6  waiting for acks
(4684|4704) pub11<-match found! before write for 01030000.4182441c.00000012.00000102(e775454c)
(4684|4704) pub11  12% (4 samples sent)
(4684|4704) pub11  21% (7 samples sent)
(4684|4704) pub11  31% (10 samples sent)
(4684|4704) pub11  40% (13 samples sent)
(4684|4704) pub11  50% (16 samples sent)
(4684|4720) pub26  writer id: 01030000.4182441c.0000001c.00000102(5845fb2d)
(4684|4720) pub26->started
(4684|4720) pub26->wait_match() before write for 01030000.4182441c.0000001c.00000102(5845fb2d)
(4684|4704) pub11  62% (20 samples sent)
(4684|4704) pub11  71% (23 samples sent)
(4684|4704) pub11  81% (26 samples sent)
(4684|4704) pub11  90% (29 samples sent)
(4684|4704) pub11  100% (32 samples sent)
(4684|4704) pub11  waiting for acks
(4684|4692)  sub 10% (103 samples received)
(4684|4713) pub18  writer id: 01030000.4182441c.00000016.00000102(12f5e38c)
(4684|4713) pub18->started
(4684|4713) pub18->wait_match() before write for 01030000.4182441c.00000016.00000102(12f5e38c)
(4684|4718) pub25  writer id: 01030000.4182441c.0000001b.00000102(ea65273d)
(4684|4718) pub25->started
(4684|4718) pub25->wait_match() before write for 01030000.4182441c.0000001b.00000102(ea65273d)
(4684|4720) pub26<-match found! before write for 01030000.4182441c.0000001c.00000102(5845fb2d)
(4684|4700) pub6  waiting for acks returned
(4684|4700) pub6<-delete_contained_entities
(4684|4713) pub18<-match found! before write for 01030000.4182441c.00000016.00000102(12f5e38c)
(4684|4720) pub26  12% (4 samples sent)
(4684|4720) pub26  21% (7 samples sent)
(4684|4713) pub18  12% (4 samples sent)
(4684|4720) pub26  31% (10 samples sent)
(4684|4720) pub26  40% (13 samples sent)
(4684|4720) pub26  50% (16 samples sent)
(4684|4720) pub26  62% (20 samples sent)
(4684|4720) pub26  71% (23 samples sent)
(4684|4713) pub18  21% (7 samples sent)
(4684|4713) pub18  31% (10 samples sent)
(4684|4713) pub18  40% (13 samples sent)
(4684|4713) pub18  50% (16 samples sent)
(4684|4713) pub18  62% (20 samples sent)
(4684|4720) pub26  81% (26 samples sent)
(4684|4713) pub18  71% (23 samples sent)
(4684|4720) pub26  90% (29 samples sent)
(4684|4713) pub18  81% (26 samples sent)
(4684|4720) pub26  100% (32 samples sent)
(4684|4720) pub26  waiting for acks
(4684|4713) pub18  90% (29 samples sent)
(4684|4713) pub18  100% (32 samples sent)
(4684|4713) pub18  waiting for acks
(4684|4718) pub25<-match found! before write for 01030000.4182441c.0000001b.00000102(ea65273d)
(4684|4718) pub25  12% (4 samples sent)
(4684|4718) pub25  21% (7 samples sent)
(4684|4718) pub25  31% (10 samples sent)
(4684|4718) pub25  40% (13 samples sent)
(4684|4718) pub25  50% (16 samples sent)
(4684|4718) pub25  62% (20 samples sent)
(4684|4718) pub25  71% (23 samples sent)
(4684|4718) pub25  81% (26 samples sent)
(4684|4718) pub25  90% (29 samples sent)
(4684|4718) pub25  100% (32 samples sent)
(4684|4718) pub25  waiting for acks
(4684|4704) pub11  waiting for acks returned
(4684|4704) pub11<-delete_contained_entities
(4684|4722) pub27  writer id: 01030000.4182441c.0000001d.00000102(6525d29d)
(4684|4722) pub27->started
(4684|4722) pub27->wait_match() before write for 01030000.4182441c.0000001d.00000102(6525d29d)
(4684|4696) pub3  writer id: 01030000.4182441c.00000005.00000102(35b50ede)
(4684|4696) pub3->started
(4684|4696) pub3->wait_match() before write for 01030000.4182441c.00000005.00000102(35b50ede)
(4684|4702) pub1  writer id: 01030000.4182441c.0000000a.00000102(b7e5990f)
(4684|4702) pub1->started
(4684|4702) pub1->wait_match() before write for 01030000.4182441c.0000000a.00000102(b7e5990f)
(4684|4698) pub5  writer id: 01030000.4182441c.00000006.00000102(7215740e)
(4684|4698) pub5->started
(4684|4698) pub5->wait_match() before write for 01030000.4182441c.00000006.00000102(7215740e)
(4684|4722) pub27<-match found! before write for 01030000.4182441c.0000001d.00000102(6525d29d)
(4684|4722) pub27  12% (4 samples sent)
(4684|4722) pub27  21% (7 samples sent)
(4684|4722) pub27  31% (10 samples sent)
(4684|4722) pub27  40% (13 samples sent)
(4684|4722) pub27  50% (16 samples sent)
(4684|4722) pub27  62% (20 samples sent)
(4684|4722) pub27  71% (23 samples sent)
(4684|4703) pub10  writer id: 01030000.4182441c.00000008.00000102(cd25ca6f)
(4684|4703) pub10->started
(4684|4703) pub10->wait_match() before write for 01030000.4182441c.00000008.00000102(cd25ca6f)
(4684|4722) pub27  81% (26 samples sent)
(4684|4709) pub16  writer id: 01030000.4182441c.00000015.00000102(5555995c)
(4684|4709) pub16->started
(4684|4709) pub16->wait_match() before write for 01030000.4182441c.00000015.00000102(5555995c)
(4684|4722) pub27  90% (29 samples sent)
(4684|4722) pub27  100% (32 samples sent)
(4684|4722) pub27  waiting for acks
(4684|4696) pub3<-match found! before write for 01030000.4182441c.00000005.00000102(35b50ede)
(4684|4696) pub3  12% (4 samples sent)
(4684|4696) pub3  21% (7 samples sent)
(4684|4696) pub3  31% (10 samples sent)
(4684|4696) pub3  40% (13 samples sent)
(4684|4696) pub3  50% (16 samples sent)
(4684|4696) pub3  62% (20 samples sent)
(4684|4696) pub3  71% (23 samples sent)
(4684|4696) pub3  81% (26 samples sent)
(4684|4696) pub3  90% (29 samples sent)
(4684|4696) pub3  100% (32 samples sent)
(4684|4696) pub3  waiting for acks
(4684|4707) pub14  writer id: 01030000.4182441c.00000014.00000102(6835b0ec)
(4684|4707) pub14->started
(4684|4707) pub14->wait_match() before write for 01030000.4182441c.00000014.00000102(6835b0ec)
(4684|4702) pub1<-match found! before write for 01030000.4182441c.0000000a.00000102(b7e5990f)
(4684|4702) pub1  12% (4 samples sent)
(4684|4702) pub1  21% (7 samples sent)
(4684|4702) pub1  31% (10 samples sent)
(4684|4702) pub1  40% (13 samples sent)
(4684|4702) pub1  50% (16 samples sent)
(4684|4702) pub1  62% (20 samples sent)
(4684|4702) pub1  71% (23 samples sent)
(4684|4702) pub1  81% (26 samples sent)
(4684|4702) pub1  90% (29 samples sent)
(4684|4702) pub1  100% (32 samples sent)
(4684|4702) pub1  waiting for acks
(4684|4720) pub26  waiting for acks returned
(4684|4720) pub26<-delete_contained_entities
(4684|4713) pub18  waiting for acks returned
(4684|4713) pub18<-delete_contained_entities
(4684|4708) pub15  writer id: 01030000.4182441c.0000000e.00000102(42653fcf)
(4684|4708) pub15->started
(4684|4708) pub15->wait_match() before write for 01030000.4182441c.0000000e.00000102(42653fcf)
(4684|4698) pub5<-match found! before write for 01030000.4182441c.00000006.00000102(7215740e)
(4684|4698) pub5  12% (4 samples sent)
(4684|4698) pub5  21% (7 samples sent)
(4684|4698) pub5  31% (10 samples sent)
(4684|4698) pub5  40% (13 samples sent)
(4684|4698) pub5  50% (16 samples sent)
(4684|4698) pub5  62% (20 samples sent)
(4684|4698) pub5  71% (23 samples sent)
(4684|4698) pub5  81% (26 samples sent)
(4684|4698) pub5  90% (29 samples sent)
(4684|4698) pub5  100% (32 samples sent)
(4684|4698) pub5  waiting for acks
(4684|4692)  sub 20% (205 samples received)
(4684|4706) pub13  writer id: 01030000.4182441c.0000000f.00000102(7f05167f)
(4684|4706) pub13->started
(4684|4706) pub13->wait_match() before write for 01030000.4182441c.0000000f.00000102(7f05167f)
(4684|4701) pub8  writer id: 01030000.4182441c.00000013.00000102(da156cfc)
(4684|4701) pub8->started
(4684|4701) pub8->wait_match() before write for 01030000.4182441c.00000013.00000102(da156cfc)
(4684|4716) pub22  writer id: 01030000.4182441c.00000019.00000102(90a5745d)
(4684|4716) pub22->started
(4684|4716) pub22->wait_match() before write for 01030000.4182441c.00000019.00000102(90a5745d)
(4684|4694) pub2  writer id: 01030000.4182441c.00000007.00000102(4f755dbe)
(4684|4694) pub2->started
(4684|4694) pub2->wait_match() before write for 01030000.4182441c.00000007.00000102(4f755dbe)
(4684|4715) pub19  writer id: 01030000.4182441c.00000011.00000102(a0d53f9c)
(4684|4715) pub19->started
(4684|4715) pub19->wait_match() before write for 01030000.4182441c.00000011.00000102(a0d53f9c)
(4684|4705) pub12  writer id: 01030000.4182441c.0000000d.00000102(05c5451f)
(4684|4705) pub12->started
(4684|4705) pub12->wait_match() before write for 01030000.4182441c.0000000d.00000102(05c5451f)
(4684|4719) pub24  writer id: 01030000.4182441c.00000021.00000102(01f4871a)
(4684|4719) pub24->started
(4684|4719) pub24->wait_match() before write for 01030000.4182441c.00000021.00000102(01f4871a)
(4684|4717) pub23  writer id: 01030000.4182441c.0000001a.00000102(d7050e8d)
(4684|4717) pub23->started
(4684|4717) pub23->wait_match() before write for 01030000.4182441c.0000001a.00000102(d7050e8d)
(4684|4724) pub30  writer id: 01030000.4182441c.0000001f.00000102(1fe581fd)
(4684|4724) pub30->started
(4684|4724) pub30->wait_match() before write for 01030000.4182441c.0000001f.00000102(1fe581fd)
(4684|4712) pub20  writer id: 01030000.4182441c.00000018.00000102(adc55ded)
(4684|4712) pub20->started
(4684|4712) pub20->wait_match() before write for 01030000.4182441c.00000018.00000102(adc55ded)
(4684|4721) pub28  writer id: 01030000.4182441c.0000001e.00000102(2285a84d)
(4684|4721) pub28->started
(4684|4721) pub28->wait_match() before write for 01030000.4182441c.0000001e.00000102(2285a84d)
(4684|4692)  sub 30% (308 samples received)
(4684|4723) pub29  writer id: 01030000.4182441c.00000020.00000102(3c94aeaa)
(4684|4723) pub29->started
(4684|4723) pub29->wait_match() before write for 01030000.4182441c.00000020.00000102(3c94aeaa)
(4684|4710) pub17  writer id: 01030000.4182441c.0000000c.00000102(38a56caf)
(4684|4710) pub17->started
(4684|4710) pub17->wait_match() before write for 01030000.4182441c.0000000c.00000102(38a56caf)
(4684|4711) pub31  writer id: 01030000.4182441c.00000022.00000102(4654fdca)
(4684|4711) pub31->started
(4684|4711) pub31->wait_match() before write for 01030000.4182441c.00000022.00000102(4654fdca)
(4684|4714) pub21  writer id: 01030000.4182441c.00000017.00000102(2f95ca3c)
(4684|4714) pub21->started
(4684|4714) pub21->wait_match() before write for 01030000.4182441c.00000017.00000102(2f95ca3c)
(4684|4703) pub10<-match found! before write for 01030000.4182441c.00000008.00000102(cd25ca6f)
(4684|4703) pub10  12% (4 samples sent)
(4684|4703) pub10  21% (7 samples sent)
(4684|4703) pub10  31% (10 samples sent)
(4684|4703) pub10  40% (13 samples sent)
(4684|4703) pub10  50% (16 samples sent)
(4684|4703) pub10  62% (20 samples sent)
(4684|4703) pub10  71% (23 samples sent)
(4684|4703) pub10  81% (26 samples sent)
(4684|4703) pub10  90% (29 samples sent)
(4684|4703) pub10  100% (32 samples sent)
(4684|4703) pub10  waiting for acks
(4684|4709) pub16<-match found! before write for 01030000.4182441c.00000015.00000102(5555995c)
(4684|4707) pub14<-match found! before write for 01030000.4182441c.00000014.00000102(6835b0ec)
(4684|4709) pub16  12% (4 samples sent)
(4684|4709) pub16  21% (7 samples sent)
(4684|4707) pub14  12% (4 samples sent)
(4684|4709) pub16  31% (10 samples sent)
(4684|4707) pub14  21% (7 samples sent)
(4684|4709) pub16  40% (13 samples sent)
(4684|4707) pub14  31% (10 samples sent)
(4684|4709) pub16  50% (16 samples sent)
(4684|4707) pub14  40% (13 samples sent)
(4684|4707) pub14  50% (16 samples sent)
(4684|4707) pub14  62% (20 samples sent)
(4684|4707) pub14  71% (23 samples sent)
(4684|4707) pub14  81% (26 samples sent)
(4684|4707) pub14  90% (29 samples sent)
(4684|4707) pub14  100% (32 samples sent)
(4684|4707) pub14  waiting for acks
(4684|4709) pub16  62% (20 samples sent)
(4684|4709) pub16  71% (23 samples sent)
(4684|4709) pub16  81% (26 samples sent)
(4684|4709) pub16  90% (29 samples sent)
(4684|4709) pub16  100% (32 samples sent)
(4684|4709) pub16  waiting for acks
(4684|4708) pub15<-match found! before write for 01030000.4182441c.0000000e.00000102(42653fcf)
(4684|4708) pub15  12% (4 samples sent)
(4684|4708) pub15  21% (7 samples sent)
(4684|4708) pub15  31% (10 samples sent)
(4684|4708) pub15  40% (13 samples sent)
(4684|4708) pub15  50% (16 samples sent)
(4684|4708) pub15  62% (20 samples sent)
(4684|4708) pub15  71% (23 samples sent)
(4684|4708) pub15  81% (26 samples sent)
(4684|4708) pub15  90% (29 samples sent)
(4684|4708) pub15  100% (32 samples sent)
(4684|4708) pub15  waiting for acks
(4684|4706) pub13<-match found! before write for 01030000.4182441c.0000000f.00000102(7f05167f)
(4684|4706) pub13  12% (4 samples sent)
(4684|4706) pub13  21% (7 samples sent)
(4684|4706) pub13  31% (10 samples sent)
(4684|4706) pub13  40% (13 samples sent)
(4684|4706) pub13  50% (16 samples sent)
(4684|4706) pub13  62% (20 samples sent)
(4684|4706) pub13  71% (23 samples sent)
(4684|4706) pub13  81% (26 samples sent)
(4684|4706) pub13  90% (29 samples sent)
(4684|4706) pub13  100% (32 samples sent)
(4684|4706) pub13  waiting for acks
(4684|4701) pub8<-match found! before write for 01030000.4182441c.00000013.00000102(da156cfc)
(4684|4701) pub8  12% (4 samples sent)
(4684|4701) pub8  21% (7 samples sent)
(4684|4701) pub8  31% (10 samples sent)
(4684|4701) pub8  40% (13 samples sent)
(4684|4701) pub8  50% (16 samples sent)
(4684|4701) pub8  62% (20 samples sent)
(4684|4701) pub8  71% (23 samples sent)
(4684|4701) pub8  81% (26 samples sent)
(4684|4701) pub8  90% (29 samples sent)
(4684|4701) pub8  100% (32 samples sent)
(4684|4701) pub8  waiting for acks
(4684|4718) pub25  waiting for acks returned
(4684|4718) pub25<-delete_contained_entities
(4684|4716) pub22<-match found! before write for 01030000.4182441c.00000019.00000102(90a5745d)
(4684|4716) pub22  12% (4 samples sent)
(4684|4716) pub22  21% (7 samples sent)
(4684|4716) pub22  31% (10 samples sent)
(4684|4716) pub22  40% (13 samples sent)
(4684|4716) pub22  50% (16 samples sent)
(4684|4716) pub22  62% (20 samples sent)
(4684|4716) pub22  71% (23 samples sent)
(4684|4716) pub22  81% (26 samples sent)
(4684|4716) pub22  90% (29 samples sent)
(4684|4716) pub22  100% (32 samples sent)
(4684|4716) pub22  waiting for acks
(4684|4694) pub2<-match found! before write for 01030000.4182441c.00000007.00000102(4f755dbe)
(4684|4694) pub2  12% (4 samples sent)
(4684|4694) pub2  21% (7 samples sent)
(4684|4694) pub2  31% (10 samples sent)
(4684|4694) pub2  40% (13 samples sent)
(4684|4694) pub2  50% (16 samples sent)
(4684|4694) pub2  62% (20 samples sent)
(4684|4694) pub2  71% (23 samples sent)
(4684|4694) pub2  81% (26 samples sent)
(4684|4694) pub2  90% (29 samples sent)
(4684|4694) pub2  100% (32 samples sent)
(4684|4694) pub2  waiting for acks
(4684|4715) pub19<-match found! before write for 01030000.4182441c.00000011.00000102(a0d53f9c)
(4684|4722) pub27  waiting for acks returned
(4684|4722) pub27<-delete_contained_entities
(4684|4715) pub19  12% (4 samples sent)
(4684|4705) pub12<-match found! before write for 01030000.4182441c.0000000d.00000102(05c5451f)
(4684|4715) pub19  21% (7 samples sent)
(4684|4715) pub19  31% (10 samples sent)
(4684|4705) pub12  12% (4 samples sent)
(4684|4715) pub19  40% (13 samples sent)
(4684|4705) pub12  21% (7 samples sent)
(4684|4715) pub19  50% (16 samples sent)
(4684|4705) pub12  31% (10 samples sent)
(4684|4705) pub12  40% (13 samples sent)
(4684|4705) pub12  50% (16 samples sent)
(4684|4705) pub12  62% (20 samples sent)
(4684|4705) pub12  71% (23 samples sent)
(4684|4705) pub12  81% (26 samples sent)
(4684|4705) pub12  90% (29 samples sent)
(4684|4715) pub19  62% (20 samples sent)
(4684|4705) pub12  100% (32 samples sent)
(4684|4705) pub12  waiting for acks
(4684|4715) pub19  71% (23 samples sent)
(4684|4715) pub19  81% (26 samples sent)
(4684|4715) pub19  90% (29 samples sent)
(4684|4715) pub19  100% (32 samples sent)
(4684|4715) pub19  waiting for acks
(4684|4699) pub9  writer id: 01030000.4182441c.00000010.00000102(9db5162c)
(4684|4699) pub9->started
(4684|4699) pub9->wait_match() before write for 01030000.4182441c.00000010.00000102(9db5162c)
(4684|4719) pub24<-match found! before write for 01030000.4182441c.00000021.00000102(01f4871a)
(4684|4719) pub24  12% (4 samples sent)
(4684|4719) pub24  21% (7 samples sent)
(4684|4719) pub24  31% (10 samples sent)
(4684|4719) pub24  40% (13 samples sent)
(4684|4717) pub23<-match found! before write for 01030000.4182441c.0000001a.00000102(d7050e8d)
(4684|4719) pub24  50% (16 samples sent)
(4684|4717) pub23  12% (4 samples sent)
(4684|4719) pub24  62% (20 samples sent)
(4684|4717) pub23  21% (7 samples sent)
(4684|4717) pub23  31% (10 samples sent)
(4684|4717) pub23  40% (13 samples sent)
(4684|4717) pub23  50% (16 samples sent)
(4684|4717) pub23  62% (20 samples sent)
(4684|4717) pub23  71% (23 samples sent)
(4684|4717) pub23  81% (26 samples sent)
(4684|4717) pub23  90% (29 samples sent)
(4684|4719) pub24  71% (23 samples sent)
(4684|4696) pub3  waiting for acks returned
(4684|4696) pub3<-delete_contained_entities
(4684|4719) pub24  81% (26 samples sent)
(4684|4719) pub24  90% (29 samples sent)
(4684|4717) pub23  100% (32 samples sent)
(4684|4717) pub23  waiting for acks
(4684|4724) pub30<-match found! before write for 01030000.4182441c.0000001f.00000102(1fe581fd)
(4684|4719) pub24  100% (32 samples sent)
(4684|4719) pub24  waiting for acks
(4684|4724) pub30  12% (4 samples sent)
(4684|4724) pub30  21% (7 samples sent)
(4684|4724) pub30  31% (10 samples sent)
(4684|4724) pub30  40% (13 samples sent)
(4684|4724) pub30  50% (16 samples sent)
(4684|4724) pub30  62% (20 samples sent)
(4684|4724) pub30  71% (23 samples sent)
(4684|4724) pub30  81% (26 samples sent)
(4684|4724) pub30  90% (29 samples sent)
(4684|4724) pub30  100% (32 samples sent)
(4684|4724) pub30  waiting for acks
(4684|4712) pub20<-match found! before write for 01030000.4182441c.00000018.00000102(adc55ded)
(4684|4712) pub20  12% (4 samples sent)
(4684|4712) pub20  21% (7 samples sent)
(4684|4712) pub20  31% (10 samples sent)
(4684|4712) pub20  40% (13 samples sent)
(4684|4712) pub20  50% (16 samples sent)
(4684|4712) pub20  62% (20 samples sent)
(4684|4712) pub20  71% (23 samples sent)
(4684|4712) pub20  81% (26 samples sent)
(4684|4712) pub20  90% (29 samples sent)
(4684|4712) pub20  100% (32 samples sent)
(4684|4712) pub20  waiting for acks
(4684|4721) pub28<-match found! before write for 01030000.4182441c.0000001e.00000102(2285a84d)
(4684|4721) pub28  12% (4 samples sent)
(4684|4721) pub28  21% (7 samples sent)
(4684|4721) pub28  31% (10 samples sent)
(4684|4721) pub28  40% (13 samples sent)
(4684|4721) pub28  50% (16 samples sent)
(4684|4721) pub28  62% (20 samples sent)
(4684|4721) pub28  71% (23 samples sent)
(4684|4721) pub28  81% (26 samples sent)
(4684|4721) pub28  90% (29 samples sent)
(4684|4721) pub28  100% (32 samples sent)
(4684|4721) pub28  waiting for acks
(4684|4723) pub29<-match found! before write for 01030000.4182441c.00000020.00000102(3c94aeaa)
(4684|4723) pub29  12% (4 samples sent)
(4684|4723) pub29  21% (7 samples sent)
(4684|4695) pub7  writer id: 01030000.4182441c.0000000b.00000102(8a85b0bf)
(4684|4695) pub7->started
(4684|4695) pub7->wait_match() before write for 01030000.4182441c.0000000b.00000102(8a85b0bf)
(4684|4723) pub29  31% (10 samples sent)
(4684|4723) pub29  40% (13 samples sent)
(4684|4723) pub29  50% (16 samples sent)
(4684|4723) pub29  62% (20 samples sent)
(4684|4710) pub17<-match found! before write for 01030000.4182441c.0000000c.00000102(38a56caf)
(4684|4723) pub29  71% (23 samples sent)
(4684|4710) pub17  12% (4 samples sent)
(4684|4710) pub17  21% (7 samples sent)
(4684|4710) pub17  31% (10 samples sent)
(4684|4710) pub17  40% (13 samples sent)
(4684|4710) pub17  50% (16 samples sent)
(4684|4710) pub17  62% (20 samples sent)
(4684|4710) pub17  71% (23 samples sent)
(4684|4710) pub17  81% (26 samples sent)
(4684|4710) pub17  90% (29 samples sent)
(4684|4723) pub29  81% (26 samples sent)
(4684|4710) pub17  100% (32 samples sent)
(4684|4710) pub17  waiting for acks
(4684|4723) pub29  90% (29 samples sent)
(4684|4723) pub29  100% (32 samples sent)
(4684|4723) pub29  waiting for acks
(4684|4711) pub31<-match found! before write for 01030000.4182441c.00000022.00000102(4654fdca)
(4684|4711) pub31  12% (4 samples sent)
(4684|4711) pub31  21% (7 samples sent)
(4684|4711) pub31  31% (10 samples sent)
(4684|4711) pub31  40% (13 samples sent)
(4684|4711) pub31  50% (16 samples sent)
(4684|4711) pub31  62% (20 samples sent)
(4684|4711) pub31  71% (23 samples sent)
(4684|4711) pub31  81% (26 samples sent)
(4684|4711) pub31  90% (29 samples sent)
(4684|4711) pub31  100% (32 samples sent)
(4684|4711) pub31  waiting for acks
(4684|4702) pub1  waiting for acks returned
(4684|4702) pub1<-delete_contained_entities
(4684|4714) pub21<-match found! before write for 01030000.4182441c.00000017.00000102(2f95ca3c)
(4684|4714) pub21  12% (4 samples sent)
(4684|4714) pub21  21% (7 samples sent)
(4684|4714) pub21  31% (10 samples sent)
(4684|4714) pub21  40% (13 samples sent)
(4684|4714) pub21  50% (16 samples sent)
(4684|4714) pub21  62% (20 samples sent)
(4684|4714) pub21  71% (23 samples sent)
(4684|4714) pub21  81% (26 samples sent)
(4684|4714) pub21  90% (29 samples sent)
(4684|4714) pub21  100% (32 samples sent)
(4684|4714) pub21  waiting for acks
(4684|4693) pub0<-delete_participant
(4684|4697) pub4<-delete_participant
(4684|4692)  sub 40% (410 samples received)
(4684|4700) pub6<-delete_participant
(4684|4704) pub11<-delete_participant
(4684|4720) pub26<-delete_participant
(4684|4692)  sub 50% (512 samples received)
(4684|4713) pub18<-delete_participant
(4684|4718) pub25<-delete_participant
(4684|4692)  sub 60% (615 samples received)
(4684|4722) pub27<-delete_participant
(4684|4696) pub3<-delete_participant
(4684|4702) pub1<-delete_participant
(4684|4692)  sub 70% (717 samples received)
(4684|4692)  sub 80% (820 samples received)
(4684|4699) pub9<-match found! before write for 01030000.4182441c.00000010.00000102(9db5162c)
(4684|4699) pub9  12% (4 samples sent)
(4684|4699) pub9  21% (7 samples sent)
(4684|4699) pub9  31% (10 samples sent)
(4684|4699) pub9  40% (13 samples sent)
(4684|4699) pub9  50% (16 samples sent)
(4684|4699) pub9  62% (20 samples sent)
(4684|4699) pub9  71% (23 samples sent)
(4684|4699) pub9  81% (26 samples sent)
(4684|4699) pub9  90% (29 samples sent)
(4684|4699) pub9  100% (32 samples sent)
(4684|4699) pub9  waiting for acks
(4684|4695) pub7<-match found! before write for 01030000.4182441c.0000000b.00000102(8a85b0bf)
(4684|4695) pub7  12% (4 samples sent)
(4684|4695) pub7  21% (7 samples sent)
(4684|4695) pub7  31% (10 samples sent)
(4684|4695) pub7  40% (13 samples sent)
(4684|4695) pub7  50% (16 samples sent)
(4684|4695) pub7  62% (20 samples sent)
(4684|4695) pub7  71% (23 samples sent)
(4684|4695) pub7  81% (26 samples sent)
(4684|4695) pub7  90% (29 samples sent)
(4684|4695) pub7  100% (32 samples sent)
(4684|4695) pub7  waiting for acks
(4684|4698) pub5  waiting for acks returned
(4684|4698) pub5<-delete_contained_entities
(4684|4703) pub10  waiting for acks returned
(4684|4703) pub10<-delete_contained_entities
(4684|4707) pub14  waiting for acks returned
(4684|4707) pub14<-delete_contained_entities
(4684|4708) pub15  waiting for acks returned
(4684|4708) pub15<-delete_contained_entities
(4684|4706) pub13  waiting for acks returned
(4684|4706) pub13<-delete_contained_entities
(4684|4709) pub16  waiting for acks returned
(4684|4709) pub16<-delete_contained_entities
(4684|4701) pub8  waiting for acks returned
(4684|4701) pub8<-delete_contained_entities
(4684|4716) pub22  waiting for acks returned
(4684|4716) pub22<-delete_contained_entities
(4684|4694) pub2  waiting for acks returned
(4684|4694) pub2<-delete_contained_entities
(4684|4705) pub12  waiting for acks returned
(4684|4705) pub12<-delete_contained_entities
(4684|4715) pub19  waiting for acks returned
(4684|4715) pub19<-delete_contained_entities
(4684|4719) pub24  waiting for acks returned
(4684|4719) pub24<-delete_contained_entities
(4684|4724) pub30  waiting for acks returned
(4684|4724) pub30<-delete_contained_entities
(4684|4717) pub23  waiting for acks returned
(4684|4717) pub23<-delete_contained_entities
(4684|4712) pub20  waiting for acks returned
(4684|4712) pub20<-delete_contained_entities
(4684|4721) pub28  waiting for acks returned
(4684|4721) pub28<-delete_contained_entities
(4684|4698) pub5<-delete_participant
(4684|4692)  sub 90% (922 samples received)
(4684|4723) pub29  waiting for acks returned
(4684|4723) pub29<-delete_contained_entities
(4684|4711) pub31  waiting for acks returned
(4684|4711) pub31<-delete_contained_entities
(4684|4714) pub21  waiting for acks returned
(4684|4714) pub21<-delete_contained_entities
(4684|4710) pub17  waiting for acks returned
(4684|4710) pub17<-delete_contained_entities
(4684|4703) pub10<-delete_participant
(4684|4692) sub condition_.notify_all
(4684|4684) sub condition_.wait returned
(4684|4684) sub check_received
(4684|4684) sub check_received returns 0
(4684|4684) <- PublisherService::end
(4684|4692) sub condition_.notify_all
(4684|4692) sub condition_.notify_all
(4684|4692) sub condition_.notify_all
(4684|4692) sub condition_.notify_all
(4684|4692) sub condition_.notify_all
(4684|4692) sub condition_.notify_all
(4684|4692) sub condition_.notify_all
(4684|4692) sub condition_.notify_all
(4684|4692) sub condition_.notify_all
(4684|4692) sub condition_.notify_all
(4684|4692) sub condition_.notify_all
(4684|4692) sub condition_.notify_all
(4684|4692) sub condition_.notify_all
(4684|4692) sub condition_.notify_all
(4684|4692) sub condition_.notify_all
(4684|4692) sub condition_.notify_all
(4684|4692) sub condition_.notify_all
(4684|4692) sub condition_.notify_all
(4684|4692) sub condition_.notify_all
(4684|4692) sub condition_.notify_all
(4684|4692) sub condition_.notify_all
(4684|4692) sub condition_.notify_all
(4684|4692) sub condition_.notify_all
(4684|4692) sub condition_.notify_all
(4684|4692) sub condition_.notify_all
(4684|4692) sub condition_.notify_all
(4684|4692) sub condition_.notify_all
(4684|4692) sub condition_.notify_all
(4684|4692) sub condition_.notify_all
(4684|4692) sub condition_.notify_all
(4684|4692)  sub 100% (1024 samples received)
(4684|4692) sub condition_.notify_all
(4684|4699) pub9  waiting for acks returned
(4684|4699) pub9<-delete_contained_entities
(4684|4695) pub7  waiting for acks returned
(4684|4695) pub7<-delete_contained_entities
(4684|4707) pub14<-delete_participant
(4684|4708) pub15<-delete_participant
(4684|4706) pub13<-delete_participant
(4684|4709) pub16<-delete_participant
(4684|4701) pub8<-delete_participant
(4684|4716) pub22<-delete_participant
(4684|4694) pub2<-delete_participant
(4684|4705) pub12<-delete_participant
(4684|4715) pub19<-delete_participant
(4684|4719) pub24<-delete_participant
(4684|4724) pub30<-delete_participant
(4684|4717) pub23<-delete_participant
(4684|4712) pub20<-delete_participant
(4684|4721) pub28<-delete_participant
(4684|4723) pub29<-delete_participant
(4684|4711) pub31<-delete_participant
(4684|4714) pub21<-delete_participant
(4684|4710) pub17<-delete_participant
(4684|4699) pub9<-delete_participant
(4684|4695) pub7<-delete_participant
(4684|4684) <- PublisherService::~PublisherService
(4684|4684) <- Subscriber delete_contained_entities
(4684|4684) <- Subscriber delete_participant
(4684|4684) <- Subscriber::~Subscriber
(4684|4684) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl high Time:3s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl aggressive #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 4726
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 64 -s 16 -n 1024 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 4733 started at 2023-04-26 16:11:09
(4733|4733) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(4733|4733) -> Thrasher started
(4733|4733) -> Subscriber::Subscriber
(4726|4726) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(4733|4733) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(4733|4733)    Subscriber reader id: 01030000.2f4ef186.00000002.00000507(ce276a39)
(4733|4733) -> PublisherService::PublisherService
(4733|4733) -> PublisherService::start (64 threads)
(4733|4733) sub wait_received 0:64
(4733|4743) pub1  writer id: 01030000.2f4ef186.00000003.00000102(e7417202)
(4733|4743) pub1->started
(4733|4743) pub1->wait_match() before write for 01030000.2f4ef186.00000003.00000102(e7417202)
(4733|4743) pub1<-match found! before write for 01030000.2f4ef186.00000003.00000102(e7417202)
(4733|4743) pub1  12% (2 samples sent)
(4733|4743) pub1  25% (4 samples sent)
(4733|4743) pub1  31% (5 samples sent)
(4733|4743) pub1  43% (7 samples sent)
(4733|4743) pub1  50% (8 samples sent)
(4733|4743) pub1  62% (10 samples sent)
(4733|4743) pub1  75% (12 samples sent)
(4733|4743) pub1  81% (13 samples sent)
(4733|4743) pub1  93% (15 samples sent)
(4733|4743) pub1  100% (16 samples sent)
(4733|4743) pub1  waiting for acks
(4733|4743) pub1  waiting for acks returned
(4733|4743) pub1<-delete_contained_entities
(4733|4757) pub19  writer id: 01030000.2f4ef186.0000000c.00000102(6511e5d3)
(4733|4757) pub19->started
(4733|4757) pub19->wait_match() before write for 01030000.2f4ef186.0000000c.00000102(6511e5d3)
(4733|4757) pub19<-match found! before write for 01030000.2f4ef186.0000000c.00000102(6511e5d3)
(4733|4757) pub19  12% (2 samples sent)
(4733|4757) pub19  25% (4 samples sent)
(4733|4757) pub19  31% (5 samples sent)
(4733|4757) pub19  43% (7 samples sent)
(4733|4757) pub19  50% (8 samples sent)
(4733|4757) pub19  62% (10 samples sent)
(4733|4757) pub19  75% (12 samples sent)
(4733|4757) pub19  81% (13 samples sent)
(4733|4757) pub19  93% (15 samples sent)
(4733|4757) pub19  100% (16 samples sent)
(4733|4757) pub19  waiting for acks
(4733|4757) pub19  waiting for acks returned
(4733|4757) pub19<-delete_contained_entities
(4733|4778) pub40  writer id: 01030000.2f4ef186.0000002b.00000102(16f016c7)
(4733|4778) pub40->started
(4733|4778) pub40->wait_match() before write for 01030000.2f4ef186.0000002b.00000102(16f016c7)
(4733|4778) pub40<-match found! before write for 01030000.2f4ef186.0000002b.00000102(16f016c7)
(4733|4778) pub40  12% (2 samples sent)
(4733|4778) pub40  25% (4 samples sent)
(4733|4778) pub40  31% (5 samples sent)
(4733|4778) pub40  43% (7 samples sent)
(4733|4778) pub40  50% (8 samples sent)
(4733|4778) pub40  62% (10 samples sent)
(4733|4778) pub40  75% (12 samples sent)
(4733|4778) pub40  81% (13 samples sent)
(4733|4778) pub40  93% (15 samples sent)
(4733|4778) pub40  100% (16 samples sent)
(4733|4778) pub40  waiting for acks
(4733|4778) pub40  waiting for acks returned
(4733|4778) pub40<-delete_contained_entities
(4733|4742) pub0  writer id: 01030000.2f4ef186.00000006.00000102(2fa1fd72)
(4733|4742) pub0->started
(4733|4742) pub0->wait_match() before write for 01030000.2f4ef186.00000006.00000102(2fa1fd72)
(4733|4742) pub0<-match found! before write for 01030000.2f4ef186.00000006.00000102(2fa1fd72)
(4733|4742) pub0  12% (2 samples sent)
(4733|4742) pub0  25% (4 samples sent)
(4733|4742) pub0  31% (5 samples sent)
(4733|4742) pub0  43% (7 samples sent)
(4733|4742) pub0  50% (8 samples sent)
(4733|4742) pub0  62% (10 samples sent)
(4733|4742) pub0  75% (12 samples sent)
(4733|4742) pub0  81% (13 samples sent)
(4733|4742) pub0  93% (15 samples sent)
(4733|4742) pub0  100% (16 samples sent)
(4733|4742) pub0  waiting for acks
(4733|4742) pub0  waiting for acks returned
(4733|4742) pub0<-delete_contained_entities
(4733|4744) pub2  writer id: 01030000.2f4ef186.00000004.00000102(5561ae12)
(4733|4744) pub2->started
(4733|4744) pub2->wait_match() before write for 01030000.2f4ef186.00000004.00000102(5561ae12)
(4733|4744) pub2<-match found! before write for 01030000.2f4ef186.00000004.00000102(5561ae12)
(4733|4744) pub2  12% (2 samples sent)
(4733|4744) pub2  25% (4 samples sent)
(4733|4744) pub2  31% (5 samples sent)
(4733|4744) pub2  43% (7 samples sent)
(4733|4744) pub2  50% (8 samples sent)
(4733|4744) pub2  62% (10 samples sent)
(4733|4744) pub2  75% (12 samples sent)
(4733|4744) pub2  81% (13 samples sent)
(4733|4744) pub2  93% (15 samples sent)
(4733|4744) pub2  100% (16 samples sent)
(4733|4744) pub2  waiting for acks
(4733|4744) pub2  waiting for acks returned
(4733|4744) pub2<-delete_contained_entities
(4733|4788) pub47  writer id: 01030000.2f4ef186.00000032.00000102(7b00e334)
(4733|4788) pub47->started
(4733|4788) pub47->wait_match() before write for 01030000.2f4ef186.00000032.00000102(7b00e334)
(4733|4788) pub47<-match found! before write for 01030000.2f4ef186.00000032.00000102(7b00e334)
(4733|4788) pub47  12% (2 samples sent)
(4733|4788) pub47  25% (4 samples sent)
(4733|4788) pub47  31% (5 samples sent)
(4733|4788) pub47  43% (7 samples sent)
(4733|4788) pub47  50% (8 samples sent)
(4733|4788) pub47  62% (10 samples sent)
(4733|4788) pub47  75% (12 samples sent)
(4733|4788) pub47  81% (13 samples sent)
(4733|4788) pub47  93% (15 samples sent)
(4733|4788) pub47  100% (16 samples sent)
(4733|4788) pub47  waiting for acks
(4733|4788) pub47  waiting for acks returned
(4733|4788) pub47<-delete_contained_entities
(4733|4749) pub7  writer id: 01030000.2f4ef186.00000010.00000102(c0019f50)
(4733|4749) pub7->started
(4733|4749) pub7->wait_match() before write for 01030000.2f4ef186.00000010.00000102(c0019f50)
(4733|4749) pub7<-match found! before write for 01030000.2f4ef186.00000010.00000102(c0019f50)
(4733|4749) pub7  12% (2 samples sent)
(4733|4749) pub7  25% (4 samples sent)
(4733|4749) pub7  31% (5 samples sent)
(4733|4749) pub7  43% (7 samples sent)
(4733|4749) pub7  50% (8 samples sent)
(4733|4749) pub7  62% (10 samples sent)
(4733|4749) pub7  75% (12 samples sent)
(4733|4749) pub7  81% (13 samples sent)
(4733|4741)  sub 10% (103 samples received)
(4733|4749) pub7  93% (15 samples sent)
(4733|4749) pub7  100% (16 samples sent)
(4733|4749) pub7  waiting for acks
(4733|4746) pub3  writer id: 01030000.2f4ef186.00000005.00000102(680187a2)
(4733|4746) pub3->started
(4733|4746) pub3->wait_match() before write for 01030000.2f4ef186.00000005.00000102(680187a2)
(4733|4749) pub7  waiting for acks returned
(4733|4749) pub7<-delete_contained_entities
(4733|4746) pub3<-match found! before write for 01030000.2f4ef186.00000005.00000102(680187a2)
(4733|4746) pub3  12% (2 samples sent)
(4733|4746) pub3  25% (4 samples sent)
(4733|4746) pub3  31% (5 samples sent)
(4733|4746) pub3  43% (7 samples sent)
(4733|4746) pub3  50% (8 samples sent)
(4733|4746) pub3  62% (10 samples sent)
(4733|4746) pub3  75% (12 samples sent)
(4733|4746) pub3  81% (13 samples sent)
(4733|4746) pub3  93% (15 samples sent)
(4733|4746) pub3  100% (16 samples sent)
(4733|4746) pub3  waiting for acks
(4733|4751) pub9  writer id: 01030000.2f4ef186.0000000f.00000102(22b19f03)
(4733|4751) pub9->started
(4733|4751) pub9->wait_match() before write for 01030000.2f4ef186.0000000f.00000102(22b19f03)
(4733|4751) pub9<-match found! before write for 01030000.2f4ef186.0000000f.00000102(22b19f03)
(4733|4751) pub9  12% (2 samples sent)
(4733|4751) pub9  25% (4 samples sent)
(4733|4751) pub9  31% (5 samples sent)
(4733|4751) pub9  43% (7 samples sent)
(4733|4751) pub9  50% (8 samples sent)
(4733|4751) pub9  62% (10 samples sent)
(4733|4751) pub9  75% (12 samples sent)
(4733|4751) pub9  81% (13 samples sent)
(4733|4751) pub9  93% (15 samples sent)
(4733|4751) pub9  100% (16 samples sent)
(4733|4751) pub9  waiting for acks
(4733|4746) pub3  waiting for acks returned
(4733|4746) pub3<-delete_contained_entities
(4733|4751) pub9  waiting for acks returned
(4733|4751) pub9<-delete_contained_entities
(4733|4795) pub59  writer id: 01030000.2f4ef186.00000040.00000102(f812509b)
(4733|4795) pub59->started
(4733|4795) pub59->wait_match() before write for 01030000.2f4ef186.00000040.00000102(f812509b)
(4733|4795) pub59<-match found! before write for 01030000.2f4ef186.00000040.00000102(f812509b)
(4733|4795) pub59  12% (2 samples sent)
(4733|4795) pub59  25% (4 samples sent)
(4733|4795) pub59  31% (5 samples sent)
(4733|4795) pub59  43% (7 samples sent)
(4733|4795) pub59  50% (8 samples sent)
(4733|4795) pub59  62% (10 samples sent)
(4733|4795) pub59  75% (12 samples sent)
(4733|4795) pub59  81% (13 samples sent)
(4733|4795) pub59  93% (15 samples sent)
(4733|4795) pub59  100% (16 samples sent)
(4733|4795) pub59  waiting for acks
(4733|4795) pub59  waiting for acks returned
(4733|4795) pub59<-delete_contained_entities
(4733|4747) pub5  writer id: 01030000.2f4ef186.00000008.00000102(90914313)
(4733|4747) pub5->started
(4733|4747) pub5->wait_match() before write for 01030000.2f4ef186.00000008.00000102(90914313)
(4733|4747) pub5<-match found! before write for 01030000.2f4ef186.00000008.00000102(90914313)
(4733|4747) pub5  12% (2 samples sent)
(4733|4747) pub5  25% (4 samples sent)
(4733|4747) pub5  31% (5 samples sent)
(4733|4747) pub5  43% (7 samples sent)
(4733|4747) pub5  50% (8 samples sent)
(4733|4747) pub5  62% (10 samples sent)
(4733|4747) pub5  75% (12 samples sent)
(4733|4747) pub5  81% (13 samples sent)
(4733|4747) pub5  93% (15 samples sent)
(4733|4747) pub5  100% (16 samples sent)
(4733|4747) pub5  waiting for acks
(4733|4747) pub5  waiting for acks returned
(4733|4747) pub5<-delete_contained_entities
(4733|4752) pub10  writer id: 01030000.2f4ef186.00000007.00000102(12c1d4c2)
(4733|4752) pub10->started
(4733|4752) pub10->wait_match() before write for 01030000.2f4ef186.00000007.00000102(12c1d4c2)
(4733|4752) pub10<-match found! before write for 01030000.2f4ef186.00000007.00000102(12c1d4c2)
(4733|4752) pub10  12% (2 samples sent)
(4733|4752) pub10  25% (4 samples sent)
(4733|4752) pub10  31% (5 samples sent)
(4733|4752) pub10  43% (7 samples sent)
(4733|4752) pub10  50% (8 samples sent)
(4733|4752) pub10  62% (10 samples sent)
(4733|4752) pub10  75% (12 samples sent)
(4733|4752) pub10  81% (13 samples sent)
(4733|4752) pub10  93% (15 samples sent)
(4733|4752) pub10  100% (16 samples sent)
(4733|4752) pub10  waiting for acks
(4733|4752) pub10  waiting for acks returned
(4733|4752) pub10<-delete_contained_entities
(4733|4767) pub21  writer id: 01030000.2f4ef186.00000019.00000102(cd11fd21)
(4733|4767) pub21->started
(4733|4767) pub21->wait_match() before write for 01030000.2f4ef186.00000019.00000102(cd11fd21)
(4733|4767) pub21<-match found! before write for 01030000.2f4ef186.00000019.00000102(cd11fd21)
(4733|4767) pub21  12% (2 samples sent)
(4733|4767) pub21  25% (4 samples sent)
(4733|4767) pub21  31% (5 samples sent)
(4733|4767) pub21  43% (7 samples sent)
(4733|4767) pub21  50% (8 samples sent)
(4733|4767) pub21  62% (10 samples sent)
(4733|4767) pub21  75% (12 samples sent)
(4733|4767) pub21  81% (13 samples sent)
(4733|4767) pub21  93% (15 samples sent)
(4733|4767) pub21  100% (16 samples sent)
(4733|4767) pub21  waiting for acks
(4733|4741)  sub 20% (205 samples received)
(4733|4767) pub21  waiting for acks returned
(4733|4767) pub21<-delete_contained_entities
(4733|4748) pub6  writer id: 01030000.2f4ef186.00000009.00000102(adf16aa3)
(4733|4748) pub6->started
(4733|4748) pub6->wait_match() before write for 01030000.2f4ef186.00000009.00000102(adf16aa3)
(4733|4748) pub6<-match found! before write for 01030000.2f4ef186.00000009.00000102(adf16aa3)
(4733|4748) pub6  12% (2 samples sent)
(4733|4748) pub6  25% (4 samples sent)
(4733|4748) pub6  31% (5 samples sent)
(4733|4748) pub6  43% (7 samples sent)
(4733|4748) pub6  50% (8 samples sent)
(4733|4748) pub6  62% (10 samples sent)
(4733|4748) pub6  75% (12 samples sent)
(4733|4748) pub6  81% (13 samples sent)
(4733|4748) pub6  93% (15 samples sent)
(4733|4748) pub6  100% (16 samples sent)
(4733|4748) pub6  waiting for acks
(4733|4745) pub4  writer id: 01030000.2f4ef186.0000000b.00000102(d73139c3)
(4733|4745) pub4->started
(4733|4745) pub4->wait_match() before write for 01030000.2f4ef186.0000000b.00000102(d73139c3)
(4733|4745) pub4<-match found! before write for 01030000.2f4ef186.0000000b.00000102(d73139c3)
(4733|4745) pub4  12% (2 samples sent)
(4733|4745) pub4  25% (4 samples sent)
(4733|4745) pub4  31% (5 samples sent)
(4733|4755) pub13  writer id: 01030000.2f4ef186.0000000a.00000102(ea511073)
(4733|4755) pub13->started
(4733|4755) pub13->wait_match() before write for 01030000.2f4ef186.0000000a.00000102(ea511073)
(4733|4745) pub4  43% (7 samples sent)
(4733|4745) pub4  50% (8 samples sent)
(4733|4745) pub4  62% (10 samples sent)
(4733|4745) pub4  75% (12 samples sent)
(4733|4745) pub4  81% (13 samples sent)
(4733|4745) pub4  93% (15 samples sent)
(4733|4745) pub4  100% (16 samples sent)
(4733|4745) pub4  waiting for acks
(4733|4748) pub6  waiting for acks returned
(4733|4748) pub6<-delete_contained_entities
(4733|4755) pub13<-match found! before write for 01030000.2f4ef186.0000000a.00000102(ea511073)
(4733|4755) pub13  12% (2 samples sent)
(4733|4755) pub13  25% (4 samples sent)
(4733|4755) pub13  31% (5 samples sent)
(4733|4755) pub13  43% (7 samples sent)
(4733|4755) pub13  50% (8 samples sent)
(4733|4755) pub13  62% (10 samples sent)
(4733|4755) pub13  75% (12 samples sent)
(4733|4755) pub13  81% (13 samples sent)
(4733|4755) pub13  93% (15 samples sent)
(4733|4755) pub13  100% (16 samples sent)
(4733|4755) pub13  waiting for acks
(4733|4803) pub31  writer id: 01030000.2f4ef186.00000024.00000102(94a08116)
(4733|4803) pub31->started
(4733|4803) pub31->wait_match() before write for 01030000.2f4ef186.00000024.00000102(94a08116)
(4733|4745) pub4  waiting for acks returned
(4733|4745) pub4<-delete_contained_entities
(4733|4803) pub31<-match found! before write for 01030000.2f4ef186.00000024.00000102(94a08116)
(4733|4803) pub31  12% (2 samples sent)
(4733|4803) pub31  25% (4 samples sent)
(4733|4803) pub31  31% (5 samples sent)
(4733|4803) pub31  43% (7 samples sent)
(4733|4803) pub31  50% (8 samples sent)
(4733|4803) pub31  62% (10 samples sent)
(4733|4803) pub31  75% (12 samples sent)
(4733|4803) pub31  81% (13 samples sent)
(4733|4803) pub31  93% (15 samples sent)
(4733|4803) pub31  100% (16 samples sent)
(4733|4803) pub31  waiting for acks
(4733|4758) pub15  writer id: 01030000.2f4ef186.0000000e.00000102(1fd1b6b3)
(4733|4758) pub15->started
(4733|4758) pub15->wait_match() before write for 01030000.2f4ef186.0000000e.00000102(1fd1b6b3)
(4733|4758) pub15<-match found! before write for 01030000.2f4ef186.0000000e.00000102(1fd1b6b3)
(4733|4758) pub15  12% (2 samples sent)
(4733|4758) pub15  25% (4 samples sent)
(4733|4758) pub15  31% (5 samples sent)
(4733|4758) pub15  43% (7 samples sent)
(4733|4758) pub15  50% (8 samples sent)
(4733|4758) pub15  62% (10 samples sent)
(4733|4758) pub15  75% (12 samples sent)
(4733|4758) pub15  81% (13 samples sent)
(4733|4755) pub13  waiting for acks returned
(4733|4755) pub13<-delete_contained_entities
(4733|4758) pub15  93% (15 samples sent)
(4733|4758) pub15  100% (16 samples sent)
(4733|4758) pub15  waiting for acks
(4733|4750) pub8  writer id: 01030000.2f4ef186.0000000d.00000102(5871cc63)
(4733|4750) pub8->started
(4733|4750) pub8->wait_match() before write for 01030000.2f4ef186.0000000d.00000102(5871cc63)
(4733|4803) pub31  waiting for acks returned
(4733|4803) pub31<-delete_contained_entities
(4733|4794) pub51  writer id: 01030000.2f4ef186.00000036.00000102(8e8045f4)
(4733|4794) pub51->started
(4733|4794) pub51->wait_match() before write for 01030000.2f4ef186.00000036.00000102(8e8045f4)
(4733|4750) pub8<-match found! before write for 01030000.2f4ef186.0000000d.00000102(5871cc63)
(4733|4750) pub8  12% (2 samples sent)
(4733|4750) pub8  25% (4 samples sent)
(4733|4750) pub8  31% (5 samples sent)
(4733|4750) pub8  43% (7 samples sent)
(4733|4750) pub8  50% (8 samples sent)
(4733|4750) pub8  62% (10 samples sent)
(4733|4750) pub8  75% (12 samples sent)
(4733|4750) pub8  81% (13 samples sent)
(4733|4750) pub8  93% (15 samples sent)
(4733|4750) pub8  100% (16 samples sent)
(4733|4750) pub8  waiting for acks
(4733|4794) pub51<-match found! before write for 01030000.2f4ef186.00000036.00000102(8e8045f4)
(4733|4794) pub51  12% (2 samples sent)
(4733|4794) pub51  25% (4 samples sent)
(4733|4794) pub51  31% (5 samples sent)
(4733|4794) pub51  43% (7 samples sent)
(4733|4794) pub51  50% (8 samples sent)
(4733|4794) pub51  62% (10 samples sent)
(4733|4794) pub51  75% (12 samples sent)
(4733|4758) pub15  waiting for acks returned
(4733|4758) pub15<-delete_contained_entities
(4733|4794) pub51  81% (13 samples sent)
(4733|4794) pub51  93% (15 samples sent)
(4733|4794) pub51  100% (16 samples sent)
(4733|4794) pub51  waiting for acks
(4733|4741)  sub 30% (308 samples received)
(4733|4786) pub54  writer id: 01030000.2f4ef186.00000039.00000102(0cd0d225)
(4733|4786) pub54->started
(4733|4786) pub54->wait_match() before write for 01030000.2f4ef186.00000039.00000102(0cd0d225)
(4733|4791) pub38  writer id: 01030000.2f4ef186.0000002a.00000102(2b903f77)
(4733|4791) pub38->started
(4733|4791) pub38->wait_match() before write for 01030000.2f4ef186.0000002a.00000102(2b903f77)
(4733|4750) pub8  waiting for acks returned
(4733|4750) pub8<-delete_contained_entities
(4733|4786) pub54<-match found! before write for 01030000.2f4ef186.00000039.00000102(0cd0d225)
(4733|4790) pub43  writer id: 01030000.2f4ef186.0000002e.00000102(de1099b7)
(4733|4790) pub43->started
(4733|4790) pub43->wait_match() before write for 01030000.2f4ef186.0000002e.00000102(de1099b7)
(4733|4786) pub54  12% (2 samples sent)
(4733|4786) pub54  25% (4 samples sent)
(4733|4786) pub54  31% (5 samples sent)
(4733|4786) pub54  43% (7 samples sent)
(4733|4786) pub54  50% (8 samples sent)
(4733|4786) pub54  62% (10 samples sent)
(4733|4786) pub54  75% (12 samples sent)
(4733|4786) pub54  81% (13 samples sent)
(4733|4786) pub54  93% (15 samples sent)
(4733|4786) pub54  100% (16 samples sent)
(4733|4786) pub54  waiting for acks
(4733|4791) pub38<-match found! before write for 01030000.2f4ef186.0000002a.00000102(2b903f77)
(4733|4791) pub38  12% (2 samples sent)
(4733|4791) pub38  25% (4 samples sent)
(4733|4791) pub38  31% (5 samples sent)
(4733|4791) pub38  43% (7 samples sent)
(4733|4791) pub38  50% (8 samples sent)
(4733|4791) pub38  62% (10 samples sent)
(4733|4791) pub38  75% (12 samples sent)
(4733|4791) pub38  81% (13 samples sent)
(4733|4791) pub38  93% (15 samples sent)
(4733|4791) pub38  100% (16 samples sent)
(4733|4791) pub38  waiting for acks
(4733|4773) pub63  writer id: 01030000.2f4ef186.00000042.00000102(82d203fb)
(4733|4773) pub63->started
(4733|4773) pub63->wait_match() before write for 01030000.2f4ef186.00000042.00000102(82d203fb)
(4733|4790) pub43<-match found! before write for 01030000.2f4ef186.0000002e.00000102(de1099b7)
(4733|4790) pub43  12% (2 samples sent)
(4733|4790) pub43  25% (4 samples sent)
(4733|4790) pub43  31% (5 samples sent)
(4733|4790) pub43  43% (7 samples sent)
(4733|4790) pub43  50% (8 samples sent)
(4733|4790) pub43  62% (10 samples sent)
(4733|4790) pub43  75% (12 samples sent)
(4733|4790) pub43  81% (13 samples sent)
(4733|4790) pub43  93% (15 samples sent)
(4733|4790) pub43  100% (16 samples sent)
(4733|4790) pub43  waiting for acks
(4733|4794) pub51  waiting for acks returned
(4733|4794) pub51<-delete_contained_entities
(4733|4773) pub63<-match found! before write for 01030000.2f4ef186.00000042.00000102(82d203fb)
(4733|4756) pub14  writer id: 01030000.2f4ef186.00000014.00000102(35813990)
(4733|4756) pub14->started
(4733|4756) pub14->wait_match() before write for 01030000.2f4ef186.00000014.00000102(35813990)
(4733|4773) pub63  12% (2 samples sent)
(4733|4773) pub63  25% (4 samples sent)
(4733|4773) pub63  31% (5 samples sent)
(4733|4773) pub63  43% (7 samples sent)
(4733|4773) pub63  50% (8 samples sent)
(4733|4773) pub63  62% (10 samples sent)
(4733|4773) pub63  75% (12 samples sent)
(4733|4773) pub63  81% (13 samples sent)
(4733|4773) pub63  93% (15 samples sent)
(4733|4773) pub63  100% (16 samples sent)
(4733|4773) pub63  waiting for acks
(4733|4786) pub54  waiting for acks returned
(4733|4786) pub54<-delete_contained_entities
(4733|4754) pub12  writer id: 01030000.2f4ef186.00000012.00000102(bac1cc30)
(4733|4754) pub12->started
(4733|4754) pub12->wait_match() before write for 01030000.2f4ef186.00000012.00000102(bac1cc30)
(4733|4804) pub34  writer id: 01030000.2f4ef186.00000026.00000102(ee60d276)
(4733|4804) pub34->started
(4733|4804) pub34->wait_match() before write for 01030000.2f4ef186.00000026.00000102(ee60d276)
(4733|4753) pub11  writer id: 01030000.2f4ef186.00000011.00000102(fd61b6e0)
(4733|4753) pub11->started
(4733|4753) pub11->wait_match() before write for 01030000.2f4ef186.00000011.00000102(fd61b6e0)
(4733|4775) pub62  writer id: 01030000.2f4ef186.00000041.00000102(c572792b)
(4733|4775) pub62->started
(4733|4775) pub62->wait_match() before write for 01030000.2f4ef186.00000041.00000102(c572792b)
(4733|4797) pub53  writer id: 01030000.2f4ef186.00000038.00000102(31b0fb95)
(4733|4797) pub53->started
(4733|4797) pub53->wait_match() before write for 01030000.2f4ef186.00000038.00000102(31b0fb95)
(4733|4765) pub17  writer id: 01030000.2f4ef186.00000016.00000102(4f416af0)
(4733|4765) pub17->started
(4733|4765) pub17->wait_match() before write for 01030000.2f4ef186.00000016.00000102(4f416af0)
(4733|4756) pub14<-match found! before write for 01030000.2f4ef186.00000014.00000102(35813990)
(4733|4762) pub16  writer id: 01030000.2f4ef186.00000015.00000102(08e11020)
(4733|4756) pub14  12% (2 samples sent)
(4733|4762) pub16->started
(4733|4762) pub16->wait_match() before write for 01030000.2f4ef186.00000015.00000102(08e11020)
(4733|4756) pub14  25% (4 samples sent)
(4733|4756) pub14  31% (5 samples sent)
(4733|4756) pub14  43% (7 samples sent)
(4733|4756) pub14  50% (8 samples sent)
(4733|4756) pub14  62% (10 samples sent)
(4733|4756) pub14  75% (12 samples sent)
(4733|4756) pub14  81% (13 samples sent)
(4733|4756) pub14  93% (15 samples sent)
(4733|4756) pub14  100% (16 samples sent)
(4733|4756) pub14  waiting for acks
(4733|4754) pub12<-match found! before write for 01030000.2f4ef186.00000012.00000102(bac1cc30)
(4733|4791) pub38  waiting for acks returned
(4733|4791) pub38<-delete_contained_entities
(4733|4754) pub12  12% (2 samples sent)
(4733|4804) pub34<-match found! before write for 01030000.2f4ef186.00000026.00000102(ee60d276)
(4733|4754) pub12  25% (4 samples sent)
(4733|4754) pub12  31% (5 samples sent)
(4733|4804) pub34  12% (2 samples sent)
(4733|4754) pub12  43% (7 samples sent)
(4733|4804) pub34  25% (4 samples sent)
(4733|4754) pub12  50% (8 samples sent)
(4733|4804) pub34  31% (5 samples sent)
(4733|4754) pub12  62% (10 samples sent)
(4733|4804) pub34  43% (7 samples sent)
(4733|4804) pub34  50% (8 samples sent)
(4733|4754) pub12  75% (12 samples sent)
(4733|4754) pub12  81% (13 samples sent)
(4733|4804) pub34  62% (10 samples sent)
(4733|4804) pub34  75% (12 samples sent)
(4733|4804) pub34  81% (13 samples sent)
(4733|4754) pub12  93% (15 samples sent)
(4733|4754) pub12  100% (16 samples sent)
(4733|4754) pub12  waiting for acks
(4733|4804) pub34  93% (15 samples sent)
(4733|4804) pub34  100% (16 samples sent)
(4733|4804) pub34  waiting for acks
(4733|4753) pub11<-match found! before write for 01030000.2f4ef186.00000011.00000102(fd61b6e0)
(4733|4790) pub43  waiting for acks returned
(4733|4790) pub43<-delete_contained_entities
(4733|4775) pub62<-match found! before write for 01030000.2f4ef186.00000041.00000102(c572792b)
(4733|4775) pub62  12% (2 samples sent)
(4733|4775) pub62  25% (4 samples sent)
(4733|4775) pub62  31% (5 samples sent)
(4733|4775) pub62  43% (7 samples sent)
(4733|4775) pub62  50% (8 samples sent)
(4733|4785) pub39  writer id: 01030000.2f4ef186.00000013.00000102(87a1e580)
(4733|4785) pub39->started
(4733|4785) pub39->wait_match() before write for 01030000.2f4ef186.00000013.00000102(87a1e580)
(4733|4775) pub62  62% (10 samples sent)
(4733|4753) pub11  12% (2 samples sent)
(4733|4775) pub62  75% (12 samples sent)
(4733|4753) pub11  25% (4 samples sent)
(4733|4775) pub62  81% (13 samples sent)
(4733|4753) pub11  31% (5 samples sent)
(4733|4775) pub62  93% (15 samples sent)
(4733|4775) pub62  100% (16 samples sent)
(4733|4775) pub62  waiting for acks
(4733|4753) pub11  43% (7 samples sent)
(4733|4753) pub11  50% (8 samples sent)
(4733|4753) pub11  62% (10 samples sent)
(4733|4753) pub11  75% (12 samples sent)
(4733|4753) pub11  81% (13 samples sent)
(4733|4753) pub11  93% (15 samples sent)
(4733|4753) pub11  100% (16 samples sent)
(4733|4753) pub11  waiting for acks
(4733|4797) pub53<-match found! before write for 01030000.2f4ef186.00000038.00000102(31b0fb95)
(4733|4797) pub53  12% (2 samples sent)
(4733|4797) pub53  25% (4 samples sent)
(4733|4797) pub53  31% (5 samples sent)
(4733|4797) pub53  43% (7 samples sent)
(4733|4797) pub53  50% (8 samples sent)
(4733|4797) pub53  62% (10 samples sent)
(4733|4797) pub53  75% (12 samples sent)
(4733|4797) pub53  81% (13 samples sent)
(4733|4797) pub53  93% (15 samples sent)
(4733|4797) pub53  100% (16 samples sent)
(4733|4797) pub53  waiting for acks
(4733|4765) pub17<-match found! before write for 01030000.2f4ef186.00000016.00000102(4f416af0)
(4733|4760) pub18  writer id: 01030000.2f4ef186.00000017.00000102(72214340)
(4733|4760) pub18->started
(4733|4760) pub18->wait_match() before write for 01030000.2f4ef186.00000017.00000102(72214340)
(4733|4765) pub17  12% (2 samples sent)
(4733|4765) pub17  25% (4 samples sent)
(4733|4773) pub63  waiting for acks returned
(4733|4773) pub63<-delete_contained_entities
(4733|4765) pub17  31% (5 samples sent)
(4733|4765) pub17  43% (7 samples sent)
(4733|4765) pub17  50% (8 samples sent)
(4733|4765) pub17  62% (10 samples sent)
(4733|4765) pub17  75% (12 samples sent)
(4733|4765) pub17  81% (13 samples sent)
(4733|4765) pub17  93% (15 samples sent)
(4733|4765) pub17  100% (16 samples sent)
(4733|4765) pub17  waiting for acks
(4733|4762) pub16<-match found! before write for 01030000.2f4ef186.00000015.00000102(08e11020)
(4733|4785) pub39<-match found! before write for 01030000.2f4ef186.00000013.00000102(87a1e580)
(4733|4785) pub39  12% (2 samples sent)
(4733|4762) pub16  12% (2 samples sent)
(4733|4785) pub39  25% (4 samples sent)
(4733|4762) pub16  25% (4 samples sent)
(4733|4785) pub39  31% (5 samples sent)
(4733|4762) pub16  31% (5 samples sent)
(4733|4785) pub39  43% (7 samples sent)
(4733|4762) pub16  43% (7 samples sent)
(4733|4785) pub39  50% (8 samples sent)
(4733|4785) pub39  62% (10 samples sent)
(4733|4768) pub20  writer id: 01030000.2f4ef186.00000018.00000102(f071d491)
(4733|4762) pub16  50% (8 samples sent)
(4733|4768) pub20->started
(4733|4768) pub20->wait_match() before write for 01030000.2f4ef186.00000018.00000102(f071d491)
(4733|4762) pub16  62% (10 samples sent)
(4733|4762) pub16  75% (12 samples sent)
(4733|4762) pub16  81% (13 samples sent)
(4733|4762) pub16  93% (15 samples sent)
(4733|4762) pub16  100% (16 samples sent)
(4733|4762) pub16  waiting for acks
(4733|4785) pub39  75% (12 samples sent)
(4733|4785) pub39  81% (13 samples sent)
(4733|4785) pub39  93% (15 samples sent)
(4733|4785) pub39  100% (16 samples sent)
(4733|4785) pub39  waiting for acks
(4733|4759) pub22  writer id: 01030000.2f4ef186.0000001a.00000102(8ab187f1)
(4733|4759) pub22->started
(4733|4759) pub22->wait_match() before write for 01030000.2f4ef186.0000001a.00000102(8ab187f1)
(4733|4766) pub23  writer id: 01030000.2f4ef186.0000001b.00000102(b7d1ae41)
(4733|4766) pub23->started
(4733|4766) pub23->wait_match() before write for 01030000.2f4ef186.0000001b.00000102(b7d1ae41)
(4733|4764) pub24  writer id: 01030000.2f4ef186.0000001c.00000102(05f17251)
(4733|4764) pub24->started
(4733|4764) pub24->wait_match() before write for 01030000.2f4ef186.0000001c.00000102(05f17251)
(4733|4741)  sub 40% (410 samples received)
(4733|4763) pub25  writer id: 01030000.2f4ef186.0000001d.00000102(38915be1)
(4733|4763) pub25->started
(4733|4763) pub25->wait_match() before write for 01030000.2f4ef186.0000001d.00000102(38915be1)
(4733|4761) pub26  writer id: 01030000.2f4ef186.0000001e.00000102(7f312131)
(4733|4761) pub26->started
(4733|4761) pub26->wait_match() before write for 01030000.2f4ef186.0000001e.00000102(7f312131)
(4733|4777) pub27  writer id: 01030000.2f4ef186.0000001f.00000102(42510881)
(4733|4777) pub27->started
(4733|4777) pub27->wait_match() before write for 01030000.2f4ef186.0000001f.00000102(42510881)
(4733|4782) pub28  writer id: 01030000.2f4ef186.00000020.00000102(612027d6)
(4733|4782) pub28->started
(4733|4782) pub28->wait_match() before write for 01030000.2f4ef186.00000020.00000102(612027d6)
(4733|4779) pub29  writer id: 01030000.2f4ef186.00000021.00000102(5c400e66)
(4733|4779) pub29->started
(4733|4779) pub29->wait_match() before write for 01030000.2f4ef186.00000021.00000102(5c400e66)
(4733|4805) pub32  writer id: 01030000.2f4ef186.00000023.00000102(26805d06)
(4733|4805) pub32->started
(4733|4805) pub32->wait_match() before write for 01030000.2f4ef186.00000023.00000102(26805d06)
(4733|4801) pub30  writer id: 01030000.2f4ef186.00000022.00000102(1be074b6)
(4733|4801) pub30->started
(4733|4801) pub30->wait_match() before write for 01030000.2f4ef186.00000022.00000102(1be074b6)
(4733|4800) pub33  writer id: 01030000.2f4ef186.00000025.00000102(a9c0a8a6)
(4733|4800) pub33->started
(4733|4800) pub33->wait_match() before write for 01030000.2f4ef186.00000025.00000102(a9c0a8a6)
(4733|4774) pub41  writer id: 01030000.2f4ef186.0000002c.00000102(a4d0cad7)
(4733|4774) pub41->started
(4733|4774) pub41->wait_match() before write for 01030000.2f4ef186.0000002c.00000102(a4d0cad7)
(4733|4776) pub45  writer id: 01030000.2f4ef186.00000030.00000102(01c0b054)
(4733|4776) pub45->started
(4733|4776) pub45->wait_match() before write for 01030000.2f4ef186.00000030.00000102(01c0b054)
(4733|4741)  sub 50% (512 samples received)
(4733|4760) pub18<-match found! before write for 01030000.2f4ef186.00000017.00000102(72214340)
(4733|4760) pub18  12% (2 samples sent)
(4733|4760) pub18  25% (4 samples sent)
(4733|4760) pub18  31% (5 samples sent)
(4733|4760) pub18  43% (7 samples sent)
(4733|4760) pub18  50% (8 samples sent)
(4733|4798) pub52  writer id: 01030000.2f4ef186.00000037.00000102(b3e06c44)
(4733|4798) pub52->started
(4733|4798) pub52->wait_match() before write for 01030000.2f4ef186.00000037.00000102(b3e06c44)
(4733|4760) pub18  62% (10 samples sent)
(4733|4760) pub18  75% (12 samples sent)
(4733|4760) pub18  81% (13 samples sent)
(4733|4760) pub18  93% (15 samples sent)
(4733|4760) pub18  100% (16 samples sent)
(4733|4760) pub18  waiting for acks
(4733|4768) pub20<-match found! before write for 01030000.2f4ef186.00000018.00000102(f071d491)
(4733|4768) pub20  12% (2 samples sent)
(4733|4768) pub20  25% (4 samples sent)
(4733|4768) pub20  31% (5 samples sent)
(4733|4768) pub20  43% (7 samples sent)
(4733|4768) pub20  50% (8 samples sent)
(4733|4768) pub20  62% (10 samples sent)
(4733|4768) pub20  75% (12 samples sent)
(4733|4768) pub20  81% (13 samples sent)
(4733|4768) pub20  93% (15 samples sent)
(4733|4768) pub20  100% (16 samples sent)
(4733|4768) pub20  waiting for acks
(4733|4756) pub14  waiting for acks returned
(4733|4756) pub14<-delete_contained_entities
(4733|4759) pub22<-match found! before write for 01030000.2f4ef186.0000001a.00000102(8ab187f1)
(4733|4766) pub23<-match found! before write for 01030000.2f4ef186.0000001b.00000102(b7d1ae41)
(4733|4759) pub22  12% (2 samples sent)
(4733|4766) pub23  12% (2 samples sent)
(4733|4759) pub22  25% (4 samples sent)
(4733|4759) pub22  31% (5 samples sent)
(4733|4766) pub23  25% (4 samples sent)
(4733|4766) pub23  31% (5 samples sent)
(4733|4766) pub23  43% (7 samples sent)
(4733|4766) pub23  50% (8 samples sent)
(4733|4766) pub23  62% (10 samples sent)
(4733|4766) pub23  75% (12 samples sent)
(4733|4766) pub23  81% (13 samples sent)
(4733|4766) pub23  93% (15 samples sent)
(4733|4766) pub23  100% (16 samples sent)
(4733|4766) pub23  waiting for acks
(4733|4783) pub48  writer id: 01030000.2f4ef186.00000033.00000102(4660ca84)
(4733|4783) pub48->started
(4733|4764) pub24<-match found! before write for 01030000.2f4ef186.0000001c.00000102(05f17251)
(4733|4783) pub48->wait_match() before write for 01030000.2f4ef186.00000033.00000102(4660ca84)
(4733|4759) pub22  43% (7 samples sent)
(4733|4764) pub24  12% (2 samples sent)
(4733|4759) pub22  50% (8 samples sent)
(4733|4764) pub24  25% (4 samples sent)
(4733|4759) pub22  62% (10 samples sent)
(4733|4764) pub24  31% (5 samples sent)
(4733|4764) pub24  43% (7 samples sent)
(4733|4764) pub24  50% (8 samples sent)
(4733|4764) pub24  62% (10 samples sent)
(4733|4764) pub24  75% (12 samples sent)
(4733|4764) pub24  81% (13 samples sent)
(4733|4764) pub24  93% (15 samples sent)
(4733|4764) pub24  100% (16 samples sent)
(4733|4764) pub24  waiting for acks
(4733|4759) pub22  75% (12 samples sent)
(4733|4759) pub22  81% (13 samples sent)
(4733|4759) pub22  93% (15 samples sent)
(4733|4759) pub22  100% (16 samples sent)
(4733|4759) pub22  waiting for acks
(4733|4793) pub44  writer id: 01030000.2f4ef186.0000002f.00000102(e370b007)
(4733|4793) pub44->started
(4733|4793) pub44->wait_match() before write for 01030000.2f4ef186.0000002f.00000102(e370b007)
(4733|4763) pub25<-match found! before write for 01030000.2f4ef186.0000001d.00000102(38915be1)
(4733|4763) pub25  12% (2 samples sent)
(4733|4763) pub25  25% (4 samples sent)
(4733|4763) pub25  31% (5 samples sent)
(4733|4763) pub25  43% (7 samples sent)
(4733|4763) pub25  50% (8 samples sent)
(4733|4763) pub25  62% (10 samples sent)
(4733|4763) pub25  75% (12 samples sent)
(4733|4763) pub25  81% (13 samples sent)
(4733|4763) pub25  93% (15 samples sent)
(4733|4763) pub25  100% (16 samples sent)
(4733|4763) pub25  waiting for acks
(4733|4789) pub36  writer id: 01030000.2f4ef186.00000028.00000102(51506c17)
(4733|4789) pub36->started
(4733|4789) pub36->wait_match() before write for 01030000.2f4ef186.00000028.00000102(51506c17)
(4733|4761) pub26<-match found! before write for 01030000.2f4ef186.0000001e.00000102(7f312131)
(4733|4761) pub26  12% (2 samples sent)
(4733|4761) pub26  25% (4 samples sent)
(4733|4761) pub26  31% (5 samples sent)
(4733|4761) pub26  43% (7 samples sent)
(4733|4761) pub26  50% (8 samples sent)
(4733|4761) pub26  62% (10 samples sent)
(4733|4761) pub26  75% (12 samples sent)
(4733|4761) pub26  81% (13 samples sent)
(4733|4761) pub26  93% (15 samples sent)
(4733|4761) pub26  100% (16 samples sent)
(4733|4761) pub26  waiting for acks
(4733|4754) pub12  waiting for acks returned
(4733|4754) pub12<-delete_contained_entities
(4733|4804) pub34  waiting for acks returned
(4733|4804) pub34<-delete_contained_entities
(4733|4777) pub27<-match found! before write for 01030000.2f4ef186.0000001f.00000102(42510881)
(4733|4780) pub46  writer id: 01030000.2f4ef186.00000031.00000102(3ca099e4)
(4733|4780) pub46->started
(4733|4780) pub46->wait_match() before write for 01030000.2f4ef186.00000031.00000102(3ca099e4)
(4733|4777) pub27  12% (2 samples sent)
(4733|4777) pub27  25% (4 samples sent)
(4733|4777) pub27  31% (5 samples sent)
(4733|4777) pub27  43% (7 samples sent)
(4733|4777) pub27  50% (8 samples sent)
(4733|4777) pub27  62% (10 samples sent)
(4733|4777) pub27  75% (12 samples sent)
(4733|4777) pub27  81% (13 samples sent)
(4733|4777) pub27  93% (15 samples sent)
(4733|4777) pub27  100% (16 samples sent)
(4733|4777) pub27  waiting for acks
(4733|4769) pub42  writer id: 01030000.2f4ef186.0000002d.00000102(99b0e367)
(4733|4769) pub42->started
(4733|4769) pub42->wait_match() before write for 01030000.2f4ef186.0000002d.00000102(99b0e367)
(4733|4782) pub28<-match found! before write for 01030000.2f4ef186.00000020.00000102(612027d6)
(4733|4782) pub28  12% (2 samples sent)
(4733|4782) pub28  25% (4 samples sent)
(4733|4782) pub28  31% (5 samples sent)
(4733|4782) pub28  43% (7 samples sent)
(4733|4782) pub28  50% (8 samples sent)
(4733|4782) pub28  62% (10 samples sent)
(4733|4782) pub28  75% (12 samples sent)
(4733|4782) pub28  81% (13 samples sent)
(4733|4782) pub28  93% (15 samples sent)
(4733|4782) pub28  100% (16 samples sent)
(4733|4782) pub28  waiting for acks
(4733|4775) pub62  waiting for acks returned
(4733|4775) pub62<-delete_contained_entities
(4733|4779) pub29<-match found! before write for 01030000.2f4ef186.00000021.00000102(5c400e66)
(4733|4779) pub29  12% (2 samples sent)
(4733|4779) pub29  25% (4 samples sent)
(4733|4779) pub29  31% (5 samples sent)
(4733|4779) pub29  43% (7 samples sent)
(4733|4779) pub29  50% (8 samples sent)
(4733|4779) pub29  62% (10 samples sent)
(4733|4779) pub29  75% (12 samples sent)
(4733|4779) pub29  81% (13 samples sent)
(4733|4779) pub29  93% (15 samples sent)
(4733|4779) pub29  100% (16 samples sent)
(4733|4779) pub29  waiting for acks
(4733|4753) pub11  waiting for acks returned
(4733|4753) pub11<-delete_contained_entities
(4733|4805) pub32<-match found! before write for 01030000.2f4ef186.00000023.00000102(26805d06)
(4733|4805) pub32  12% (2 samples sent)
(4733|4802) pub35  writer id: 01030000.2f4ef186.00000027.00000102(d300fbc6)
(4733|4802) pub35->started
(4733|4802) pub35->wait_match() before write for 01030000.2f4ef186.00000027.00000102(d300fbc6)
(4733|4805) pub32  25% (4 samples sent)
(4733|4805) pub32  31% (5 samples sent)
(4733|4805) pub32  43% (7 samples sent)
(4733|4805) pub32  50% (8 samples sent)
(4733|4805) pub32  62% (10 samples sent)
(4733|4805) pub32  75% (12 samples sent)
(4733|4805) pub32  81% (13 samples sent)
(4733|4805) pub32  93% (15 samples sent)
(4733|4805) pub32  100% (16 samples sent)
(4733|4805) pub32  waiting for acks
(4733|4797) pub53  waiting for acks returned
(4733|4797) pub53<-delete_contained_entities
(4733|4801) pub30<-match found! before write for 01030000.2f4ef186.00000022.00000102(1be074b6)
(4733|4772) pub49  writer id: 01030000.2f4ef186.00000034.00000102(f4401694)
(4733|4772) pub49->started
(4733|4772) pub49->wait_match() before write for 01030000.2f4ef186.00000034.00000102(f4401694)
(4733|4801) pub30  12% (2 samples sent)
(4733|4801) pub30  25% (4 samples sent)
(4733|4801) pub30  31% (5 samples sent)
(4733|4801) pub30  43% (7 samples sent)
(4733|4801) pub30  50% (8 samples sent)
(4733|4801) pub30  62% (10 samples sent)
(4733|4801) pub30  75% (12 samples sent)
(4733|4801) pub30  81% (13 samples sent)
(4733|4801) pub30  93% (15 samples sent)
(4733|4801) pub30  100% (16 samples sent)
(4733|4801) pub30  waiting for acks
(4733|4770) pub50  writer id: 01030000.2f4ef186.00000035.00000102(c9203f24)
(4733|4770) pub50->started
(4733|4770) pub50->wait_match() before write for 01030000.2f4ef186.00000035.00000102(c9203f24)
(4733|4800) pub33<-match found! before write for 01030000.2f4ef186.00000025.00000102(a9c0a8a6)
(4733|4800) pub33  12% (2 samples sent)
(4733|4800) pub33  25% (4 samples sent)
(4733|4800) pub33  31% (5 samples sent)
(4733|4800) pub33  43% (7 samples sent)
(4733|4800) pub33  50% (8 samples sent)
(4733|4800) pub33  62% (10 samples sent)
(4733|4800) pub33  75% (12 samples sent)
(4733|4800) pub33  81% (13 samples sent)
(4733|4800) pub33  93% (15 samples sent)
(4733|4800) pub33  100% (16 samples sent)
(4733|4800) pub33  waiting for acks
(4733|4765) pub17  waiting for acks returned
(4733|4765) pub17<-delete_contained_entities
(4733|4799) pub58  writer id: 01030000.2f4ef186.0000003d.00000102(f95074e5)
(4733|4799) pub58->started
(4733|4799) pub58->wait_match() before write for 01030000.2f4ef186.0000003d.00000102(f95074e5)
(4733|4774) pub41<-match found! before write for 01030000.2f4ef186.0000002c.00000102(a4d0cad7)
(4733|4774) pub41  12% (2 samples sent)
(4733|4774) pub41  25% (4 samples sent)
(4733|4774) pub41  31% (5 samples sent)
(4733|4774) pub41  43% (7 samples sent)
(4733|4774) pub41  50% (8 samples sent)
(4733|4774) pub41  62% (10 samples sent)
(4733|4774) pub41  75% (12 samples sent)
(4733|4774) pub41  81% (13 samples sent)
(4733|4774) pub41  93% (15 samples sent)
(4733|4774) pub41  100% (16 samples sent)
(4733|4774) pub41  waiting for acks
(4733|4776) pub45<-match found! before write for 01030000.2f4ef186.00000030.00000102(01c0b054)
(4733|4776) pub45  12% (2 samples sent)
(4733|4776) pub45  25% (4 samples sent)
(4733|4776) pub45  31% (5 samples sent)
(4733|4776) pub45  43% (7 samples sent)
(4733|4776) pub45  50% (8 samples sent)
(4733|4776) pub45  62% (10 samples sent)
(4733|4776) pub45  75% (12 samples sent)
(4733|4776) pub45  81% (13 samples sent)
(4733|4776) pub45  93% (15 samples sent)
(4733|4776) pub45  100% (16 samples sent)
(4733|4776) pub45  waiting for acks
(4733|4792) pub57  writer id: 01030000.2f4ef186.0000003c.00000102(c4305d55)
(4733|4792) pub57->started
(4733|4792) pub57->wait_match() before write for 01030000.2f4ef186.0000003c.00000102(c4305d55)
(4733|4784) pub55  writer id: 01030000.2f4ef186.0000003a.00000102(4b70a8f5)
(4733|4784) pub55->started
(4733|4784) pub55->wait_match() before write for 01030000.2f4ef186.0000003a.00000102(4b70a8f5)
(4733|4787) pub56  writer id: 01030000.2f4ef186.0000003b.00000102(76108145)
(4733|4787) pub56->started
(4733|4787) pub56->wait_match() before write for 01030000.2f4ef186.0000003b.00000102(76108145)
(4733|4796) pub60  writer id: 01030000.2f4ef186.0000003e.00000102(bef00e35)
(4733|4796) pub60->started
(4733|4796) pub60->wait_match() before write for 01030000.2f4ef186.0000003e.00000102(bef00e35)
(4733|4771) pub61  writer id: 01030000.2f4ef186.0000003f.00000102(83902785)
(4733|4771) pub61->started
(4733|4771) pub61->wait_match() before write for 01030000.2f4ef186.0000003f.00000102(83902785)
(4733|4781) pub37  writer id: 01030000.2f4ef186.00000029.00000102(6c3045a7)
(4733|4781) pub37->started
(4733|4781) pub37->wait_match() before write for 01030000.2f4ef186.00000029.00000102(6c3045a7)
(4733|4743) pub1<-delete_participant
(4733|4741)  sub 60% (615 samples received)
(4733|4741)  sub 70% (717 samples received)
(4733|4757) pub19<-delete_participant
(4733|4798) pub52<-match found! before write for 01030000.2f4ef186.00000037.00000102(b3e06c44)
(4733|4798) pub52  12% (2 samples sent)
(4733|4798) pub52  25% (4 samples sent)
(4733|4798) pub52  31% (5 samples sent)
(4733|4798) pub52  43% (7 samples sent)
(4733|4798) pub52  50% (8 samples sent)
(4733|4798) pub52  62% (10 samples sent)
(4733|4798) pub52  75% (12 samples sent)
(4733|4798) pub52  81% (13 samples sent)
(4733|4798) pub52  93% (15 samples sent)
(4733|4798) pub52  100% (16 samples sent)
(4733|4798) pub52  waiting for acks
(4733|4783) pub48<-match found! before write for 01030000.2f4ef186.00000033.00000102(4660ca84)
(4733|4783) pub48  12% (2 samples sent)
(4733|4783) pub48  25% (4 samples sent)
(4733|4783) pub48  31% (5 samples sent)
(4733|4783) pub48  43% (7 samples sent)
(4733|4783) pub48  50% (8 samples sent)
(4733|4783) pub48  62% (10 samples sent)
(4733|4783) pub48  75% (12 samples sent)
(4733|4783) pub48  81% (13 samples sent)
(4733|4783) pub48  93% (15 samples sent)
(4733|4783) pub48  100% (16 samples sent)
(4733|4783) pub48  waiting for acks
(4733|4793) pub44<-match found! before write for 01030000.2f4ef186.0000002f.00000102(e370b007)
(4733|4793) pub44  12% (2 samples sent)
(4733|4793) pub44  25% (4 samples sent)
(4733|4793) pub44  31% (5 samples sent)
(4733|4793) pub44  43% (7 samples sent)
(4733|4793) pub44  50% (8 samples sent)
(4733|4793) pub44  62% (10 samples sent)
(4733|4793) pub44  75% (12 samples sent)
(4733|4793) pub44  81% (13 samples sent)
(4733|4793) pub44  93% (15 samples sent)
(4733|4793) pub44  100% (16 samples sent)
(4733|4793) pub44  waiting for acks
(4733|4789) pub36<-match found! before write for 01030000.2f4ef186.00000028.00000102(51506c17)
(4733|4789) pub36  12% (2 samples sent)
(4733|4789) pub36  25% (4 samples sent)
(4733|4789) pub36  31% (5 samples sent)
(4733|4789) pub36  43% (7 samples sent)
(4733|4789) pub36  50% (8 samples sent)
(4733|4789) pub36  62% (10 samples sent)
(4733|4789) pub36  75% (12 samples sent)
(4733|4789) pub36  81% (13 samples sent)
(4733|4789) pub36  93% (15 samples sent)
(4733|4789) pub36  100% (16 samples sent)
(4733|4789) pub36  waiting for acks
(4733|4780) pub46<-match found! before write for 01030000.2f4ef186.00000031.00000102(3ca099e4)
(4733|4780) pub46  12% (2 samples sent)
(4733|4780) pub46  25% (4 samples sent)
(4733|4780) pub46  31% (5 samples sent)
(4733|4780) pub46  43% (7 samples sent)
(4733|4780) pub46  50% (8 samples sent)
(4733|4780) pub46  62% (10 samples sent)
(4733|4780) pub46  75% (12 samples sent)
(4733|4780) pub46  81% (13 samples sent)
(4733|4780) pub46  93% (15 samples sent)
(4733|4780) pub46  100% (16 samples sent)
(4733|4780) pub46  waiting for acks
(4733|4769) pub42<-match found! before write for 01030000.2f4ef186.0000002d.00000102(99b0e367)
(4733|4769) pub42  12% (2 samples sent)
(4733|4769) pub42  25% (4 samples sent)
(4733|4769) pub42  31% (5 samples sent)
(4733|4769) pub42  43% (7 samples sent)
(4733|4769) pub42  50% (8 samples sent)
(4733|4769) pub42  62% (10 samples sent)
(4733|4769) pub42  75% (12 samples sent)
(4733|4769) pub42  81% (13 samples sent)
(4733|4769) pub42  93% (15 samples sent)
(4733|4769) pub42  100% (16 samples sent)
(4733|4769) pub42  waiting for acks
(4733|4802) pub35<-match found! before write for 01030000.2f4ef186.00000027.00000102(d300fbc6)
(4733|4802) pub35  12% (2 samples sent)
(4733|4802) pub35  25% (4 samples sent)
(4733|4802) pub35  31% (5 samples sent)
(4733|4802) pub35  43% (7 samples sent)
(4733|4802) pub35  50% (8 samples sent)
(4733|4802) pub35  62% (10 samples sent)
(4733|4802) pub35  75% (12 samples sent)
(4733|4802) pub35  81% (13 samples sent)
(4733|4802) pub35  93% (15 samples sent)
(4733|4802) pub35  100% (16 samples sent)
(4733|4802) pub35  waiting for acks
(4733|4778) pub40<-delete_participant
(4733|4772) pub49<-match found! before write for 01030000.2f4ef186.00000034.00000102(f4401694)
(4733|4772) pub49  12% (2 samples sent)
(4733|4772) pub49  25% (4 samples sent)
(4733|4772) pub49  31% (5 samples sent)
(4733|4772) pub49  43% (7 samples sent)
(4733|4772) pub49  50% (8 samples sent)
(4733|4772) pub49  62% (10 samples sent)
(4733|4772) pub49  75% (12 samples sent)
(4733|4772) pub49  81% (13 samples sent)
(4733|4772) pub49  93% (15 samples sent)
(4733|4772) pub49  100% (16 samples sent)
(4733|4772) pub49  waiting for acks
(4733|4770) pub50<-match found! before write for 01030000.2f4ef186.00000035.00000102(c9203f24)
(4733|4770) pub50  12% (2 samples sent)
(4733|4770) pub50  25% (4 samples sent)
(4733|4770) pub50  31% (5 samples sent)
(4733|4770) pub50  43% (7 samples sent)
(4733|4770) pub50  50% (8 samples sent)
(4733|4770) pub50  62% (10 samples sent)
(4733|4770) pub50  75% (12 samples sent)
(4733|4770) pub50  81% (13 samples sent)
(4733|4770) pub50  93% (15 samples sent)
(4733|4770) pub50  100% (16 samples sent)
(4733|4770) pub50  waiting for acks
(4733|4799) pub58<-match found! before write for 01030000.2f4ef186.0000003d.00000102(f95074e5)
(4733|4799) pub58  12% (2 samples sent)
(4733|4799) pub58  25% (4 samples sent)
(4733|4799) pub58  31% (5 samples sent)
(4733|4799) pub58  43% (7 samples sent)
(4733|4799) pub58  50% (8 samples sent)
(4733|4799) pub58  62% (10 samples sent)
(4733|4799) pub58  75% (12 samples sent)
(4733|4799) pub58  81% (13 samples sent)
(4733|4799) pub58  93% (15 samples sent)
(4733|4799) pub58  100% (16 samples sent)
(4733|4799) pub58  waiting for acks
(4733|4762) pub16  waiting for acks returned
(4733|4762) pub16<-delete_contained_entities
(4733|4785) pub39  waiting for acks returned
(4733|4785) pub39<-delete_contained_entities
(4733|4792) pub57<-match found! before write for 01030000.2f4ef186.0000003c.00000102(c4305d55)
(4733|4792) pub57  12% (2 samples sent)
(4733|4792) pub57  25% (4 samples sent)
(4733|4792) pub57  31% (5 samples sent)
(4733|4792) pub57  43% (7 samples sent)
(4733|4792) pub57  50% (8 samples sent)
(4733|4792) pub57  62% (10 samples sent)
(4733|4792) pub57  75% (12 samples sent)
(4733|4792) pub57  81% (13 samples sent)
(4733|4792) pub57  93% (15 samples sent)
(4733|4792) pub57  100% (16 samples sent)
(4733|4792) pub57  waiting for acks
(4733|4760) pub18  waiting for acks returned
(4733|4760) pub18<-delete_contained_entities
(4733|4784) pub55<-match found! before write for 01030000.2f4ef186.0000003a.00000102(4b70a8f5)
(4733|4784) pub55  12% (2 samples sent)
(4733|4784) pub55  25% (4 samples sent)
(4733|4784) pub55  31% (5 samples sent)
(4733|4784) pub55  43% (7 samples sent)
(4733|4784) pub55  50% (8 samples sent)
(4733|4784) pub55  62% (10 samples sent)
(4733|4784) pub55  75% (12 samples sent)
(4733|4784) pub55  81% (13 samples sent)
(4733|4784) pub55  93% (15 samples sent)
(4733|4784) pub55  100% (16 samples sent)
(4733|4784) pub55  waiting for acks
(4733|4787) pub56<-match found! before write for 01030000.2f4ef186.0000003b.00000102(76108145)
(4733|4787) pub56  12% (2 samples sent)
(4733|4787) pub56  25% (4 samples sent)
(4733|4787) pub56  31% (5 samples sent)
(4733|4787) pub56  43% (7 samples sent)
(4733|4787) pub56  50% (8 samples sent)
(4733|4787) pub56  62% (10 samples sent)
(4733|4787) pub56  75% (12 samples sent)
(4733|4787) pub56  81% (13 samples sent)
(4733|4787) pub56  93% (15 samples sent)
(4733|4787) pub56  100% (16 samples sent)
(4733|4787) pub56  waiting for acks
(4733|4796) pub60<-match found! before write for 01030000.2f4ef186.0000003e.00000102(bef00e35)
(4733|4796) pub60  12% (2 samples sent)
(4733|4796) pub60  25% (4 samples sent)
(4733|4796) pub60  31% (5 samples sent)
(4733|4796) pub60  43% (7 samples sent)
(4733|4796) pub60  50% (8 samples sent)
(4733|4796) pub60  62% (10 samples sent)
(4733|4796) pub60  75% (12 samples sent)
(4733|4796) pub60  81% (13 samples sent)
(4733|4796) pub60  93% (15 samples sent)
(4733|4796) pub60  100% (16 samples sent)
(4733|4742) pub0<-delete_participant
(4733|4796) pub60  waiting for acks
(4733|4768) pub20  waiting for acks returned
(4733|4768) pub20<-delete_contained_entities
(4733|4771) pub61<-match found! before write for 01030000.2f4ef186.0000003f.00000102(83902785)
(4733|4771) pub61  12% (2 samples sent)
(4733|4771) pub61  25% (4 samples sent)
(4733|4771) pub61  31% (5 samples sent)
(4733|4771) pub61  43% (7 samples sent)
(4733|4771) pub61  50% (8 samples sent)
(4733|4771) pub61  62% (10 samples sent)
(4733|4771) pub61  75% (12 samples sent)
(4733|4771) pub61  81% (13 samples sent)
(4733|4771) pub61  93% (15 samples sent)
(4733|4771) pub61  100% (16 samples sent)
(4733|4771) pub61  waiting for acks
(4733|4766) pub23  waiting for acks returned
(4733|4766) pub23<-delete_contained_entities
(4733|4781) pub37<-match found! before write for 01030000.2f4ef186.00000029.00000102(6c3045a7)
(4733|4781) pub37  12% (2 samples sent)
(4733|4781) pub37  25% (4 samples sent)
(4733|4781) pub37  31% (5 samples sent)
(4733|4781) pub37  43% (7 samples sent)
(4733|4781) pub37  50% (8 samples sent)
(4733|4781) pub37  62% (10 samples sent)
(4733|4781) pub37  75% (12 samples sent)
(4733|4781) pub37  81% (13 samples sent)
(4733|4781) pub37  93% (15 samples sent)
(4733|4781) pub37  100% (16 samples sent)
(4733|4781) pub37  waiting for acks
(4733|4764) pub24  waiting for acks returned
(4733|4764) pub24<-delete_contained_entities
(4733|4759) pub22  waiting for acks returned
(4733|4759) pub22<-delete_contained_entities
(4733|4763) pub25  waiting for acks returned
(4733|4763) pub25<-delete_contained_entities
(4733|4761) pub26  waiting for acks returned
(4733|4761) pub26<-delete_contained_entities
(4733|4777) pub27  waiting for acks returned
(4733|4777) pub27<-delete_contained_entities
(4733|4782) pub28  waiting for acks returned
(4733|4782) pub28<-delete_contained_entities
(4733|4779) pub29  waiting for acks returned
(4733|4779) pub29<-delete_contained_entities
(4733|4805) pub32  waiting for acks returned
(4733|4805) pub32<-delete_contained_entities
(4733|4801) pub30  waiting for acks returned
(4733|4801) pub30<-delete_contained_entities
(4733|4800) pub33  waiting for acks returned
(4733|4800) pub33<-delete_contained_entities
(4733|4774) pub41  waiting for acks returned
(4733|4774) pub41<-delete_contained_entities
(4733|4744) pub2<-delete_participant
(4733|4741)  sub 80% (820 samples received)
(4733|4788) pub47<-delete_participant
(4733|4741)  sub 90% (922 samples received)
(4733|4749) pub7<-delete_participant
(4733|4776) pub45  waiting for acks returned
(4733|4776) pub45<-delete_contained_entities
(4733|4798) pub52  waiting for acks returned
(4733|4798) pub52<-delete_contained_entities
(4733|4783) pub48  waiting for acks returned
(4733|4783) pub48<-delete_contained_entities
(4733|4793) pub44  waiting for acks returned
(4733|4793) pub44<-delete_contained_entities
(4733|4789) pub36  waiting for acks returned
(4733|4789) pub36<-delete_contained_entities
(4733|4780) pub46  waiting for acks returned
(4733|4780) pub46<-delete_contained_entities
(4733|4769) pub42  waiting for acks returned
(4733|4769) pub42<-delete_contained_entities
(4733|4802) pub35  waiting for acks returned
(4733|4802) pub35<-delete_contained_entities
(4733|4772) pub49  waiting for acks returned
(4733|4772) pub49<-delete_contained_entities
(4733|4770) pub50  waiting for acks returned
(4733|4770) pub50<-delete_contained_entities
(4733|4799) pub58  waiting for acks returned
(4733|4799) pub58<-delete_contained_entities
(4733|4792) pub57  waiting for acks returned
(4733|4792) pub57<-delete_contained_entities
(4733|4746) pub3<-delete_participant
(4733|4741) sub condition_.notify_all
(4733|4733) sub condition_.wait returned
(4733|4733) sub check_received
(4733|4733) sub check_received returns 0
(4733|4733) <- PublisherService::end
(4733|4741) sub condition_.notify_all
(4733|4741) sub condition_.notify_all
(4733|4741) sub condition_.notify_all
(4733|4741) sub condition_.notify_all
(4733|4741) sub condition_.notify_all
(4733|4741) sub condition_.notify_all
(4733|4741) sub condition_.notify_all
(4733|4741) sub condition_.notify_all
(4733|4741) sub condition_.notify_all
(4733|4741) sub condition_.notify_all
(4733|4741) sub condition_.notify_all
(4733|4741) sub condition_.notify_all
(4733|4741) sub condition_.notify_all
(4733|4741) sub condition_.notify_all
(4733|4741)  sub 100% (1024 samples received)
(4733|4741) sub condition_.notify_all
(4733|4784) pub55  waiting for acks returned
(4733|4784) pub55<-delete_contained_entities
(4733|4787) pub56  waiting for acks returned
(4733|4787) pub56<-delete_contained_entities
(4733|4796) pub60  waiting for acks returned
(4733|4796) pub60<-delete_contained_entities
(4733|4771) pub61  waiting for acks returned
(4733|4771) pub61<-delete_contained_entities
(4733|4781) pub37  waiting for acks returned
(4733|4781) pub37<-delete_contained_entities
(4733|4751) pub9<-delete_participant
(4733|4795) pub59<-delete_participant
(4733|4747) pub5<-delete_participant
(4733|4752) pub10<-delete_participant
(4733|4767) pub21<-delete_participant
(4733|4748) pub6<-delete_participant
(4733|4745) pub4<-delete_participant
(4733|4755) pub13<-delete_participant
(4733|4803) pub31<-delete_participant
(4733|4758) pub15<-delete_participant
(4733|4750) pub8<-delete_participant
(4733|4794) pub51<-delete_participant
(4733|4786) pub54<-delete_participant
(4733|4791) pub38<-delete_participant
(4733|4790) pub43<-delete_participant
(4733|4773) pub63<-delete_participant
(4733|4756) pub14<-delete_participant
(4733|4754) pub12<-delete_participant
(4733|4804) pub34<-delete_participant
(4733|4775) pub62<-delete_participant
(4733|4753) pub11<-delete_participant
(4733|4797) pub53<-delete_participant
(4733|4765) pub17<-delete_participant
(4733|4762) pub16<-delete_participant
(4733|4785) pub39<-delete_participant
(4733|4760) pub18<-delete_participant
(4733|4768) pub20<-delete_participant
(4733|4766) pub23<-delete_participant
(4733|4764) pub24<-delete_participant
(4733|4759) pub22<-delete_participant
(4733|4763) pub25<-delete_participant
(4733|4761) pub26<-delete_participant
(4733|4777) pub27<-delete_participant
(4733|4782) pub28<-delete_participant
(4733|4779) pub29<-delete_participant
(4733|4805) pub32<-delete_participant
(4733|4801) pub30<-delete_participant
(4733|4800) pub33<-delete_participant
(4733|4774) pub41<-delete_participant
(4733|4776) pub45<-delete_participant
(4733|4798) pub52<-delete_participant
(4733|4783) pub48<-delete_participant
(4733|4793) pub44<-delete_participant
(4733|4789) pub36<-delete_participant
(4733|4780) pub46<-delete_participant
(4733|4769) pub42<-delete_participant
(4733|4802) pub35<-delete_participant
(4733|4772) pub49<-delete_participant
(4733|4770) pub50<-delete_participant
(4733|4799) pub58<-delete_participant
(4733|4792) pub57<-delete_participant
(4733|4784) pub55<-delete_participant
(4733|4787) pub56<-delete_participant
(4733|4796) pub60<-delete_participant
(4733|4771) pub61<-delete_participant
(4733|4781) pub37<-delete_participant
(4733|4733) <- PublisherService::~PublisherService
(4733|4733) <- Subscriber delete_contained_entities
(4733|4739) WARNING: DataReaderImpl::accept_sample_processing - subscription 01030000.2f4ef186.00000002.000001c7(312f6d8d) failed to find publication data for 01030000.2f4ef186.00000001.000001c2(06e5e3d2).
(4733|4733) <- Subscriber delete_participant
(4733|4733) <- Subscriber::~Subscriber
(4733|4733) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl aggressive Time:4s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl single rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1 -n 1 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 4807 started at 2023-04-26 16:11:13
(4807|4807) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(4807|4807) -> Thrasher started
(4807|4807) -> Subscriber::Subscriber
(4807|4807)    Subscriber reader id: 0103000c.297a35f2.12c76a96.00000007(38b2bc44)
(4807|4807) -> PublisherService::PublisherService
(4807|4807) -> PublisherService::start (1 threads)
(4807|4807) sub wait_received 0:1
(4807|4813) pub0->transport cfg_0
(4807|4813) pub0  writer id: 0103000c.297a35f2.12c76a97.00000002(75b8617b)
(4807|4813) pub0->started
(4807|4813) pub0->wait_match() before write for 0103000c.297a35f2.12c76a97.00000002(75b8617b)
(4807|4813) pub0<-match found! before write for 0103000c.297a35f2.12c76a97.00000002(75b8617b)
(4807|4813) pub0  100% (1 samples sent)
(4807|4813) pub0  waiting for acks
(4807|4812)  sub 100% (1 samples received)
(4807|4812) sub condition_.notify_all
(4807|4807) sub condition_.wait returned
(4807|4807) sub check_received
(4807|4807) sub check_received returns 0
(4807|4807) <- PublisherService::end
(4807|4813) pub0  waiting for acks returned
(4807|4813) pub0<-delete_contained_entities
(4807|4813) pub0<-delete_participant
(4807|4807) <- PublisherService::~PublisherService
(4807|4807) <- Subscriber delete_contained_entities
(4807|4807) <- Subscriber delete_participant
(4807|4807) <- Subscriber::~Subscriber
(4807|4807) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl single rtps Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl double rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 2 -s 1 -n 2 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 4819 started at 2023-04-26 16:11:14
(4819|4819) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(4819|4819) -> Thrasher started
(4819|4819) -> Subscriber::Subscriber
(4819|4819)    Subscriber reader id: 0103000c.297a35f2.12d3a5f9.00000007(50ff4864)
(4819|4819) -> PublisherService::PublisherService
(4819|4819) -> PublisherService::start (2 threads)
(4819|4819) sub wait_received 0:2
(4819|4825) pub0->transport cfg_0
(4819|4826) pub1->transport cfg_1
(4819|4826) pub1  writer id: 0103000c.297a35f2.12d3a5fb.00000002(5a55ef8b)
(4819|4826) pub1->started
(4819|4826) pub1->wait_match() before write for 0103000c.297a35f2.12d3a5fb.00000002(5a55ef8b)
(4819|4825) pub0  writer id: 0103000c.297a35f2.12d3a5fa.00000002(6735c63b)
(4819|4825) pub0->started
(4819|4825) pub0->wait_match() before write for 0103000c.297a35f2.12d3a5fa.00000002(6735c63b)
(4819|4825) pub0<-match found! before write for 0103000c.297a35f2.12d3a5fa.00000002(6735c63b)
(4819|4826) pub1<-match found! before write for 0103000c.297a35f2.12d3a5fb.00000002(5a55ef8b)
(4819|4826) pub1  100% (1 samples sent)
(4819|4825) pub0  100% (1 samples sent)
(4819|4826) pub1  waiting for acks
(4819|4825) pub0  waiting for acks
(4819|4824)  sub 50% (1 samples received)
(4819|4825) pub0  waiting for acks returned
(4819|4825) pub0<-delete_contained_entities
(4819|4825) pub0<-delete_participant
(4819|4824)  sub 100% (2 samples received)
(4819|4824) sub condition_.notify_all
(4819|4819) sub condition_.wait returned
(4819|4819) sub check_received
(4819|4819) sub check_received returns 0
(4819|4819) <- PublisherService::end
(4819|4826) pub1  waiting for acks returned
(4819|4826) pub1<-delete_contained_entities
(4819|4826) pub1<-delete_participant
(4819|4819) <- PublisherService::~PublisherService
(4819|4819) <- Subscriber delete_contained_entities
(4819|4819) <- Subscriber delete_participant
(4819|4819) <- Subscriber::~Subscriber
(4819|4819) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl double rtps Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl triangle rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 3 -s 3 -n 9 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 4836 started at 2023-04-26 16:11:15
(4836|4836) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(4836|4836) -> Thrasher started
(4836|4836) -> Subscriber::Subscriber
(4836|4836)    Subscriber reader id: 0103000c.297a35f2.12e42c26.00000007(0f217e20)
(4836|4836) -> PublisherService::PublisherService
(4836|4836) -> PublisherService::start (3 threads)
(4836|4836) sub wait_received 0:3
(4836|4842) pub0->transport cfg_0
(4836|4843) pub1->transport cfg_1
(4836|4843) pub1  writer id: 0103000c.297a35f2.12e42c28.00000002(c07b34ce)
(4836|4843) pub1->started
(4836|4843) pub1->wait_match() before write for 0103000c.297a35f2.12e42c28.00000002(c07b34ce)
(4836|4842) pub0  writer id: 0103000c.297a35f2.12e42c27.00000002(422ba31f)
(4836|4842) pub0->started
(4836|4842) pub0->wait_match() before write for 0103000c.297a35f2.12e42c27.00000002(422ba31f)
(4836|4844) pub2->transport cfg_2
(4836|4844) pub2  writer id: 0103000c.297a35f2.12e42c29.00000002(fd1b1d7e)
(4836|4844) pub2->started
(4836|4844) pub2->wait_match() before write for 0103000c.297a35f2.12e42c29.00000002(fd1b1d7e)
(4836|4843) pub1<-match found! before write for 0103000c.297a35f2.12e42c28.00000002(c07b34ce)
(4836|4843) pub1  33% (1 samples sent)
(4836|4843) pub1  66% (2 samples sent)
(4836|4843) pub1  100% (3 samples sent)
(4836|4843) pub1  waiting for acks
(4836|4842) pub0<-match found! before write for 0103000c.297a35f2.12e42c27.00000002(422ba31f)
(4836|4842) pub0  33% (1 samples sent)
(4836|4842) pub0  66% (2 samples sent)
(4836|4844) pub2<-match found! before write for 0103000c.297a35f2.12e42c29.00000002(fd1b1d7e)
(4836|4842) pub0  100% (3 samples sent)
(4836|4842) pub0  waiting for acks
(4836|4844) pub2  33% (1 samples sent)
(4836|4844) pub2  66% (2 samples sent)
(4836|4844) pub2  100% (3 samples sent)
(4836|4844) pub2  waiting for acks
(4836|4841)  sub 11% (1 samples received)
(4836|4841)  sub 22% (2 samples received)
(4836|4841)  sub 33% (3 samples received)
(4836|4841)  sub 44% (4 samples received)
(4836|4841)  sub 55% (5 samples received)
(4836|4841)  sub 66% (6 samples received)
(4836|4843) pub1  waiting for acks returned
(4836|4842) pub0  waiting for acks returned
(4836|4843) pub1<-delete_contained_entities
(4836|4842) pub0<-delete_contained_entities
(4836|4843) pub1<-delete_participant
(4836|4841)  sub 77% (7 samples received)
(4836|4842) pub0<-delete_participant
(4836|4841) sub condition_.notify_all
(4836|4836) sub condition_.wait returned
(4836|4836) sub check_received
(4836|4836) sub check_received returns 0
(4836|4836) <- PublisherService::end
(4836|4841)  sub 88% (8 samples received)
(4836|4841) sub condition_.notify_all
(4836|4841)  sub 100% (9 samples received)
(4836|4841) sub condition_.notify_all
(4836|4844) pub2  waiting for acks returned
(4836|4844) pub2<-delete_contained_entities
(4836|4844) pub2<-delete_participant
(4836|4836) <- PublisherService::~PublisherService
(4836|4836) <- Subscriber delete_contained_entities
(4836|4836) <- Subscriber delete_participant
(4836|4836) <- Subscriber::~Subscriber
(4836|4836) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl triangle rtps Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl default rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1024 -n 1024 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 4858 started at 2023-04-26 16:11:16
(4858|4858) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(4858|4858) -> Thrasher started
(4858|4858) -> Subscriber::Subscriber
(4858|4858)    Subscriber reader id: 0103000c.297a35f2.12fa500d.00000007(f2314a1c)
(4858|4858) -> PublisherService::PublisherService
(4858|4858) -> PublisherService::start (1 threads)
(4858|4858) sub wait_received 0:1
(4858|4864) pub0->transport cfg_0
(4858|4864) pub0  writer id: 0103000c.297a35f2.12fa500e.00000002(c5fbc443)
(4858|4864) pub0->started
(4858|4864) pub0->wait_match() before write for 0103000c.297a35f2.12fa500e.00000002(c5fbc443)
(4858|4864) pub0<-match found! before write for 0103000c.297a35f2.12fa500e.00000002(c5fbc443)
(4858|4863) sub condition_.notify_all
(4858|4858) sub condition_.wait returned
(4858|4858) sub check_received
(4858|4858) sub check_received returns 0
(4858|4858) <- PublisherService::end
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4864) pub0  10% (103 samples sent)
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4864) pub0  20% (205 samples sent)
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863)  sub 10% (103 samples received)
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4864) pub0  30% (308 samples sent)
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4864) pub0  40% (410 samples sent)
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863)  sub 20% (205 samples received)
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4864) pub0  50% (512 samples sent)
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4864) pub0  60% (615 samples sent)
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4864) pub0  70% (717 samples sent)
(4858|4863)  sub 30% (308 samples received)
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4864) pub0  80% (820 samples sent)
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4864) pub0  90% (922 samples sent)
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863)  sub 40% (410 samples received)
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4864) pub0  100% (1024 samples sent)
(4858|4864) pub0  waiting for acks
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863)  sub 50% (512 samples received)
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863)  sub 60% (615 samples received)
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863)  sub 70% (717 samples received)
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863)  sub 80% (820 samples received)
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863)  sub 90% (922 samples received)
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863) sub condition_.notify_all
(4858|4863)  sub 100% (1024 samples received)
(4858|4863) sub condition_.notify_all
(4858|4864) pub0  waiting for acks returned
(4858|4864) pub0<-delete_contained_entities
(4858|4864) pub0<-delete_participant
(4858|4858) <- PublisherService::~PublisherService
(4858|4858) <- Subscriber delete_contained_entities
(4858|4858) <- Subscriber delete_participant
(4858|4858) <- Subscriber::~Subscriber
(4858|4858) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl default rtps Time:2s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl low rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 8 -s 128 -n 1024 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 4870 started at 2023-04-26 16:11:18
(4870|4870) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(4870|4870) -> Thrasher started
(4870|4870) -> Subscriber::Subscriber
(4870|4870)    Subscriber reader id: 0103000c.297a35f2.1306308f.00000007(5e97f687)
(4870|4870) -> PublisherService::PublisherService
(4870|4870) -> PublisherService::start (8 threads)
(4870|4870) sub wait_received 0:8
(4870|4881) pub3->transport cfg_3
(4870|4876) pub0->transport cfg_0
(4870|4877) pub1->transport cfg_1
(4870|4882) pub5->transport cfg_5
(4870|4880) pub7->transport cfg_7
(4870|4883) pub2->transport cfg_2
(4870|4878) pub4->transport cfg_4
(4870|4879) pub6->transport cfg_6
(4870|4879) pub6  writer id: 0103000c.297a35f2.13063096.00000002(430df7fb)
(4870|4879) pub6->started
(4870|4879) pub6->wait_match() before write for 0103000c.297a35f2.13063096.00000002(430df7fb)
(4870|4876) pub0  writer id: 0103000c.297a35f2.13063090.00000002(cc4d025b)
(4870|4876) pub0->started
(4870|4876) pub0->wait_match() before write for 0103000c.297a35f2.13063090.00000002(cc4d025b)
(4870|4882) pub5  writer id: 0103000c.297a35f2.13063095.00000002(04ad8d2b)
(4870|4882) pub5->started
(4870|4882) pub5->wait_match() before write for 0103000c.297a35f2.13063095.00000002(04ad8d2b)
(4870|4880) pub7  writer id: 0103000c.297a35f2.13063097.00000002(7e6dde4b)
(4870|4880) pub7->started
(4870|4880) pub7->wait_match() before write for 0103000c.297a35f2.13063097.00000002(7e6dde4b)
(4870|4883) pub2  writer id: 0103000c.297a35f2.13063094.00000002(39cda49b)
(4870|4883) pub2->started
(4870|4883) pub2->wait_match() before write for 0103000c.297a35f2.13063094.00000002(39cda49b)
(4870|4878) pub4  writer id: 0103000c.297a35f2.13063092.00000002(b68d513b)
(4870|4878) pub4->started
(4870|4878) pub4->wait_match() before write for 0103000c.297a35f2.13063092.00000002(b68d513b)
(4870|4877) pub1  writer id: 0103000c.297a35f2.13063091.00000002(f12d2beb)
(4870|4877) pub1->started
(4870|4877) pub1->wait_match() before write for 0103000c.297a35f2.13063091.00000002(f12d2beb)
(4870|4881) pub3  writer id: 0103000c.297a35f2.13063093.00000002(8bed788b)
(4870|4881) pub3->started
(4870|4881) pub3->wait_match() before write for 0103000c.297a35f2.13063093.00000002(8bed788b)
(4870|4881) pub3<-match found! before write for 0103000c.297a35f2.13063093.00000002(8bed788b)
(4870|4881) pub3  10% (13 samples sent)
(4870|4881) pub3  20% (26 samples sent)
(4870|4877) pub1<-match found! before write for 0103000c.297a35f2.13063091.00000002(f12d2beb)
(4870|4876) pub0<-match found! before write for 0103000c.297a35f2.13063090.00000002(cc4d025b)
(4870|4877) pub1  10% (13 samples sent)
(4870|4876) pub0  10% (13 samples sent)
(4870|4882) pub5<-match found! before write for 0103000c.297a35f2.13063095.00000002(04ad8d2b)
(4870|4882) pub5  10% (13 samples sent)
(4870|4877) pub1  20% (26 samples sent)
(4870|4882) pub5  20% (26 samples sent)
(4870|4881) pub3  30% (39 samples sent)
(4870|4876) pub0  20% (26 samples sent)
(4870|4882) pub5  30% (39 samples sent)
(4870|4877) pub1  30% (39 samples sent)
(4870|4876) pub0  30% (39 samples sent)
(4870|4883) pub2<-match found! before write for 0103000c.297a35f2.13063094.00000002(39cda49b)
(4870|4883) pub2  10% (13 samples sent)
(4870|4881) pub3  40% (52 samples sent)
(4870|4883) pub2  20% (26 samples sent)
(4870|4881) pub3  50% (64 samples sent)
(4870|4882) pub5  40% (52 samples sent)
(4870|4877) pub1  40% (52 samples sent)
(4870|4880) pub7<-match found! before write for 0103000c.297a35f2.13063097.00000002(7e6dde4b)
(4870|4883) pub2  30% (39 samples sent)
(4870|4880) pub7  10% (13 samples sent)
(4870|4876) pub0  40% (52 samples sent)
(4870|4880) pub7  20% (26 samples sent)
(4870|4881) pub3  60% (77 samples sent)
(4870|4877) pub1  50% (64 samples sent)
(4870|4883) pub2  40% (52 samples sent)
(4870|4876) pub0  50% (64 samples sent)
(4870|4882) pub5  50% (64 samples sent)
(4870|4880) pub7  30% (39 samples sent)
(4870|4882) pub5  60% (77 samples sent)
(4870|4883) pub2  50% (64 samples sent)
(4870|4877) pub1  60% (77 samples sent)
(4870|4876) pub0  60% (77 samples sent)
(4870|4881) pub3  70% (90 samples sent)
(4870|4877) pub1  70% (90 samples sent)
(4870|4880) pub7  40% (52 samples sent)
(4870|4876) pub0  70% (90 samples sent)
(4870|4881) pub3  80% (103 samples sent)
(4870|4883) pub2  60% (77 samples sent)
(4870|4882) pub5  70% (90 samples sent)
(4870|4877) pub1  80% (103 samples sent)
(4870|4876) pub0  80% (103 samples sent)
(4870|4881) pub3  90% (116 samples sent)
(4870|4879) pub6<-match found! before write for 0103000c.297a35f2.13063096.00000002(430df7fb)
(4870|4879) pub6  10% (13 samples sent)
(4870|4880) pub7  50% (64 samples sent)
(4870|4878) pub4<-match found! before write for 0103000c.297a35f2.13063092.00000002(b68d513b)
(4870|4876) pub0  90% (116 samples sent)
(4870|4882) pub5  80% (103 samples sent)
(4870|4878) pub4  10% (13 samples sent)
(4870|4877) pub1  90% (116 samples sent)
(4870|4879) pub6  20% (26 samples sent)
(4870|4880) pub7  60% (77 samples sent)
(4870|4883) pub2  70% (90 samples sent)
(4870|4880) pub7  70% (90 samples sent)
(4870|4881) pub3  100% (128 samples sent)
(4870|4878) pub4  20% (26 samples sent)
(4870|4881) pub3  waiting for acks
(4870|4882) pub5  90% (116 samples sent)
(4870|4878) pub4  30% (39 samples sent)
(4870|4877) pub1  100% (128 samples sent)
(4870|4877) pub1  waiting for acks
(4870|4876) pub0  100% (128 samples sent)
(4870|4876) pub0  waiting for acks
(4870|4879) pub6  30% (39 samples sent)
(4870|4883) pub2  80% (103 samples sent)
(4870|4875)  sub 10% (103 samples received)
(4870|4882) pub5  100% (128 samples sent)
(4870|4882) pub5  waiting for acks
(4870|4879) pub6  40% (52 samples sent)
(4870|4880) pub7  80% (103 samples sent)
(4870|4883) pub2  90% (116 samples sent)
(4870|4878) pub4  40% (52 samples sent)
(4870|4879) pub6  50% (64 samples sent)
(4870|4880) pub7  90% (116 samples sent)
(4870|4883) pub2  100% (128 samples sent)
(4870|4883) pub2  waiting for acks
(4870|4878) pub4  50% (64 samples sent)
(4870|4879) pub6  60% (77 samples sent)
(4870|4879) pub6  70% (90 samples sent)
(4870|4880) pub7  100% (128 samples sent)
(4870|4880) pub7  waiting for acks
(4870|4878) pub4  60% (77 samples sent)
(4870|4878) pub4  70% (90 samples sent)
(4870|4879) pub6  80% (103 samples sent)
(4870|4879) pub6  90% (116 samples sent)
(4870|4875)  sub 20% (205 samples received)
(4870|4879) pub6  100% (128 samples sent)
(4870|4879) pub6  waiting for acks
(4870|4878) pub4  80% (103 samples sent)
(4870|4878) pub4  90% (116 samples sent)
(4870|4878) pub4  100% (128 samples sent)
(4870|4878) pub4  waiting for acks
(4870|4875)  sub 30% (308 samples received)
(4870|4881) pub3  waiting for acks returned
(4870|4881) pub3<-delete_contained_entities
(4870|4875)  sub 40% (410 samples received)
(4870|4881) pub3<-delete_participant
(4870|4875)  sub 50% (512 samples received)
(4870|4875)  sub 60% (615 samples received)
(4870|4875)  sub 70% (717 samples received)
(4870|4875) sub condition_.notify_all
(4870|4870) sub condition_.wait returned
(4870|4870) sub check_received
(4870|4870) sub check_received returns 0
(4870|4870) <- PublisherService::end
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4876) pub0  waiting for acks returned
(4870|4876) pub0<-delete_contained_entities
(4870|4883) pub2  waiting for acks returned
(4870|4883) pub2<-delete_contained_entities
(4870|4882) pub5  waiting for acks returned
(4870|4882) pub5<-delete_contained_entities
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4876) pub0<-delete_participant
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4883) pub2<-delete_participant
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875)  sub 80% (820 samples received)
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875)  sub 90% (922 samples received)
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4877) pub1  waiting for acks returned
(4870|4877) pub1<-delete_contained_entities
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875) sub condition_.notify_all
(4870|4875)  sub 100% (1024 samples received)
(4870|4875) sub condition_.notify_all
(4870|4880) pub7  waiting for acks returned
(4870|4879) pub6  waiting for acks returned
(4870|4880) pub7<-delete_contained_entities
(4870|4879) pub6<-delete_contained_entities
(4870|4878) pub4  waiting for acks returned
(4870|4878) pub4<-delete_contained_entities
(4870|4882) pub5<-delete_participant
(4870|4877) pub1<-delete_participant
(4870|4880) pub7<-delete_participant
(4870|4879) pub6<-delete_participant
(4870|4878) pub4<-delete_participant
(4870|4870) <- PublisherService::~PublisherService
(4870|4870) <- Subscriber delete_contained_entities
(4870|4870) <- Subscriber delete_participant
(4870|4870) <- Subscriber::~Subscriber
(4870|4870) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl low rtps Time:2s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl medium rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 16 -s 64 -n 1024 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 4917 started at 2023-04-26 16:11:20
(4917|4917) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(4917|4917) -> Thrasher started
(4917|4917) -> Subscriber::Subscriber
(4917|4917)    Subscriber reader id: 0103000c.297a35f2.13357ca9.00000007(f10759fb)
(4917|4917) -> PublisherService::PublisherService
(4917|4917) -> PublisherService::start (16 threads)
(4917|4917) sub wait_received 0:16
(4917|4925) pub2->transport cfg_2
(4917|4923) pub0->transport cfg_0
(4917|4927) pub4->transport cfg_4
(4917|4924) pub1->transport cfg_1
(4917|4926) pub3->transport cfg_3
(4917|4933) pub8->transport cfg_8
(4917|4931) pub10->transport cfg_10
(4917|4935) pub11->transport cfg_11
(4917|4928) pub7->transport cfg_7
(4917|4930) pub5->transport cfg_5
(4917|4929) pub6->transport cfg_6
(4917|4937) pub13->transport cfg_13
(4917|4934) pub14->transport cfg_14
(4917|4936) pub12->transport cfg_12
(4917|4932) pub9->transport cfg_9
(4917|4938) pub15->transport cfg_15
(4917|4932) pub9  writer id: 0103000c.297a35f2.13357cb3.00000002(ab3d2257)
(4917|4934) pub14  writer id: 0103000c.297a35f2.13357cb7.00000002(5ebd8497)
(4917|4932) pub9->started
(4917|4934) pub14->started
(4917|4932) pub9->wait_match() before write for 0103000c.297a35f2.13357cb3.00000002(ab3d2257)
(4917|4934) pub14->wait_match() before write for 0103000c.297a35f2.13357cb7.00000002(5ebd8497)
(4917|4937) pub13  writer id: 0103000c.297a35f2.13357cb8.00000002(dced1346)
(4917|4937) pub13->started
(4917|4937) pub13->wait_match() before write for 0103000c.297a35f2.13357cb8.00000002(dced1346)
(4917|4924) pub1  writer id: 0103000c.297a35f2.13357cab.00000002(fbadfe14)
(4917|4928) pub7  writer id: 0103000c.297a35f2.13357cb1.00000002(d1fd7137)
(4917|4928) pub7->started
(4917|4935) pub11  writer id: 0103000c.297a35f2.13357cb5.00000002(247dd7f7)
(4917|4931) pub10  writer id: 0103000c.297a35f2.13357cb4.00000002(191dfe47)
(4917|4931) pub10->started
(4917|4931) pub10->wait_match() before write for 0103000c.297a35f2.13357cb4.00000002(191dfe47)
(4917|4936) pub12  writer id: 0103000c.297a35f2.13357cb6.00000002(63ddad27)
(4917|4936) pub12->started
(4917|4936) pub12->wait_match() before write for 0103000c.297a35f2.13357cb6.00000002(63ddad27)
(4917|4924) pub1->started
(4917|4924) pub1->wait_match() before write for 0103000c.297a35f2.13357cab.00000002(fbadfe14)
(4917|4928) pub7->wait_match() before write for 0103000c.297a35f2.13357cb1.00000002(d1fd7137)
(4917|4929) pub6  writer id: 0103000c.297a35f2.13357cb0.00000002(ec9d5887)
(4917|4929) pub6->started
(4917|4929) pub6->wait_match() before write for 0103000c.297a35f2.13357cb0.00000002(ec9d5887)
(4917|4935) pub11->started
(4917|4930) pub5  writer id: 0103000c.297a35f2.13357caf.00000002(0e2d58d4)
(4917|4930) pub5->started
(4917|4930) pub5->wait_match() before write for 0103000c.297a35f2.13357caf.00000002(0e2d58d4)
(4917|4933) pub8  writer id: 0103000c.297a35f2.13357cb2.00000002(965d0be7)
(4917|4933) pub8->started
(4917|4933) pub8->wait_match() before write for 0103000c.297a35f2.13357cb2.00000002(965d0be7)
(4917|4935) pub11->wait_match() before write for 0103000c.297a35f2.13357cb5.00000002(247dd7f7)
(4917|4927) pub4  writer id: 0103000c.297a35f2.13357cae.00000002(334d7164)
(4917|4927) pub4->started
(4917|4927) pub4->wait_match() before write for 0103000c.297a35f2.13357cae.00000002(334d7164)
(4917|4925) pub2  writer id: 0103000c.297a35f2.13357cac.00000002(498d2204)
(4917|4925) pub2->started
(4917|4925) pub2->wait_match() before write for 0103000c.297a35f2.13357cac.00000002(498d2204)
(4917|4923) pub0  writer id: 0103000c.297a35f2.13357caa.00000002(c6cdd7a4)
(4917|4923) pub0->started
(4917|4923) pub0->wait_match() before write for 0103000c.297a35f2.13357caa.00000002(c6cdd7a4)
(4917|4926) pub3  writer id: 0103000c.297a35f2.13357cad.00000002(74ed0bb4)
(4917|4926) pub3->started
(4917|4926) pub3->wait_match() before write for 0103000c.297a35f2.13357cad.00000002(74ed0bb4)
(4917|4938) pub15  writer id: 0103000c.297a35f2.13357cb9.00000002(e18d3af6)
(4917|4938) pub15->started
(4917|4938) pub15->wait_match() before write for 0103000c.297a35f2.13357cb9.00000002(e18d3af6)
(4917|4924) pub1<-match found! before write for 0103000c.297a35f2.13357cab.00000002(fbadfe14)
(4917|4924) pub1  10% (7 samples sent)
(4917|4924) pub1  20% (13 samples sent)
(4917|4924) pub1  31% (20 samples sent)
(4917|4924) pub1  40% (26 samples sent)
(4917|4934) pub14<-match found! before write for 0103000c.297a35f2.13357cb7.00000002(5ebd8497)
(4917|4934) pub14  10% (7 samples sent)
(4917|4934) pub14  20% (13 samples sent)
(4917|4934) pub14  31% (20 samples sent)
(4917|4934) pub14  40% (26 samples sent)
(4917|4934) pub14  50% (32 samples sent)
(4917|4924) pub1  50% (32 samples sent)
(4917|4934) pub14  60% (39 samples sent)
(4917|4934) pub14  70% (45 samples sent)
(4917|4924) pub1  60% (39 samples sent)
(4917|4924) pub1  70% (45 samples sent)
(4917|4924) pub1  81% (52 samples sent)
(4917|4934) pub14  81% (52 samples sent)
(4917|4934) pub14  90% (58 samples sent)
(4917|4934) pub14  100% (64 samples sent)
(4917|4934) pub14  waiting for acks
(4917|4924) pub1  90% (58 samples sent)
(4917|4924) pub1  100% (64 samples sent)
(4917|4924) pub1  waiting for acks
(4917|4924) pub1  waiting for acks returned
(4917|4924) pub1<-delete_contained_entities
(4917|4936) pub12<-match found! before write for 0103000c.297a35f2.13357cb6.00000002(63ddad27)
(4917|4936) pub12  10% (7 samples sent)
(4917|4936) pub12  20% (13 samples sent)
(4917|4936) pub12  31% (20 samples sent)
(4917|4936) pub12  40% (26 samples sent)
(4917|4922)  sub 10% (103 samples received)
(4917|4934) pub14  waiting for acks returned
(4917|4934) pub14<-delete_contained_entities
(4917|4936) pub12  50% (32 samples sent)
(4917|4936) pub12  60% (39 samples sent)
(4917|4924) pub1<-delete_participant
(4917|4937) pub13<-match found! before write for 0103000c.297a35f2.13357cb8.00000002(dced1346)
(4917|4937) pub13  10% (7 samples sent)
(4917|4937) pub13  20% (13 samples sent)
(4917|4937) pub13  31% (20 samples sent)
(4917|4936) pub12  70% (45 samples sent)
(4917|4936) pub12  81% (52 samples sent)
(4917|4936) pub12  90% (58 samples sent)
(4917|4937) pub13  40% (26 samples sent)
(4917|4937) pub13  50% (32 samples sent)
(4917|4937) pub13  60% (39 samples sent)
(4917|4937) pub13  70% (45 samples sent)
(4917|4934) pub14<-delete_participant
(4917|4936) pub12  100% (64 samples sent)
(4917|4936) pub12  waiting for acks
(4917|4937) pub13  81% (52 samples sent)
(4917|4937) pub13  90% (58 samples sent)
(4917|4937) pub13  100% (64 samples sent)
(4917|4937) pub13  waiting for acks
(4917|4929) pub6<-match found! before write for 0103000c.297a35f2.13357cb0.00000002(ec9d5887)
(4917|4929) pub6  10% (7 samples sent)
(4917|4929) pub6  20% (13 samples sent)
(4917|4929) pub6  31% (20 samples sent)
(4917|4929) pub6  40% (26 samples sent)
(4917|4929) pub6  50% (32 samples sent)
(4917|4932) pub9<-match found! before write for 0103000c.297a35f2.13357cb3.00000002(ab3d2257)
(4917|4932) pub9  10% (7 samples sent)
(4917|4932) pub9  20% (13 samples sent)
(4917|4932) pub9  31% (20 samples sent)
(4917|4932) pub9  40% (26 samples sent)
(4917|4929) pub6  60% (39 samples sent)
(4917|4933) pub8<-match found! before write for 0103000c.297a35f2.13357cb2.00000002(965d0be7)
(4917|4933) pub8  10% (7 samples sent)
(4917|4933) pub8  20% (13 samples sent)
(4917|4933) pub8  31% (20 samples sent)
(4917|4929) pub6  70% (45 samples sent)
(4917|4929) pub6  81% (52 samples sent)
(4917|4932) pub9  50% (32 samples sent)
(4917|4932) pub9  60% (39 samples sent)
(4917|4933) pub8  40% (26 samples sent)
(4917|4933) pub8  50% (32 samples sent)
(4917|4933) pub8  60% (39 samples sent)
(4917|4929) pub6  90% (58 samples sent)
(4917|4929) pub6  100% (64 samples sent)
(4917|4929) pub6  waiting for acks
(4917|4932) pub9  70% (45 samples sent)
(4917|4933) pub8  70% (45 samples sent)
(4917|4933) pub8  81% (52 samples sent)
(4917|4925) pub2<-match found! before write for 0103000c.297a35f2.13357cac.00000002(498d2204)
(4917|4925) pub2  10% (7 samples sent)
(4917|4925) pub2  20% (13 samples sent)
(4917|4925) pub2  31% (20 samples sent)
(4917|4925) pub2  40% (26 samples sent)
(4917|4932) pub9  81% (52 samples sent)
(4917|4932) pub9  90% (58 samples sent)
(4917|4925) pub2  50% (32 samples sent)
(4917|4925) pub2  60% (39 samples sent)
(4917|4933) pub8  90% (58 samples sent)
(4917|4933) pub8  100% (64 samples sent)
(4917|4933) pub8  waiting for acks
(4917|4932) pub9  100% (64 samples sent)
(4917|4932) pub9  waiting for acks
(4917|4925) pub2  70% (45 samples sent)
(4917|4925) pub2  81% (52 samples sent)
(4917|4925) pub2  90% (58 samples sent)
(4917|4925) pub2  100% (64 samples sent)
(4917|4925) pub2  waiting for acks
(4917|4938) pub15<-match found! before write for 0103000c.297a35f2.13357cb9.00000002(e18d3af6)
(4917|4938) pub15  10% (7 samples sent)
(4917|4938) pub15  20% (13 samples sent)
(4917|4938) pub15  31% (20 samples sent)
(4917|4938) pub15  40% (26 samples sent)
(4917|4938) pub15  50% (32 samples sent)
(4917|4938) pub15  60% (39 samples sent)
(4917|4938) pub15  70% (45 samples sent)
(4917|4938) pub15  81% (52 samples sent)
(4917|4938) pub15  90% (58 samples sent)
(4917|4938) pub15  100% (64 samples sent)
(4917|4938) pub15  waiting for acks
(4917|4926) pub3<-match found! before write for 0103000c.297a35f2.13357cad.00000002(74ed0bb4)
(4917|4926) pub3  10% (7 samples sent)
(4917|4926) pub3  20% (13 samples sent)
(4917|4926) pub3  31% (20 samples sent)
(4917|4935) pub11<-match found! before write for 0103000c.297a35f2.13357cb5.00000002(247dd7f7)
(4917|4935) pub11  10% (7 samples sent)
(4917|4935) pub11  20% (13 samples sent)
(4917|4922)  sub 20% (205 samples received)
(4917|4935) pub11  31% (20 samples sent)
(4917|4935) pub11  40% (26 samples sent)
(4917|4935) pub11  50% (32 samples sent)
(4917|4935) pub11  60% (39 samples sent)
(4917|4926) pub3  40% (26 samples sent)
(4917|4923) pub0<-match found! before write for 0103000c.297a35f2.13357caa.00000002(c6cdd7a4)
(4917|4923) pub0  10% (7 samples sent)
(4917|4923) pub0  20% (13 samples sent)
(4917|4923) pub0  31% (20 samples sent)
(4917|4923) pub0  40% (26 samples sent)
(4917|4930) pub5<-match found! before write for 0103000c.297a35f2.13357caf.00000002(0e2d58d4)
(4917|4923) pub0  50% (32 samples sent)
(4917|4930) pub5  10% (7 samples sent)
(4917|4930) pub5  20% (13 samples sent)
(4917|4930) pub5  31% (20 samples sent)
(4917|4926) pub3  50% (32 samples sent)
(4917|4926) pub3  60% (39 samples sent)
(4917|4930) pub5  40% (26 samples sent)
(4917|4930) pub5  50% (32 samples sent)
(4917|4935) pub11  70% (45 samples sent)
(4917|4935) pub11  81% (52 samples sent)
(4917|4923) pub0  60% (39 samples sent)
(4917|4923) pub0  70% (45 samples sent)
(4917|4923) pub0  81% (52 samples sent)
(4917|4926) pub3  70% (45 samples sent)
(4917|4926) pub3  81% (52 samples sent)
(4917|4926) pub3  90% (58 samples sent)
(4917|4928) pub7<-match found! before write for 0103000c.297a35f2.13357cb1.00000002(d1fd7137)
(4917|4928) pub7  10% (7 samples sent)
(4917|4928) pub7  20% (13 samples sent)
(4917|4928) pub7  31% (20 samples sent)
(4917|4930) pub5  60% (39 samples sent)
(4917|4928) pub7  40% (26 samples sent)
(4917|4928) pub7  50% (32 samples sent)
(4917|4928) pub7  60% (39 samples sent)
(4917|4928) pub7  70% (45 samples sent)
(4917|4935) pub11  90% (58 samples sent)
(4917|4935) pub11  100% (64 samples sent)
(4917|4935) pub11  waiting for acks
(4917|4923) pub0  90% (58 samples sent)
(4917|4930) pub5  70% (45 samples sent)
(4917|4923) pub0  100% (64 samples sent)
(4917|4923) pub0  waiting for acks
(4917|4930) pub5  81% (52 samples sent)
(4917|4930) pub5  90% (58 samples sent)
(4917|4926) pub3  100% (64 samples sent)
(4917|4926) pub3  waiting for acks
(4917|4928) pub7  81% (52 samples sent)
(4917|4928) pub7  90% (58 samples sent)
(4917|4928) pub7  100% (64 samples sent)
(4917|4928) pub7  waiting for acks
(4917|4930) pub5  100% (64 samples sent)
(4917|4930) pub5  waiting for acks
(4917|4922)  sub 30% (308 samples received)
(4917|4927) pub4<-match found! before write for 0103000c.297a35f2.13357cae.00000002(334d7164)
(4917|4927) pub4  10% (7 samples sent)
(4917|4927) pub4  20% (13 samples sent)
(4917|4927) pub4  31% (20 samples sent)
(4917|4927) pub4  40% (26 samples sent)
(4917|4927) pub4  50% (32 samples sent)
(4917|4931) pub10<-match found! before write for 0103000c.297a35f2.13357cb4.00000002(191dfe47)
(4917|4931) pub10  10% (7 samples sent)
(4917|4922)  sub 40% (410 samples received)
(4917|4931) pub10  20% (13 samples sent)
(4917|4931) pub10  31% (20 samples sent)
(4917|4931) pub10  40% (26 samples sent)
(4917|4931) pub10  50% (32 samples sent)
(4917|4927) pub4  60% (39 samples sent)
(4917|4927) pub4  70% (45 samples sent)
(4917|4933) pub8  waiting for acks returned
(4917|4933) pub8<-delete_contained_entities
(4917|4931) pub10  60% (39 samples sent)
(4917|4931) pub10  70% (45 samples sent)
(4917|4931) pub10  81% (52 samples sent)
(4917|4927) pub4  81% (52 samples sent)
(4917|4927) pub4  90% (58 samples sent)
(4917|4927) pub4  100% (64 samples sent)
(4917|4927) pub4  waiting for acks
(4917|4922)  sub 50% (512 samples received)
(4917|4931) pub10  90% (58 samples sent)
(4917|4931) pub10  100% (64 samples sent)
(4917|4931) pub10  waiting for acks
(4917|4933) pub8<-delete_participant
(4917|4926) pub3  waiting for acks returned
(4917|4926) pub3<-delete_contained_entities
(4917|4923) pub0  waiting for acks returned
(4917|4923) pub0<-delete_contained_entities
(4917|4925) pub2  waiting for acks returned
(4917|4925) pub2<-delete_contained_entities
(4917|4922)  sub 60% (615 samples received)
(4917|4928) pub7  waiting for acks returned
(4917|4928) pub7<-delete_contained_entities
(4917|4935) pub11  waiting for acks returned
(4917|4935) pub11<-delete_contained_entities
(4917|4922)  sub 70% (717 samples received)
(4917|4922)  sub 80% (820 samples received)
(4917|4930) pub5  waiting for acks returned
(4917|4930) pub5<-delete_contained_entities
(4917|4936) pub12  waiting for acks returned
(4917|4936) pub12<-delete_contained_entities
(4917|4922)  sub 90% (922 samples received)
(4917|4922) sub condition_.notify_all
(4917|4917) sub condition_.wait returned
(4917|4917) sub check_received
(4917|4917) sub check_received returns 0
(4917|4917) <- PublisherService::end
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4937) pub13  waiting for acks returned
(4917|4937) pub13<-delete_contained_entities
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4929) pub6  waiting for acks returned
(4917|4929) pub6<-delete_contained_entities
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4927) pub4  waiting for acks returned
(4917|4927) pub4<-delete_contained_entities
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922) sub condition_.notify_all
(4917|4922)  sub 100% (1024 samples received)
(4917|4922) sub condition_.notify_all
(4917|4938) pub15  waiting for acks returned
(4917|4938) pub15<-delete_contained_entities
(4917|4931) pub10  waiting for acks returned
(4917|4931) pub10<-delete_contained_entities
(4917|4932) pub9  waiting for acks returned
(4917|4932) pub9<-delete_contained_entities
(4917|4926) pub3<-delete_participant
(4917|4923) pub0<-delete_participant
(4917|4925) pub2<-delete_participant
(4917|4928) pub7<-delete_participant
(4917|4935) pub11<-delete_participant
(4917|4930) pub5<-delete_participant
(4917|4936) pub12<-delete_participant
(4917|4937) pub13<-delete_participant
(4917|4929) pub6<-delete_participant
(4917|4927) pub4<-delete_participant
(4917|4938) pub15<-delete_participant
(4917|4931) pub10<-delete_participant
(4917|4932) pub9<-delete_participant
(4917|4917) <- PublisherService::~PublisherService
(4917|4917) <- Subscriber delete_contained_entities
(4917|4917) <- Subscriber delete_participant
(4917|4917) <- Subscriber::~Subscriber
(4917|4917) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl medium rtps Time:6s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl high rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 32 -s 32 -n 1024 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 5005 started at 2023-04-26 16:11:26
(5005|5005) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(5005|5005) -> Thrasher started
(5005|5005) -> Subscriber::Subscriber
(5005|5005)    Subscriber reader id: 0103000c.297a35f2.138d0102.00000007(52d6e8a4)
(5005|5005) -> PublisherService::PublisherService
(5005|5005) -> PublisherService::start (32 threads)
(5005|5005) sub wait_received 0:32
(5005|5011) pub0->transport cfg_0
(5005|5021) pub10->transport cfg_10
(5005|5013) pub2->transport cfg_2
(5005|5014) pub3->transport cfg_3
(5005|5012) pub1->transport cfg_1
(5005|5016) pub4->transport cfg_4
(5005|5017) pub5->transport cfg_5
(5005|5024) pub13->transport cfg_13
(5005|5018) pub7->transport cfg_7
(5005|5032) pub21->transport cfg_21
(5005|5022) pub11->transport cfg_11
(5005|5015) pub6->transport cfg_6
(5005|5019) pub8->transport cfg_8
(5005|5011) pub0  writer id: 0103000c.297a35f2.138d0103.00000002(1fdc359b)
(5005|5011) pub0->started
(5005|5011) pub0->wait_match() before write for 0103000c.297a35f2.138d0103.00000002(1fdc359b)
(5005|5020) pub9->transport cfg_9
(5005|5027) pub15->transport cfg_15
(5005|5026) pub16->transport cfg_16
(5005|5031) pub20->transport cfg_20
(5005|5012) pub1  writer id: 0103000c.297a35f2.138d0104.00000002(adfce98b)
(5005|5012) pub1->started
(5005|5012) pub1->wait_match() before write for 0103000c.297a35f2.138d0104.00000002(adfce98b)
(5005|5017) pub5  writer id: 0103000c.297a35f2.138d0108.00000002(680c048a)
(5005|5017) pub5->started
(5005|5017) pub5->wait_match() before write for 0103000c.297a35f2.138d0108.00000002(680c048a)
(5005|5016) pub4  writer id: 0103000c.297a35f2.138d0107.00000002(ea5c935b)
(5005|5016) pub4->started
(5005|5016) pub4->wait_match() before write for 0103000c.297a35f2.138d0107.00000002(ea5c935b)
(5005|5023) pub12->transport cfg_12
(5005|5014) pub3  writer id: 0103000c.297a35f2.138d0106.00000002(d73cbaeb)
(5005|5014) pub3->started
(5005|5014) pub3->wait_match() before write for 0103000c.297a35f2.138d0106.00000002(d73cbaeb)
(5005|5030) pub19->transport cfg_19
(5005|5025) pub14->transport cfg_14
(5005|5029) pub18->transport cfg_18
(5005|5013) pub2  writer id: 0103000c.297a35f2.138d0105.00000002(909cc03b)
(5005|5013) pub2->started
(5005|5013) pub2->wait_match() before write for 0103000c.297a35f2.138d0105.00000002(909cc03b)
(5005|5037) pub25->transport cfg_25
(5005|5035) pub24->transport cfg_24
(5005|5034) pub23->transport cfg_23
(5005|5039) pub28->transport cfg_28
(5005|5041) pub30->transport cfg_30
(5005|5032) pub21  writer id: 0103000c.297a35f2.138d0116.00000002(b7dc2d69)
(5005|5032) pub21->started
(5005|5032) pub21->wait_match() before write for 0103000c.297a35f2.138d0116.00000002(b7dc2d69)
(5005|5028) pub17->transport cfg_17
(5005|5018) pub7  writer id: 0103000c.297a35f2.138d010a.00000002(12cc57ea)
(5005|5018) pub7->started
(5005|5018) pub7->wait_match() before write for 0103000c.297a35f2.138d010a.00000002(12cc57ea)
(5005|5038) pub27->transport cfg_27
(5005|5036) pub26->transport cfg_26
(5005|5021) pub10  writer id: 0103000c.297a35f2.138d010c.00000002(9d8ca24a)
(5005|5021) pub10->started
(5005|5021) pub10->wait_match() before write for 0103000c.297a35f2.138d010c.00000002(9d8ca24a)
(5005|5024) pub13  writer id: 0103000c.297a35f2.138d0110.00000002(389cd8c9)
(5005|5022) pub11  writer id: 0103000c.297a35f2.138d010e.00000002(e74cf12a)
(5005|5022) pub11->started
(5005|5022) pub11->wait_match() before write for 0103000c.297a35f2.138d010e.00000002(e74cf12a)
(5005|5040) pub29->transport cfg_29
(5005|5019) pub8  writer id: 0103000c.297a35f2.138d010b.00000002(2fac7e5a)
(5005|5019) pub8->started
(5005|5019) pub8->wait_match() before write for 0103000c.297a35f2.138d010b.00000002(2fac7e5a)
(5005|5042) pub31->transport cfg_31
(5005|5024) pub13->started
(5005|5024) pub13->wait_match() before write for 0103000c.297a35f2.138d0110.00000002(389cd8c9)
(5005|5033) pub22->transport cfg_22
(5005|5027) pub15  writer id: 0103000c.297a35f2.138d0111.00000002(05fcf179)
(5005|5026) pub16  writer id: 0103000c.297a35f2.138d0118.00000002(08ec9308)
(5005|5026) pub16->started
(5005|5026) pub16->wait_match() before write for 0103000c.297a35f2.138d0118.00000002(08ec9308)
(5005|5020) pub9  writer id: 0103000c.297a35f2.138d010d.00000002(a0ec8bfa)
(5005|5020) pub9->started
(5005|5020) pub9->wait_match() before write for 0103000c.297a35f2.138d010d.00000002(a0ec8bfa)
(5005|5015) pub6  writer id: 0103000c.297a35f2.138d0109.00000002(556c2d3a)
(5005|5015) pub6->started
(5005|5015) pub6->wait_match() before write for 0103000c.297a35f2.138d0109.00000002(556c2d3a)
(5005|5027) pub15->started
(5005|5027) pub15->wait_match() before write for 0103000c.297a35f2.138d0111.00000002(05fcf179)
(5005|5031) pub20  writer id: 0103000c.297a35f2.138d0115.00000002(f07c57b9)
(5005|5031) pub20->started
(5005|5031) pub20->wait_match() before write for 0103000c.297a35f2.138d0115.00000002(f07c57b9)
(5005|5037) pub25  writer id: 0103000c.297a35f2.138d011b.00000002(4f4ce9d8)
(5005|5037) pub25->started
(5005|5037) pub25->wait_match() before write for 0103000c.297a35f2.138d011b.00000002(4f4ce9d8)
(5005|5025) pub14  writer id: 0103000c.297a35f2.138d010f.00000002(da2cd89a)
(5005|5025) pub14->started
(5005|5025) pub14->wait_match() before write for 0103000c.297a35f2.138d010f.00000002(da2cd89a)
(5005|5023) pub12  writer id: 0103000c.297a35f2.138d0112.00000002(425c8ba9)
(5005|5023) pub12->started
(5005|5023) pub12->wait_match() before write for 0103000c.297a35f2.138d0112.00000002(425c8ba9)
(5005|5034) pub23  writer id: 0103000c.297a35f2.138d011a.00000002(722cc068)
(5005|5039) pub28  writer id: 0103000c.297a35f2.138d011f.00000002(bacc4f18)
(5005|5039) pub28->started
(5005|5039) pub28->wait_match() before write for 0103000c.297a35f2.138d011f.00000002(bacc4f18)
(5005|5041) pub30  writer id: 0103000c.297a35f2.138d0120.00000002(99bd604f)
(5005|5028) pub17  writer id: 0103000c.297a35f2.138d0117.00000002(8abc04d9)
(5005|5028) pub17->started
(5005|5028) pub17->wait_match() before write for 0103000c.297a35f2.138d0117.00000002(8abc04d9)
(5005|5034) pub23->started
(5005|5034) pub23->wait_match() before write for 0103000c.297a35f2.138d011a.00000002(722cc068)
(5005|5029) pub18  writer id: 0103000c.297a35f2.138d0113.00000002(7f3ca219)
(5005|5029) pub18->started
(5005|5029) pub18->wait_match() before write for 0103000c.297a35f2.138d0113.00000002(7f3ca219)
(5005|5038) pub27  writer id: 0103000c.297a35f2.138d011e.00000002(87ac66a8)
(5005|5041) pub30->started
(5005|5041) pub30->wait_match() before write for 0103000c.297a35f2.138d0120.00000002(99bd604f)
(5005|5036) pub26  writer id: 0103000c.297a35f2.138d011c.00000002(fd6c35c8)
(5005|5036) pub26->started
(5005|5036) pub26->wait_match() before write for 0103000c.297a35f2.138d011c.00000002(fd6c35c8)
(5005|5035) pub24  writer id: 0103000c.297a35f2.138d011d.00000002(c00c1c78)
(5005|5035) pub24->started
(5005|5035) pub24->wait_match() before write for 0103000c.297a35f2.138d011d.00000002(c00c1c78)
(5005|5042) pub31  writer id: 0103000c.297a35f2.138d0121.00000002(a4dd49ff)
(5005|5042) pub31->started
(5005|5042) pub31->wait_match() before write for 0103000c.297a35f2.138d0121.00000002(a4dd49ff)
(5005|5038) pub27->started
(5005|5038) pub27->wait_match() before write for 0103000c.297a35f2.138d011e.00000002(87ac66a8)
(5005|5030) pub19  writer id: 0103000c.297a35f2.138d0114.00000002(cd1c7e09)
(5005|5030) pub19->started
(5005|5030) pub19->wait_match() before write for 0103000c.297a35f2.138d0114.00000002(cd1c7e09)
(5005|5033) pub22  writer id: 0103000c.297a35f2.138d0119.00000002(358cbab8)
(5005|5033) pub22->started
(5005|5033) pub22->wait_match() before write for 0103000c.297a35f2.138d0119.00000002(358cbab8)
(5005|5040) pub29  writer id: 0103000c.297a35f2.138d0122.00000002(e37d332f)
(5005|5040) pub29->started
(5005|5040) pub29->wait_match() before write for 0103000c.297a35f2.138d0122.00000002(e37d332f)
(5005|5031) pub20<-match found! before write for 0103000c.297a35f2.138d0115.00000002(f07c57b9)
(5005|5031) pub20  12% (4 samples sent)
(5005|5031) pub20  21% (7 samples sent)
(5005|5031) pub20  31% (10 samples sent)
(5005|5031) pub20  40% (13 samples sent)
(5005|5031) pub20  50% (16 samples sent)
(5005|5031) pub20  62% (20 samples sent)
(5005|5031) pub20  71% (23 samples sent)
(5005|5031) pub20  81% (26 samples sent)
(5005|5031) pub20  90% (29 samples sent)
(5005|5031) pub20  100% (32 samples sent)
(5005|5031) pub20  waiting for acks
(5005|5032) pub21<-match found! before write for 0103000c.297a35f2.138d0116.00000002(b7dc2d69)
(5005|5032) pub21  12% (4 samples sent)
(5005|5032) pub21  21% (7 samples sent)
(5005|5032) pub21  31% (10 samples sent)
(5005|5032) pub21  40% (13 samples sent)
(5005|5032) pub21  50% (16 samples sent)
(5005|5032) pub21  62% (20 samples sent)
(5005|5032) pub21  71% (23 samples sent)
(5005|5032) pub21  81% (26 samples sent)
(5005|5032) pub21  90% (29 samples sent)
(5005|5032) pub21  100% (32 samples sent)
(5005|5032) pub21  waiting for acks
(5005|5041) pub30<-match found! before write for 0103000c.297a35f2.138d0120.00000002(99bd604f)
(5005|5041) pub30  12% (4 samples sent)
(5005|5041) pub30  21% (7 samples sent)
(5005|5041) pub30  31% (10 samples sent)
(5005|5041) pub30  40% (13 samples sent)
(5005|5041) pub30  50% (16 samples sent)
(5005|5041) pub30  62% (20 samples sent)
(5005|5041) pub30  71% (23 samples sent)
(5005|5041) pub30  81% (26 samples sent)
(5005|5041) pub30  90% (29 samples sent)
(5005|5041) pub30  100% (32 samples sent)
(5005|5041) pub30  waiting for acks
(5005|5031) pub20  waiting for acks returned
(5005|5031) pub20<-delete_contained_entities
(5005|5031) pub20<-delete_participant
(5005|5039) pub28<-match found! before write for 0103000c.297a35f2.138d011f.00000002(bacc4f18)
(5005|5039) pub28  12% (4 samples sent)
(5005|5039) pub28  21% (7 samples sent)
(5005|5039) pub28  31% (10 samples sent)
(5005|5039) pub28  40% (13 samples sent)
(5005|5039) pub28  50% (16 samples sent)
(5005|5039) pub28  62% (20 samples sent)
(5005|5039) pub28  71% (23 samples sent)
(5005|5039) pub28  81% (26 samples sent)
(5005|5039) pub28  90% (29 samples sent)
(5005|5039) pub28  100% (32 samples sent)
(5005|5039) pub28  waiting for acks
(5005|5032) pub21  waiting for acks returned
(5005|5032) pub21<-delete_contained_entities
(5005|5042) pub31<-match found! before write for 0103000c.297a35f2.138d0121.00000002(a4dd49ff)
(5005|5042) pub31  12% (4 samples sent)
(5005|5042) pub31  21% (7 samples sent)
(5005|5042) pub31  31% (10 samples sent)
(5005|5042) pub31  40% (13 samples sent)
(5005|5042) pub31  50% (16 samples sent)
(5005|5042) pub31  62% (20 samples sent)
(5005|5042) pub31  71% (23 samples sent)
(5005|5042) pub31  81% (26 samples sent)
(5005|5042) pub31  90% (29 samples sent)
(5005|5042) pub31  100% (32 samples sent)
(5005|5042) pub31  waiting for acks
(5005|5041) pub30  waiting for acks returned
(5005|5041) pub30<-delete_contained_entities
(5005|5032) pub21<-delete_participant
(5005|5010)  sub 10% (103 samples received)
(5005|5039) pub28  waiting for acks returned
(5005|5039) pub28<-delete_contained_entities
(5005|5041) pub30<-delete_participant
(5005|5033) pub22<-match found! before write for 0103000c.297a35f2.138d0119.00000002(358cbab8)
(5005|5033) pub22  12% (4 samples sent)
(5005|5033) pub22  21% (7 samples sent)
(5005|5033) pub22  31% (10 samples sent)
(5005|5033) pub22  40% (13 samples sent)
(5005|5033) pub22  50% (16 samples sent)
(5005|5033) pub22  62% (20 samples sent)
(5005|5033) pub22  71% (23 samples sent)
(5005|5033) pub22  81% (26 samples sent)
(5005|5033) pub22  90% (29 samples sent)
(5005|5033) pub22  100% (32 samples sent)
(5005|5033) pub22  waiting for acks
(5005|5039) pub28<-delete_participant
(5005|5038) pub27<-match found! before write for 0103000c.297a35f2.138d011e.00000002(87ac66a8)
(5005|5038) pub27  12% (4 samples sent)
(5005|5038) pub27  21% (7 samples sent)
(5005|5038) pub27  31% (10 samples sent)
(5005|5038) pub27  40% (13 samples sent)
(5005|5038) pub27  50% (16 samples sent)
(5005|5038) pub27  62% (20 samples sent)
(5005|5038) pub27  71% (23 samples sent)
(5005|5038) pub27  81% (26 samples sent)
(5005|5038) pub27  90% (29 samples sent)
(5005|5038) pub27  100% (32 samples sent)
(5005|5038) pub27  waiting for acks
(5005|5015) pub6<-match found! before write for 0103000c.297a35f2.138d0109.00000002(556c2d3a)
(5005|5015) pub6  12% (4 samples sent)
(5005|5015) pub6  21% (7 samples sent)
(5005|5015) pub6  31% (10 samples sent)
(5005|5015) pub6  40% (13 samples sent)
(5005|5015) pub6  50% (16 samples sent)
(5005|5015) pub6  62% (20 samples sent)
(5005|5015) pub6  71% (23 samples sent)
(5005|5015) pub6  81% (26 samples sent)
(5005|5015) pub6  90% (29 samples sent)
(5005|5015) pub6  100% (32 samples sent)
(5005|5015) pub6  waiting for acks
(5005|5020) pub9<-match found! before write for 0103000c.297a35f2.138d010d.00000002(a0ec8bfa)
(5005|5020) pub9  12% (4 samples sent)
(5005|5020) pub9  21% (7 samples sent)
(5005|5020) pub9  31% (10 samples sent)
(5005|5020) pub9  40% (13 samples sent)
(5005|5020) pub9  50% (16 samples sent)
(5005|5020) pub9  62% (20 samples sent)
(5005|5020) pub9  71% (23 samples sent)
(5005|5020) pub9  81% (26 samples sent)
(5005|5020) pub9  90% (29 samples sent)
(5005|5020) pub9  100% (32 samples sent)
(5005|5020) pub9  waiting for acks
(5005|5011) pub0<-match found! before write for 0103000c.297a35f2.138d0103.00000002(1fdc359b)
(5005|5011) pub0  12% (4 samples sent)
(5005|5011) pub0  21% (7 samples sent)
(5005|5011) pub0  31% (10 samples sent)
(5005|5011) pub0  40% (13 samples sent)
(5005|5011) pub0  50% (16 samples sent)
(5005|5011) pub0  62% (20 samples sent)
(5005|5011) pub0  71% (23 samples sent)
(5005|5011) pub0  81% (26 samples sent)
(5005|5011) pub0  90% (29 samples sent)
(5005|5011) pub0  100% (32 samples sent)
(5005|5011) pub0  waiting for acks
(5005|5037) pub25<-match found! before write for 0103000c.297a35f2.138d011b.00000002(4f4ce9d8)
(5005|5037) pub25  12% (4 samples sent)
(5005|5037) pub25  21% (7 samples sent)
(5005|5037) pub25  31% (10 samples sent)
(5005|5037) pub25  40% (13 samples sent)
(5005|5037) pub25  50% (16 samples sent)
(5005|5037) pub25  62% (20 samples sent)
(5005|5037) pub25  71% (23 samples sent)
(5005|5037) pub25  81% (26 samples sent)
(5005|5037) pub25  90% (29 samples sent)
(5005|5037) pub25  100% (32 samples sent)
(5005|5037) pub25  waiting for acks
(5005|5028) pub17<-match found! before write for 0103000c.297a35f2.138d0117.00000002(8abc04d9)
(5005|5028) pub17  12% (4 samples sent)
(5005|5028) pub17  21% (7 samples sent)
(5005|5028) pub17  31% (10 samples sent)
(5005|5028) pub17  40% (13 samples sent)
(5005|5028) pub17  50% (16 samples sent)
(5005|5028) pub17  62% (20 samples sent)
(5005|5028) pub17  71% (23 samples sent)
(5005|5028) pub17  81% (26 samples sent)
(5005|5028) pub17  90% (29 samples sent)
(5005|5028) pub17  100% (32 samples sent)
(5005|5028) pub17  waiting for acks
(5005|5023) pub12<-match found! before write for 0103000c.297a35f2.138d0112.00000002(425c8ba9)
(5005|5023) pub12  12% (4 samples sent)
(5005|5023) pub12  21% (7 samples sent)
(5005|5023) pub12  31% (10 samples sent)
(5005|5023) pub12  40% (13 samples sent)
(5005|5023) pub12  50% (16 samples sent)
(5005|5023) pub12  62% (20 samples sent)
(5005|5023) pub12  71% (23 samples sent)
(5005|5023) pub12  81% (26 samples sent)
(5005|5028) pub17  waiting for acks returned
(5005|5028) pub17<-delete_contained_entities
(5005|5023) pub12  90% (29 samples sent)
(5005|5023) pub12  100% (32 samples sent)
(5005|5023) pub12  waiting for acks
(5005|5025) pub14<-match found! before write for 0103000c.297a35f2.138d010f.00000002(da2cd89a)
(5005|5025) pub14  12% (4 samples sent)
(5005|5025) pub14  21% (7 samples sent)
(5005|5025) pub14  31% (10 samples sent)
(5005|5025) pub14  40% (13 samples sent)
(5005|5025) pub14  50% (16 samples sent)
(5005|5025) pub14  62% (20 samples sent)
(5005|5025) pub14  71% (23 samples sent)
(5005|5025) pub14  81% (26 samples sent)
(5005|5025) pub14  90% (29 samples sent)
(5005|5025) pub14  100% (32 samples sent)
(5005|5025) pub14  waiting for acks
(5005|5010)  sub 20% (205 samples received)
(5005|5016) pub4<-match found! before write for 0103000c.297a35f2.138d0107.00000002(ea5c935b)
(5005|5016) pub4  12% (4 samples sent)
(5005|5016) pub4  21% (7 samples sent)
(5005|5016) pub4  31% (10 samples sent)
(5005|5016) pub4  40% (13 samples sent)
(5005|5016) pub4  50% (16 samples sent)
(5005|5016) pub4  62% (20 samples sent)
(5005|5016) pub4  71% (23 samples sent)
(5005|5016) pub4  81% (26 samples sent)
(5005|5016) pub4  90% (29 samples sent)
(5005|5016) pub4  100% (32 samples sent)
(5005|5016) pub4  waiting for acks
(5005|5037) pub25  waiting for acks returned
(5005|5037) pub25<-delete_contained_entities
(5005|5028) pub17<-delete_participant
(5005|5012) pub1<-match found! before write for 0103000c.297a35f2.138d0104.00000002(adfce98b)
(5005|5012) pub1  12% (4 samples sent)
(5005|5012) pub1  21% (7 samples sent)
(5005|5012) pub1  31% (10 samples sent)
(5005|5012) pub1  40% (13 samples sent)
(5005|5012) pub1  50% (16 samples sent)
(5005|5012) pub1  62% (20 samples sent)
(5005|5012) pub1  71% (23 samples sent)
(5005|5012) pub1  81% (26 samples sent)
(5005|5012) pub1  90% (29 samples sent)
(5005|5012) pub1  100% (32 samples sent)
(5005|5012) pub1  waiting for acks
(5005|5015) pub6  waiting for acks returned
(5005|5015) pub6<-delete_contained_entities
(5005|5035) pub24<-match found! before write for 0103000c.297a35f2.138d011d.00000002(c00c1c78)
(5005|5035) pub24  12% (4 samples sent)
(5005|5035) pub24  21% (7 samples sent)
(5005|5035) pub24  31% (10 samples sent)
(5005|5035) pub24  40% (13 samples sent)
(5005|5035) pub24  50% (16 samples sent)
(5005|5035) pub24  62% (20 samples sent)
(5005|5035) pub24  71% (23 samples sent)
(5005|5035) pub24  81% (26 samples sent)
(5005|5035) pub24  90% (29 samples sent)
(5005|5035) pub24  100% (32 samples sent)
(5005|5035) pub24  waiting for acks
(5005|5037) pub25<-delete_participant
(5005|5017) pub5<-match found! before write for 0103000c.297a35f2.138d0108.00000002(680c048a)
(5005|5017) pub5  12% (4 samples sent)
(5005|5017) pub5  21% (7 samples sent)
(5005|5017) pub5  31% (10 samples sent)
(5005|5017) pub5  40% (13 samples sent)
(5005|5017) pub5  50% (16 samples sent)
(5005|5017) pub5  62% (20 samples sent)
(5005|5017) pub5  71% (23 samples sent)
(5005|5017) pub5  81% (26 samples sent)
(5005|5017) pub5  90% (29 samples sent)
(5005|5017) pub5  100% (32 samples sent)
(5005|5017) pub5  waiting for acks
(5005|5030) pub19<-match found! before write for 0103000c.297a35f2.138d0114.00000002(cd1c7e09)
(5005|5030) pub19  12% (4 samples sent)
(5005|5030) pub19  21% (7 samples sent)
(5005|5030) pub19  31% (10 samples sent)
(5005|5030) pub19  40% (13 samples sent)
(5005|5030) pub19  50% (16 samples sent)
(5005|5030) pub19  62% (20 samples sent)
(5005|5030) pub19  71% (23 samples sent)
(5005|5030) pub19  81% (26 samples sent)
(5005|5030) pub19  90% (29 samples sent)
(5005|5030) pub19  100% (32 samples sent)
(5005|5030) pub19  waiting for acks
(5005|5016) pub4  waiting for acks returned
(5005|5016) pub4<-delete_contained_entities
(5005|5029) pub18<-match found! before write for 0103000c.297a35f2.138d0113.00000002(7f3ca219)
(5005|5029) pub18  12% (4 samples sent)
(5005|5029) pub18  21% (7 samples sent)
(5005|5029) pub18  31% (10 samples sent)
(5005|5029) pub18  40% (13 samples sent)
(5005|5029) pub18  50% (16 samples sent)
(5005|5029) pub18  62% (20 samples sent)
(5005|5029) pub18  71% (23 samples sent)
(5005|5029) pub18  81% (26 samples sent)
(5005|5029) pub18  90% (29 samples sent)
(5005|5029) pub18  100% (32 samples sent)
(5005|5029) pub18  waiting for acks
(5005|5034) pub23<-match found! before write for 0103000c.297a35f2.138d011a.00000002(722cc068)
(5005|5034) pub23  12% (4 samples sent)
(5005|5034) pub23  21% (7 samples sent)
(5005|5034) pub23  31% (10 samples sent)
(5005|5034) pub23  40% (13 samples sent)
(5005|5034) pub23  50% (16 samples sent)
(5005|5034) pub23  62% (20 samples sent)
(5005|5034) pub23  71% (23 samples sent)
(5005|5034) pub23  81% (26 samples sent)
(5005|5034) pub23  90% (29 samples sent)
(5005|5034) pub23  100% (32 samples sent)
(5005|5034) pub23  waiting for acks
(5005|5040) pub29<-match found! before write for 0103000c.297a35f2.138d0122.00000002(e37d332f)
(5005|5040) pub29  12% (4 samples sent)
(5005|5040) pub29  21% (7 samples sent)
(5005|5040) pub29  31% (10 samples sent)
(5005|5040) pub29  40% (13 samples sent)
(5005|5040) pub29  50% (16 samples sent)
(5005|5040) pub29  62% (20 samples sent)
(5005|5040) pub29  71% (23 samples sent)
(5005|5040) pub29  81% (26 samples sent)
(5005|5040) pub29  90% (29 samples sent)
(5005|5040) pub29  100% (32 samples sent)
(5005|5040) pub29  waiting for acks
(5005|5018) pub7<-match found! before write for 0103000c.297a35f2.138d010a.00000002(12cc57ea)
(5005|5018) pub7  12% (4 samples sent)
(5005|5018) pub7  21% (7 samples sent)
(5005|5018) pub7  31% (10 samples sent)
(5005|5018) pub7  40% (13 samples sent)
(5005|5022) pub11<-match found! before write for 0103000c.297a35f2.138d010e.00000002(e74cf12a)
(5005|5018) pub7  50% (16 samples sent)
(5005|5022) pub11  12% (4 samples sent)
(5005|5018) pub7  62% (20 samples sent)
(5005|5022) pub11  21% (7 samples sent)
(5005|5018) pub7  71% (23 samples sent)
(5005|5022) pub11  31% (10 samples sent)
(5005|5018) pub7  81% (26 samples sent)
(5005|5018) pub7  90% (29 samples sent)
(5005|5022) pub11  40% (13 samples sent)
(5005|5018) pub7  100% (32 samples sent)
(5005|5018) pub7  waiting for acks
(5005|5022) pub11  50% (16 samples sent)
(5005|5022) pub11  62% (20 samples sent)
(5005|5022) pub11  71% (23 samples sent)
(5005|5022) pub11  81% (26 samples sent)
(5005|5022) pub11  90% (29 samples sent)
(5005|5022) pub11  100% (32 samples sent)
(5005|5022) pub11  waiting for acks
(5005|5024) pub13<-match found! before write for 0103000c.297a35f2.138d0110.00000002(389cd8c9)
(5005|5012) pub1  waiting for acks returned
(5005|5012) pub1<-delete_contained_entities
(5005|5024) pub13  12% (4 samples sent)
(5005|5024) pub13  21% (7 samples sent)
(5005|5024) pub13  31% (10 samples sent)
(5005|5024) pub13  40% (13 samples sent)
(5005|5024) pub13  50% (16 samples sent)
(5005|5024) pub13  62% (20 samples sent)
(5005|5024) pub13  71% (23 samples sent)
(5005|5024) pub13  81% (26 samples sent)
(5005|5024) pub13  90% (29 samples sent)
(5005|5024) pub13  100% (32 samples sent)
(5005|5024) pub13  waiting for acks
(5005|5036) pub26<-match found! before write for 0103000c.297a35f2.138d011c.00000002(fd6c35c8)
(5005|5036) pub26  12% (4 samples sent)
(5005|5036) pub26  21% (7 samples sent)
(5005|5036) pub26  31% (10 samples sent)
(5005|5036) pub26  40% (13 samples sent)
(5005|5036) pub26  50% (16 samples sent)
(5005|5036) pub26  62% (20 samples sent)
(5005|5036) pub26  71% (23 samples sent)
(5005|5036) pub26  81% (26 samples sent)
(5005|5036) pub26  90% (29 samples sent)
(5005|5036) pub26  100% (32 samples sent)
(5005|5036) pub26  waiting for acks
(5005|5026) pub16<-match found! before write for 0103000c.297a35f2.138d0118.00000002(08ec9308)
(5005|5026) pub16  12% (4 samples sent)
(5005|5026) pub16  21% (7 samples sent)
(5005|5026) pub16  31% (10 samples sent)
(5005|5026) pub16  40% (13 samples sent)
(5005|5026) pub16  50% (16 samples sent)
(5005|5026) pub16  62% (20 samples sent)
(5005|5026) pub16  71% (23 samples sent)
(5005|5026) pub16  81% (26 samples sent)
(5005|5026) pub16  90% (29 samples sent)
(5005|5026) pub16  100% (32 samples sent)
(5005|5026) pub16  waiting for acks
(5005|5010)  sub 30% (308 samples received)
(5005|5017) pub5  waiting for acks returned
(5005|5017) pub5<-delete_contained_entities
(5005|5013) pub2<-match found! before write for 0103000c.297a35f2.138d0105.00000002(909cc03b)
(5005|5013) pub2  12% (4 samples sent)
(5005|5013) pub2  21% (7 samples sent)
(5005|5013) pub2  31% (10 samples sent)
(5005|5013) pub2  40% (13 samples sent)
(5005|5013) pub2  50% (16 samples sent)
(5005|5013) pub2  62% (20 samples sent)
(5005|5013) pub2  71% (23 samples sent)
(5005|5013) pub2  81% (26 samples sent)
(5005|5013) pub2  90% (29 samples sent)
(5005|5013) pub2  100% (32 samples sent)
(5005|5013) pub2  waiting for acks
(5005|5021) pub10<-match found! before write for 0103000c.297a35f2.138d010c.00000002(9d8ca24a)
(5005|5021) pub10  12% (4 samples sent)
(5005|5021) pub10  21% (7 samples sent)
(5005|5021) pub10  31% (10 samples sent)
(5005|5021) pub10  40% (13 samples sent)
(5005|5021) pub10  50% (16 samples sent)
(5005|5021) pub10  62% (20 samples sent)
(5005|5021) pub10  71% (23 samples sent)
(5005|5021) pub10  81% (26 samples sent)
(5005|5021) pub10  90% (29 samples sent)
(5005|5021) pub10  100% (32 samples sent)
(5005|5021) pub10  waiting for acks
(5005|5033) pub22  waiting for acks returned
(5005|5033) pub22<-delete_contained_entities
(5005|5015) pub6<-delete_participant
(5005|5019) pub8<-match found! before write for 0103000c.297a35f2.138d010b.00000002(2fac7e5a)
(5005|5019) pub8  12% (4 samples sent)
(5005|5019) pub8  21% (7 samples sent)
(5005|5019) pub8  31% (10 samples sent)
(5005|5019) pub8  40% (13 samples sent)
(5005|5019) pub8  50% (16 samples sent)
(5005|5019) pub8  62% (20 samples sent)
(5005|5019) pub8  71% (23 samples sent)
(5005|5019) pub8  81% (26 samples sent)
(5005|5019) pub8  90% (29 samples sent)
(5005|5019) pub8  100% (32 samples sent)
(5005|5019) pub8  waiting for acks
(5005|5014) pub3<-match found! before write for 0103000c.297a35f2.138d0106.00000002(d73cbaeb)
(5005|5014) pub3  12% (4 samples sent)
(5005|5014) pub3  21% (7 samples sent)
(5005|5014) pub3  31% (10 samples sent)
(5005|5014) pub3  40% (13 samples sent)
(5005|5014) pub3  50% (16 samples sent)
(5005|5014) pub3  62% (20 samples sent)
(5005|5014) pub3  71% (23 samples sent)
(5005|5014) pub3  81% (26 samples sent)
(5005|5014) pub3  90% (29 samples sent)
(5005|5014) pub3  100% (32 samples sent)
(5005|5014) pub3  waiting for acks
(5005|5010)  sub 40% (410 samples received)
(5005|5029) pub18  waiting for acks returned
(5005|5029) pub18<-delete_contained_entities
(5005|5027) pub15<-match found! before write for 0103000c.297a35f2.138d0111.00000002(05fcf179)
(5005|5027) pub15  12% (4 samples sent)
(5005|5027) pub15  21% (7 samples sent)
(5005|5027) pub15  31% (10 samples sent)
(5005|5027) pub15  40% (13 samples sent)
(5005|5027) pub15  50% (16 samples sent)
(5005|5027) pub15  62% (20 samples sent)
(5005|5027) pub15  71% (23 samples sent)
(5005|5027) pub15  81% (26 samples sent)
(5005|5027) pub15  90% (29 samples sent)
(5005|5027) pub15  100% (32 samples sent)
(5005|5027) pub15  waiting for acks
(5005|5036) pub26  waiting for acks returned
(5005|5036) pub26<-delete_contained_entities
(5005|5034) pub23  waiting for acks returned
(5005|5034) pub23<-delete_contained_entities
(5005|5010)  sub 50% (512 samples received)
(5005|5010)  sub 60% (615 samples received)
(5005|5035) pub24  waiting for acks returned
(5005|5035) pub24<-delete_contained_entities
(5005|5018) pub7  waiting for acks returned
(5005|5018) pub7<-delete_contained_entities
(5005|5016) pub4<-delete_participant
(5005|5010)  sub 70% (717 samples received)
(5005|5040) pub29  waiting for acks returned
(5005|5040) pub29<-delete_contained_entities
(5005|5042) pub31  waiting for acks returned
(5005|5042) pub31<-delete_contained_entities
(5005|5010)  sub 80% (820 samples received)
(5005|5026) pub16  waiting for acks returned
(5005|5026) pub16<-delete_contained_entities
(5005|5025) pub14  waiting for acks returned
(5005|5025) pub14<-delete_contained_entities
(5005|5023) pub12  waiting for acks returned
(5005|5023) pub12<-delete_contained_entities
(5005|5030) pub19  waiting for acks returned
(5005|5030) pub19<-delete_contained_entities
(5005|5024) pub13  waiting for acks returned
(5005|5024) pub13<-delete_contained_entities
(5005|5013) pub2  waiting for acks returned
(5005|5013) pub2<-delete_contained_entities
(5005|5011) pub0  waiting for acks returned
(5005|5011) pub0<-delete_contained_entities
(5005|5014) pub3  waiting for acks returned
(5005|5014) pub3<-delete_contained_entities
(5005|5012) pub1<-delete_participant
(5005|5038) pub27  waiting for acks returned
(5005|5038) pub27<-delete_contained_entities
(5005|5010)  sub 90% (922 samples received)
(5005|5010) sub condition_.notify_all
(5005|5005) sub condition_.wait returned
(5005|5005) sub check_received
(5005|5005) sub check_received returns 0
(5005|5005) <- PublisherService::end
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5022) pub11  waiting for acks returned
(5005|5022) pub11<-delete_contained_entities
(5005|5010) sub condition_.notify_all
(5005|5020) pub9  waiting for acks returned
(5005|5020) pub9<-delete_contained_entities
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5021) pub10  waiting for acks returned
(5005|5021) pub10<-delete_contained_entities
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010) sub condition_.notify_all
(5005|5010)  sub 100% (1024 samples received)
(5005|5010) sub condition_.notify_all
(5005|5027) pub15  waiting for acks returned
(5005|5027) pub15<-delete_contained_entities
(5005|5019) pub8  waiting for acks returned
(5005|5019) pub8<-delete_contained_entities
(5005|5017) pub5<-delete_participant
(5005|5033) pub22<-delete_participant
(5005|5029) pub18<-delete_participant
(5005|5036) pub26<-delete_participant
(5005|5034) pub23<-delete_participant
(5005|5035) pub24<-delete_participant
(5005|5018) pub7<-delete_participant
(5005|5040) pub29<-delete_participant
(5005|5042) pub31<-delete_participant
(5005|5026) pub16<-delete_participant
(5005|5025) pub14<-delete_participant
(5005|5023) pub12<-delete_participant
(5005|5030) pub19<-delete_participant
(5005|5024) pub13<-delete_participant
(5005|5013) pub2<-delete_participant
(5005|5011) pub0<-delete_participant
(5005|5014) pub3<-delete_participant
(5005|5038) pub27<-delete_participant
(5005|5022) pub11<-delete_participant
(5005|5020) pub9<-delete_participant
(5005|5021) pub10<-delete_participant
(5005|5027) pub15<-delete_participant
(5005|5019) pub8<-delete_participant
(5005|5005) <- PublisherService::~PublisherService
(5005|5005) <- Subscriber delete_contained_entities
(5005|5005) <- Subscriber delete_participant
(5005|5005) <- Subscriber::~Subscriber
(5005|5005) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl high rtps Time:21s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl aggressive rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 64 -s 16 -n 1024 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 5182 started at 2023-04-26 16:11:47
(5182|5182) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(5182|5182) -> Thrasher started
(5182|5182) -> Subscriber::Subscriber
(5182|5182)    Subscriber reader id: 0103000c.297a35f2.143e98b8.00000007(2990e3be)
(5182|5182) -> PublisherService::PublisherService
(5182|5182) -> PublisherService::start (64 threads)
(5182|5182) sub wait_received 0:64
(5182|5193) pub4->transport cfg_4
(5182|5188) pub0->transport cfg_0
(5182|5196) pub2->transport cfg_2
(5182|5207) pub19->transport cfg_19
(5182|5188) pub0  writer id: 0103000c.297a35f2.143e98b9.00000002(649a3e81)
(5182|5188) pub0->started
(5182|5188) pub0->wait_match() before write for 0103000c.297a35f2.143e98b9.00000002(649a3e81)
(5182|5193) pub4  writer id: 0103000c.297a35f2.143e98bd.00000002(911a9841)
(5182|5193) pub4->started
(5182|5193) pub4->wait_match() before write for 0103000c.297a35f2.143e98bd.00000002(911a9841)
(5182|5196) pub2  writer id: 0103000c.297a35f2.143e98bb.00000002(1e5a6de1)
(5182|5196) pub2->started
(5182|5196) pub2->wait_match() before write for 0103000c.297a35f2.143e98bb.00000002(1e5a6de1)
(5182|5192) pub5->transport cfg_5
(5182|5202) pub14->transport cfg_14
(5182|5189) pub1->transport cfg_1
(5182|5207) pub19  writer id: 0103000c.297a35f2.143e98d2.00000002(8a7878dd)
(5182|5207) pub19->started
(5182|5207) pub19->wait_match() before write for 0103000c.297a35f2.143e98d2.00000002(8a7878dd)
(5182|5194) pub6->transport cfg_6
(5182|5205) pub17->transport cfg_17
(5182|5226) pub23->transport cfg_23
(5182|5203) pub15->transport cfg_15
(5182|5213) pub25->transport cfg_25
(5182|5198) pub9->transport cfg_9
(5182|5197) pub7->transport cfg_7
(5182|5199) pub11->transport cfg_11
(5182|5189) pub1  writer id: 0103000c.297a35f2.143e98ba.00000002(233a4451)
(5182|5189) pub1->started
(5182|5189) pub1->wait_match() before write for 0103000c.297a35f2.143e98ba.00000002(233a4451)
(5182|5210) pub30->transport cfg_30
(5182|5191) pub10->transport cfg_10
(5182|5223) pub20->transport cfg_20
(5182|5200) pub12->transport cfg_12
(5182|5243) pub55->transport cfg_55
(5182|5192) pub5  writer id: 0103000c.297a35f2.143e98be.00000002(d6bae291)
(5182|5192) pub5->started
(5182|5192) pub5->wait_match() before write for 0103000c.297a35f2.143e98be.00000002(d6bae291)
(5182|5202) pub14  writer id: 0103000c.297a35f2.143e98c7.00000002(2278602f)
(5182|5202) pub14->started
(5182|5202) pub14->wait_match() before write for 0103000c.297a35f2.143e98c7.00000002(2278602f)
(5182|5201) pub13->transport cfg_13
(5182|5195) pub8->transport cfg_8
(5182|5190) pub3->transport cfg_3
(5182|5220) pub28->transport cfg_28
(5182|5221) pub22->transport cfg_22
(5182|5218) pub27->transport cfg_27
(5182|5211) pub26->transport cfg_26
(5182|5205) pub17  writer id: 0103000c.297a35f2.143e98ca.00000002(dae8a49e)
(5182|5205) pub17->started
(5182|5205) pub17->wait_match() before write for 0103000c.297a35f2.143e98ca.00000002(dae8a49e)
(5182|5250) pub63->transport cfg_63
(5182|5212) pub31->transport cfg_31
(5182|5216) pub32->transport cfg_32
(5182|5232) pub44->transport cfg_44
(5182|5208) pub29->transport cfg_29
(5182|5194) pub6  writer id: 0103000c.297a35f2.143e98bf.00000002(ebdacb21)
(5182|5194) pub6->started
(5182|5194) pub6->wait_match() before write for 0103000c.297a35f2.143e98bf.00000002(ebdacb21)
(5182|5206) pub18->transport cfg_18
(5182|5204) pub16->transport cfg_16
(5182|5203) pub15  writer id: 0103000c.297a35f2.143e98c8.00000002(a028f7fe)
(5182|5203) pub15->started
(5182|5203) pub15->wait_match() before write for 0103000c.297a35f2.143e98c8.00000002(a028f7fe)
(5182|5226) pub23  writer id: 0103000c.297a35f2.143e98d6.00000002(7ff8de1d)
(5182|5226) pub23->started
(5182|5229) pub37->transport cfg_37
(5182|5247) pub59->transport cfg_59
(5182|5226) pub23->wait_match() before write for 0103000c.297a35f2.143e98d6.00000002(7ff8de1d)
(5182|5213) pub25  writer id: 0103000c.297a35f2.143e98d0.00000002(f0b82bbd)
(5182|5213) pub25->started
(5182|5241) pub51->transport cfg_51
(5182|5227) pub33->transport cfg_33
(5182|5198) pub9  writer id: 0103000c.297a35f2.143e98c3.00000002(d7f8c6ef)
(5182|5198) pub9->started
(5182|5198) pub9->wait_match() before write for 0103000c.297a35f2.143e98c3.00000002(d7f8c6ef)
(5182|5236) pub48->transport cfg_48
(5182|5239) pub53->transport cfg_53
(5182|5213) pub25->wait_match() before write for 0103000c.297a35f2.143e98d0.00000002(f0b82bbd)
(5182|5199) pub11  writer id: 0103000c.297a35f2.143e98c5.00000002(58b8334f)
(5182|5199) pub11->started
(5182|5199) pub11->wait_match() before write for 0103000c.297a35f2.143e98c5.00000002(58b8334f)
(5182|5215) pub24->transport cfg_24
(5182|5225) pub36->transport cfg_36
(5182|5233) pub45->transport cfg_45
(5182|5224) pub43->transport cfg_43
(5182|5237) pub49->transport cfg_49
(5182|5234) pub46->transport cfg_46
(5182|5210) pub30  writer id: 0103000c.297a35f2.143e98d7.00000002(4298f7ad)
(5182|5210) pub30->started
(5182|5210) pub30->wait_match() before write for 0103000c.297a35f2.143e98d7.00000002(4298f7ad)
(5182|5197) pub7  writer id: 0103000c.297a35f2.143e98c0.00000002(9058bc3f)
(5182|5197) pub7->started
(5182|5197) pub7->wait_match() before write for 0103000c.297a35f2.143e98c0.00000002(9058bc3f)
(5182|5217) pub35->transport cfg_35
(5182|5248) pub60->transport cfg_60
(5182|5191) pub10  writer id: 0103000c.297a35f2.143e98c2.00000002(ea98ef5f)
(5182|5191) pub10->started
(5182|5209) pub21->transport cfg_21
(5182|5244) pub56->transport cfg_56
(5182|5235) pub47->transport cfg_47
(5182|5242) pub52->transport cfg_52
(5182|5238) pub50->transport cfg_50
(5182|5222) pub39->transport cfg_39
(5182|5219) pub41->transport cfg_41
(5182|5240) pub54->transport cfg_54
(5182|5223) pub20  writer id: 0103000c.297a35f2.143e98cd.00000002(68c8788e)
(5182|5223) pub20->started
(5182|5223) pub20->wait_match() before write for 0103000c.297a35f2.143e98cd.00000002(68c8788e)
(5182|5230) pub40->transport cfg_40
(5182|5243) pub55  writer id: 0103000c.297a35f2.143e98f0.00000002(317904b9)
(5182|5243) pub55->started
(5182|5243) pub55->wait_match() before write for 0103000c.297a35f2.143e98f0.00000002(317904b9)
(5182|5191) pub10->wait_match() before write for 0103000c.297a35f2.143e98c2.00000002(ea98ef5f)
(5182|5220) pub28  writer id: 0103000c.297a35f2.143e98d4.00000002(05388d7d)
(5182|5220) pub28->started
(5182|5220) pub28->wait_match() before write for 0103000c.297a35f2.143e98d4.00000002(05388d7d)
(5182|5200) pub12  writer id: 0103000c.297a35f2.143e98c4.00000002(65d81aff)
(5182|5218) pub27  writer id: 0103000c.297a35f2.143e98d1.00000002(cdd8020d)
(5182|5218) pub27->started
(5182|5218) pub27->wait_match() before write for 0103000c.297a35f2.143e98d1.00000002(cdd8020d)
(5182|5190) pub3  writer id: 0103000c.297a35f2.143e98bc.00000002(ac7ab1f1)
(5182|5190) pub3->started
(5182|5190) pub3->wait_match() before write for 0103000c.297a35f2.143e98bc.00000002(ac7ab1f1)
(5182|5249) pub61->transport cfg_61
(5182|5195) pub8  writer id: 0103000c.297a35f2.143e98c1.00000002(ad38958f)
(5182|5195) pub8->started
(5182|5195) pub8->wait_match() before write for 0103000c.297a35f2.143e98c1.00000002(ad38958f)
(5182|5250) pub63  writer id: 0103000c.297a35f2.143e98f8.00000002(01094f78)
(5182|5250) pub63->started
(5182|5201) pub13  writer id: 0103000c.297a35f2.143e98c6.00000002(1f18499f)
(5182|5201) pub13->started
(5182|5201) pub13->wait_match() before write for 0103000c.297a35f2.143e98c6.00000002(1f18499f)
(5182|5231) pub42->transport cfg_42
(5182|5232) pub44  writer id: 0103000c.297a35f2.143e98df.00000002(72e8bc6c)
(5182|5232) pub44->started
(5182|5232) pub44->wait_match() before write for 0103000c.297a35f2.143e98df.00000002(72e8bc6c)
(5182|5212) pub31  writer id: 0103000c.297a35f2.143e98d8.00000002(c0c8607c)
(5182|5212) pub31->started
(5182|5211) pub26  writer id: 0103000c.297a35f2.143e98d3.00000002(b718516d)
(5182|5211) pub26->started
(5182|5211) pub26->wait_match() before write for 0103000c.297a35f2.143e98d3.00000002(b718516d)
(5182|5200) pub12->started
(5182|5200) pub12->wait_match() before write for 0103000c.297a35f2.143e98c4.00000002(65d81aff)
(5182|5208) pub29  writer id: 0103000c.297a35f2.143e98d5.00000002(3858a4cd)
(5182|5208) pub29->started
(5182|5208) pub29->wait_match() before write for 0103000c.297a35f2.143e98d5.00000002(3858a4cd)
(5182|5216) pub32  writer id: 0103000c.297a35f2.143e98da.00000002(ba08331c)
(5182|5216) pub32->started
(5182|5216) pub32->wait_match() before write for 0103000c.297a35f2.143e98da.00000002(ba08331c)
(5182|5229) pub37  writer id: 0103000c.297a35f2.143e98dd.00000002(0828ef0c)
(5182|5204) pub16  writer id: 0103000c.297a35f2.143e98c9.00000002(9d48de4e)
(5182|5204) pub16->started
(5182|5204) pub16->wait_match() before write for 0103000c.297a35f2.143e98c9.00000002(9d48de4e)
(5182|5245) pub57->transport cfg_57
(5182|5214) pub34->transport cfg_34
(5182|5247) pub59  writer id: 0103000c.297a35f2.143e98f4.00000002(c4f9a279)
(5182|5247) pub59->started
(5182|5247) pub59->wait_match() before write for 0103000c.297a35f2.143e98f4.00000002(c4f9a279)
(5182|5246) pub58->transport cfg_58
(5182|5227) pub33  writer id: 0103000c.297a35f2.143e98d9.00000002(fda849cc)
(5182|5227) pub33->started
(5182|5227) pub33->wait_match() before write for 0103000c.297a35f2.143e98d9.00000002(fda849cc)
(5182|5206) pub18  writer id: 0103000c.297a35f2.143e98cb.00000002(e7888d2e)
(5182|5206) pub18->started
(5182|5206) pub18->wait_match() before write for 0103000c.297a35f2.143e98cb.00000002(e7888d2e)
(5182|5250) pub63->wait_match() before write for 0103000c.297a35f2.143e98f8.00000002(01094f78)
(5182|5241) pub51  writer id: 0103000c.297a35f2.143e98f7.00000002(8359d8a9)
(5182|5241) pub51->started
(5182|5241) pub51->wait_match() before write for 0103000c.297a35f2.143e98f7.00000002(8359d8a9)
(5182|5239) pub53  writer id: 0103000c.297a35f2.143e98ee.00000002(eea92d5a)
(5182|5239) pub53->started
(5182|5239) pub53->wait_match() before write for 0103000c.297a35f2.143e98ee.00000002(eea92d5a)
(5182|5236) pub48  writer id: 0103000c.297a35f2.143e98e9.00000002(5c89f14a)
(5182|5236) pub48->started
(5182|5236) pub48->wait_match() before write for 0103000c.297a35f2.143e98e9.00000002(5c89f14a)
(5182|5228) pub38->transport cfg_38
(5182|5234) pub46  writer id: 0103000c.297a35f2.143e98e2.00000002(2b59c05b)
(5182|5234) pub46->started
(5182|5234) pub46->wait_match() before write for 0103000c.297a35f2.143e98e2.00000002(2b59c05b)
(5182|5215) pub24  writer id: 0103000c.297a35f2.143e98cf.00000002(12082bee)
(5182|5215) pub24->started
(5182|5215) pub24->wait_match() before write for 0103000c.297a35f2.143e98cf.00000002(12082bee)
(5182|5212) pub31->wait_match() before write for 0103000c.297a35f2.143e98d8.00000002(c0c8607c)
(5182|5233) pub45  writer id: 0103000c.297a35f2.143e98e1.00000002(6cf9ba8b)
(5182|5251) pub62->transport cfg_62
(5182|5225) pub36  writer id: 0103000c.297a35f2.143e98db.00000002(87681aac)
(5182|5225) pub36->started
(5182|5225) pub36->wait_match() before write for 0103000c.297a35f2.143e98db.00000002(87681aac)
(5182|5221) pub22  writer id: 0103000c.297a35f2.143e98cc.00000002(55a8513e)
(5182|5221) pub22->started
(5182|5221) pub22->wait_match() before write for 0103000c.297a35f2.143e98cc.00000002(55a8513e)
(5182|5248) pub60  writer id: 0103000c.297a35f2.143e98f5.00000002(f9998bc9)
(5182|5248) pub60->started
(5182|5248) pub60->wait_match() before write for 0103000c.297a35f2.143e98f5.00000002(f9998bc9)
(5182|5229) pub37->started
(5182|5229) pub37->wait_match() before write for 0103000c.297a35f2.143e98dd.00000002(0828ef0c)
(5182|5237) pub49  writer id: 0103000c.297a35f2.143e98ea.00000002(1b298b9a)
(5182|5237) pub49->started
(5182|5237) pub49->wait_match() before write for 0103000c.297a35f2.143e98ea.00000002(1b298b9a)
(5182|5224) pub43  writer id: 0103000c.297a35f2.143e98e7.00000002(e3b94f2b)
(5182|5224) pub43->started
(5182|5224) pub43->wait_match() before write for 0103000c.297a35f2.143e98e7.00000002(e3b94f2b)
(5182|5209) pub21  writer id: 0103000c.297a35f2.143e98ce.00000002(2f68025e)
(5182|5209) pub21->started
(5182|5209) pub21->wait_match() before write for 0103000c.297a35f2.143e98ce.00000002(2f68025e)
(5182|5235) pub47  writer id: 0103000c.297a35f2.143e98e8.00000002(61e9d8fa)
(5182|5235) pub47->started
(5182|5235) pub47->wait_match() before write for 0103000c.297a35f2.143e98e8.00000002(61e9d8fa)
(5182|5233) pub45->started
(5182|5233) pub45->wait_match() before write for 0103000c.297a35f2.143e98e1.00000002(6cf9ba8b)
(5182|5244) pub56  writer id: 0103000c.297a35f2.143e98f1.00000002(0c192d09)
(5182|5217) pub35  writer id: 0103000c.297a35f2.143e98dc.00000002(3548c6bc)
(5182|5222) pub39  writer id: 0103000c.297a35f2.143e98e4.00000002(a41935fb)
(5182|5222) pub39->started
(5182|5222) pub39->wait_match() before write for 0103000c.297a35f2.143e98e4.00000002(a41935fb)
(5182|5242) pub52  writer id: 0103000c.297a35f2.143e98ed.00000002(a909578a)
(5182|5230) pub40  writer id: 0103000c.297a35f2.143e98e5.00000002(99791c4b)
(5182|5230) pub40->started
(5182|5230) pub40->wait_match() before write for 0103000c.297a35f2.143e98e5.00000002(99791c4b)
(5182|5240) pub54  writer id: 0103000c.297a35f2.143e98ef.00000002(d3c904ea)
(5182|5240) pub54->started
(5182|5240) pub54->wait_match() before write for 0103000c.297a35f2.143e98ef.00000002(d3c904ea)
(5182|5238) pub50  writer id: 0103000c.297a35f2.143e98ec.00000002(94697e3a)
(5182|5238) pub50->started
(5182|5238) pub50->wait_match() before write for 0103000c.297a35f2.143e98ec.00000002(94697e3a)
(5182|5219) pub41  writer id: 0103000c.297a35f2.143e98f3.00000002(76d97e69)
(5182|5244) pub56->started
(5182|5244) pub56->wait_match() before write for 0103000c.297a35f2.143e98f1.00000002(0c192d09)
(5182|5217) pub35->started
(5182|5217) pub35->wait_match() before write for 0103000c.297a35f2.143e98dc.00000002(3548c6bc)
(5182|5231) pub42  writer id: 0103000c.297a35f2.143e98e6.00000002(ded9669b)
(5182|5231) pub42->started
(5182|5231) pub42->wait_match() before write for 0103000c.297a35f2.143e98e6.00000002(ded9669b)
(5182|5242) pub52->started
(5182|5242) pub52->wait_match() before write for 0103000c.297a35f2.143e98ed.00000002(a909578a)
(5182|5249) pub61  writer id: 0103000c.297a35f2.143e98f6.00000002(be39f119)
(5182|5249) pub61->started
(5182|5249) pub61->wait_match() before write for 0103000c.297a35f2.143e98f6.00000002(be39f119)
(5182|5219) pub41->started
(5182|5219) pub41->wait_match() before write for 0103000c.297a35f2.143e98f3.00000002(76d97e69)
(5182|5245) pub57  writer id: 0103000c.297a35f2.143e98e3.00000002(1639e9eb)
(5182|5245) pub57->started
(5182|5245) pub57->wait_match() before write for 0103000c.297a35f2.143e98e3.00000002(1639e9eb)
(5182|5246) pub58  writer id: 0103000c.297a35f2.143e98f2.00000002(4bb957d9)
(5182|5246) pub58->started
(5182|5246) pub58->wait_match() before write for 0103000c.297a35f2.143e98f2.00000002(4bb957d9)
(5182|5251) pub62  writer id: 0103000c.297a35f2.143e98eb.00000002(2649a22a)
(5182|5251) pub62->started
(5182|5251) pub62->wait_match() before write for 0103000c.297a35f2.143e98eb.00000002(2649a22a)
(5182|5214) pub34  writer id: 0103000c.297a35f2.143e98de.00000002(4f8895dc)
(5182|5214) pub34->started
(5182|5214) pub34->wait_match() before write for 0103000c.297a35f2.143e98de.00000002(4f8895dc)
(5182|5228) pub38  writer id: 0103000c.297a35f2.143e98e0.00000002(5199933b)
(5182|5228) pub38->started
(5182|5228) pub38->wait_match() before write for 0103000c.297a35f2.143e98e0.00000002(5199933b)
(5182|5220) pub28<-match found! before write for 0103000c.297a35f2.143e98d4.00000002(05388d7d)
(5182|5220) pub28  12% (2 samples sent)
(5182|5220) pub28  25% (4 samples sent)
(5182|5220) pub28  31% (5 samples sent)
(5182|5220) pub28  43% (7 samples sent)
(5182|5220) pub28  50% (8 samples sent)
(5182|5220) pub28  62% (10 samples sent)
(5182|5220) pub28  75% (12 samples sent)
(5182|5220) pub28  81% (13 samples sent)
(5182|5220) pub28  93% (15 samples sent)
(5182|5220) pub28  100% (16 samples sent)
(5182|5220) pub28  waiting for acks
(5182|5197) pub7<-match found! before write for 0103000c.297a35f2.143e98c0.00000002(9058bc3f)
(5182|5197) pub7  12% (2 samples sent)
(5182|5197) pub7  25% (4 samples sent)
(5182|5197) pub7  31% (5 samples sent)
(5182|5197) pub7  43% (7 samples sent)
(5182|5197) pub7  50% (8 samples sent)
(5182|5197) pub7  62% (10 samples sent)
(5182|5197) pub7  75% (12 samples sent)
(5182|5197) pub7  81% (13 samples sent)
(5182|5197) pub7  93% (15 samples sent)
(5182|5197) pub7  100% (16 samples sent)
(5182|5197) pub7  waiting for acks
(5182|5220) pub28  waiting for acks returned
(5182|5220) pub28<-delete_contained_entities
(5182|5234) pub46<-match found! before write for 0103000c.297a35f2.143e98e2.00000002(2b59c05b)
(5182|5234) pub46  12% (2 samples sent)
(5182|5234) pub46  25% (4 samples sent)
(5182|5234) pub46  31% (5 samples sent)
(5182|5234) pub46  43% (7 samples sent)
(5182|5234) pub46  50% (8 samples sent)
(5182|5234) pub46  62% (10 samples sent)
(5182|5234) pub46  75% (12 samples sent)
(5182|5234) pub46  81% (13 samples sent)
(5182|5234) pub46  93% (15 samples sent)
(5182|5234) pub46  100% (16 samples sent)
(5182|5234) pub46  waiting for acks
(5182|5220) pub28<-delete_participant
(5182|5188) pub0<-match found! before write for 0103000c.297a35f2.143e98b9.00000002(649a3e81)
(5182|5188) pub0  12% (2 samples sent)
(5182|5188) pub0  25% (4 samples sent)
(5182|5188) pub0  31% (5 samples sent)
(5182|5188) pub0  43% (7 samples sent)
(5182|5188) pub0  50% (8 samples sent)
(5182|5188) pub0  62% (10 samples sent)
(5182|5188) pub0  75% (12 samples sent)
(5182|5188) pub0  81% (13 samples sent)
(5182|5188) pub0  93% (15 samples sent)
(5182|5188) pub0  100% (16 samples sent)
(5182|5188) pub0  waiting for acks
(5182|5197) pub7  waiting for acks returned
(5182|5197) pub7<-delete_contained_entities
(5182|5197) pub7<-delete_participant
(5182|5216) pub32<-match found! before write for 0103000c.297a35f2.143e98da.00000002(ba08331c)
(5182|5216) pub32  12% (2 samples sent)
(5182|5216) pub32  25% (4 samples sent)
(5182|5216) pub32  31% (5 samples sent)
(5182|5216) pub32  43% (7 samples sent)
(5182|5216) pub32  50% (8 samples sent)
(5182|5216) pub32  62% (10 samples sent)
(5182|5216) pub32  75% (12 samples sent)
(5182|5216) pub32  81% (13 samples sent)
(5182|5216) pub32  93% (15 samples sent)
(5182|5216) pub32  100% (16 samples sent)
(5182|5216) pub32  waiting for acks
(5182|5239) pub53<-match found! before write for 0103000c.297a35f2.143e98ee.00000002(eea92d5a)
(5182|5239) pub53  12% (2 samples sent)
(5182|5239) pub53  25% (4 samples sent)
(5182|5239) pub53  31% (5 samples sent)
(5182|5239) pub53  43% (7 samples sent)
(5182|5239) pub53  50% (8 samples sent)
(5182|5239) pub53  62% (10 samples sent)
(5182|5239) pub53  75% (12 samples sent)
(5182|5239) pub53  81% (13 samples sent)
(5182|5239) pub53  93% (15 samples sent)
(5182|5239) pub53  100% (16 samples sent)
(5182|5239) pub53  waiting for acks
(5182|5239) pub53  waiting for acks returned
(5182|5239) pub53<-delete_contained_entities
(5182|5239) pub53<-delete_participant
(5182|5226) pub23<-match found! before write for 0103000c.297a35f2.143e98d6.00000002(7ff8de1d)
(5182|5226) pub23  12% (2 samples sent)
(5182|5226) pub23  25% (4 samples sent)
(5182|5226) pub23  31% (5 samples sent)
(5182|5226) pub23  43% (7 samples sent)
(5182|5226) pub23  50% (8 samples sent)
(5182|5226) pub23  62% (10 samples sent)
(5182|5226) pub23  75% (12 samples sent)
(5182|5226) pub23  81% (13 samples sent)
(5182|5226) pub23  93% (15 samples sent)
(5182|5226) pub23  100% (16 samples sent)
(5182|5226) pub23  waiting for acks
(5182|5188) pub0  waiting for acks returned
(5182|5188) pub0<-delete_contained_entities
(5182|5188) pub0<-delete_participant
(5182|5231) pub42<-match found! before write for 0103000c.297a35f2.143e98e6.00000002(ded9669b)
(5182|5231) pub42  12% (2 samples sent)
(5182|5231) pub42  25% (4 samples sent)
(5182|5231) pub42  31% (5 samples sent)
(5182|5231) pub42  43% (7 samples sent)
(5182|5231) pub42  50% (8 samples sent)
(5182|5231) pub42  62% (10 samples sent)
(5182|5231) pub42  75% (12 samples sent)
(5182|5231) pub42  81% (13 samples sent)
(5182|5231) pub42  93% (15 samples sent)
(5182|5231) pub42  100% (16 samples sent)
(5182|5231) pub42  waiting for acks
(5182|5231) pub42  waiting for acks returned
(5182|5231) pub42<-delete_contained_entities
(5182|5231) pub42<-delete_participant
(5182|5224) pub43<-match found! before write for 0103000c.297a35f2.143e98e7.00000002(e3b94f2b)
(5182|5224) pub43  12% (2 samples sent)
(5182|5224) pub43  25% (4 samples sent)
(5182|5224) pub43  31% (5 samples sent)
(5182|5224) pub43  43% (7 samples sent)
(5182|5224) pub43  50% (8 samples sent)
(5182|5224) pub43  62% (10 samples sent)
(5182|5224) pub43  75% (12 samples sent)
(5182|5224) pub43  81% (13 samples sent)
(5182|5224) pub43  93% (15 samples sent)
(5182|5224) pub43  100% (16 samples sent)
(5182|5224) pub43  waiting for acks
(5182|5224) pub43  waiting for acks returned
(5182|5224) pub43<-delete_contained_entities
(5182|5187)  sub 10% (103 samples received)
(5182|5216) pub32  waiting for acks returned
(5182|5216) pub32<-delete_contained_entities
(5182|5224) pub43<-delete_participant
(5182|5244) pub56<-match found! before write for 0103000c.297a35f2.143e98f1.00000002(0c192d09)
(5182|5244) pub56  12% (2 samples sent)
(5182|5244) pub56  25% (4 samples sent)
(5182|5244) pub56  31% (5 samples sent)
(5182|5244) pub56  43% (7 samples sent)
(5182|5244) pub56  50% (8 samples sent)
(5182|5244) pub56  62% (10 samples sent)
(5182|5244) pub56  75% (12 samples sent)
(5182|5244) pub56  81% (13 samples sent)
(5182|5244) pub56  93% (15 samples sent)
(5182|5244) pub56  100% (16 samples sent)
(5182|5244) pub56  waiting for acks
(5182|5234) pub46  waiting for acks returned
(5182|5234) pub46<-delete_contained_entities
(5182|5244) pub56  waiting for acks returned
(5182|5244) pub56<-delete_contained_entities
(5182|5216) pub32<-delete_participant
(5182|5219) pub41<-match found! before write for 0103000c.297a35f2.143e98f3.00000002(76d97e69)
(5182|5219) pub41  12% (2 samples sent)
(5182|5219) pub41  25% (4 samples sent)
(5182|5219) pub41  31% (5 samples sent)
(5182|5219) pub41  43% (7 samples sent)
(5182|5219) pub41  50% (8 samples sent)
(5182|5219) pub41  62% (10 samples sent)
(5182|5219) pub41  75% (12 samples sent)
(5182|5219) pub41  81% (13 samples sent)
(5182|5219) pub41  93% (15 samples sent)
(5182|5219) pub41  100% (16 samples sent)
(5182|5219) pub41  waiting for acks
(5182|5234) pub46<-delete_participant
(5182|5215) pub24<-match found! before write for 0103000c.297a35f2.143e98cf.00000002(12082bee)
(5182|5215) pub24  12% (2 samples sent)
(5182|5215) pub24  25% (4 samples sent)
(5182|5215) pub24  31% (5 samples sent)
(5182|5215) pub24  43% (7 samples sent)
(5182|5215) pub24  50% (8 samples sent)
(5182|5215) pub24  62% (10 samples sent)
(5182|5249) pub61<-match found! before write for 0103000c.297a35f2.143e98f6.00000002(be39f119)
(5182|5249) pub61  12% (2 samples sent)
(5182|5249) pub61  25% (4 samples sent)
(5182|5249) pub61  31% (5 samples sent)
(5182|5249) pub61  43% (7 samples sent)
(5182|5249) pub61  50% (8 samples sent)
(5182|5249) pub61  62% (10 samples sent)
(5182|5249) pub61  75% (12 samples sent)
(5182|5249) pub61  81% (13 samples sent)
(5182|5215) pub24  75% (12 samples sent)
(5182|5215) pub24  81% (13 samples sent)
(5182|5215) pub24  93% (15 samples sent)
(5182|5215) pub24  100% (16 samples sent)
(5182|5215) pub24  waiting for acks
(5182|5249) pub61  93% (15 samples sent)
(5182|5249) pub61  100% (16 samples sent)
(5182|5249) pub61  waiting for acks
(5182|5200) pub12<-match found! before write for 0103000c.297a35f2.143e98c4.00000002(65d81aff)
(5182|5200) pub12  12% (2 samples sent)
(5182|5200) pub12  25% (4 samples sent)
(5182|5200) pub12  31% (5 samples sent)
(5182|5200) pub12  43% (7 samples sent)
(5182|5200) pub12  50% (8 samples sent)
(5182|5200) pub12  62% (10 samples sent)
(5182|5200) pub12  75% (12 samples sent)
(5182|5200) pub12  81% (13 samples sent)
(5182|5200) pub12  93% (15 samples sent)
(5182|5200) pub12  100% (16 samples sent)
(5182|5200) pub12  waiting for acks
(5182|5244) pub56<-delete_participant
(5182|5215) pub24  waiting for acks returned
(5182|5215) pub24<-delete_contained_entities
(5182|5249) pub61  waiting for acks returned
(5182|5249) pub61<-delete_contained_entities
(5182|5215) pub24<-delete_participant
(5182|5226) pub23  waiting for acks returned
(5182|5226) pub23<-delete_contained_entities
(5182|5249) pub61<-delete_participant
(5182|5226) pub23<-delete_participant
(5182|5209) pub21<-match found! before write for 0103000c.297a35f2.143e98ce.00000002(2f68025e)
(5182|5209) pub21  12% (2 samples sent)
(5182|5209) pub21  25% (4 samples sent)
(5182|5209) pub21  31% (5 samples sent)
(5182|5209) pub21  43% (7 samples sent)
(5182|5209) pub21  50% (8 samples sent)
(5182|5209) pub21  62% (10 samples sent)
(5182|5209) pub21  75% (12 samples sent)
(5182|5209) pub21  81% (13 samples sent)
(5182|5209) pub21  93% (15 samples sent)
(5182|5209) pub21  100% (16 samples sent)
(5182|5209) pub21  waiting for acks
(5182|5228) pub38<-match found! before write for 0103000c.297a35f2.143e98e0.00000002(5199933b)
(5182|5228) pub38  12% (2 samples sent)
(5182|5228) pub38  25% (4 samples sent)
(5182|5228) pub38  31% (5 samples sent)
(5182|5228) pub38  43% (7 samples sent)
(5182|5228) pub38  50% (8 samples sent)
(5182|5228) pub38  62% (10 samples sent)
(5182|5228) pub38  75% (12 samples sent)
(5182|5228) pub38  81% (13 samples sent)
(5182|5228) pub38  93% (15 samples sent)
(5182|5228) pub38  100% (16 samples sent)
(5182|5228) pub38  waiting for acks
(5182|5243) pub55<-match found! before write for 0103000c.297a35f2.143e98f0.00000002(317904b9)
(5182|5243) pub55  12% (2 samples sent)
(5182|5243) pub55  25% (4 samples sent)
(5182|5243) pub55  31% (5 samples sent)
(5182|5243) pub55  43% (7 samples sent)
(5182|5243) pub55  50% (8 samples sent)
(5182|5243) pub55  62% (10 samples sent)
(5182|5243) pub55  75% (12 samples sent)
(5182|5243) pub55  81% (13 samples sent)
(5182|5243) pub55  93% (15 samples sent)
(5182|5243) pub55  100% (16 samples sent)
(5182|5243) pub55  waiting for acks
(5182|5246) pub58<-match found! before write for 0103000c.297a35f2.143e98f2.00000002(4bb957d9)
(5182|5246) pub58  12% (2 samples sent)
(5182|5246) pub58  25% (4 samples sent)
(5182|5246) pub58  31% (5 samples sent)
(5182|5246) pub58  43% (7 samples sent)
(5182|5246) pub58  50% (8 samples sent)
(5182|5246) pub58  62% (10 samples sent)
(5182|5246) pub58  75% (12 samples sent)
(5182|5246) pub58  81% (13 samples sent)
(5182|5246) pub58  93% (15 samples sent)
(5182|5246) pub58  100% (16 samples sent)
(5182|5246) pub58  waiting for acks
(5182|5187)  sub 20% (205 samples received)
(5182|5246) pub58  waiting for acks returned
(5182|5246) pub58<-delete_contained_entities
(5182|5246) pub58<-delete_participant
(5182|5230) pub40<-match found! before write for 0103000c.297a35f2.143e98e5.00000002(99791c4b)
(5182|5230) pub40  12% (2 samples sent)
(5182|5230) pub40  25% (4 samples sent)
(5182|5230) pub40  31% (5 samples sent)
(5182|5230) pub40  43% (7 samples sent)
(5182|5230) pub40  50% (8 samples sent)
(5182|5230) pub40  62% (10 samples sent)
(5182|5230) pub40  75% (12 samples sent)
(5182|5230) pub40  81% (13 samples sent)
(5182|5230) pub40  93% (15 samples sent)
(5182|5230) pub40  100% (16 samples sent)
(5182|5230) pub40  waiting for acks
(5182|5230) pub40  waiting for acks returned
(5182|5230) pub40<-delete_contained_entities
(5182|5230) pub40<-delete_participant
(5182|5235) pub47<-match found! before write for 0103000c.297a35f2.143e98e8.00000002(61e9d8fa)
(5182|5235) pub47  12% (2 samples sent)
(5182|5235) pub47  25% (4 samples sent)
(5182|5235) pub47  31% (5 samples sent)
(5182|5235) pub47  43% (7 samples sent)
(5182|5235) pub47  50% (8 samples sent)
(5182|5235) pub47  62% (10 samples sent)
(5182|5235) pub47  75% (12 samples sent)
(5182|5235) pub47  81% (13 samples sent)
(5182|5235) pub47  93% (15 samples sent)
(5182|5235) pub47  100% (16 samples sent)
(5182|5235) pub47  waiting for acks
(5182|5214) pub34<-match found! before write for 0103000c.297a35f2.143e98de.00000002(4f8895dc)
(5182|5214) pub34  12% (2 samples sent)
(5182|5214) pub34  25% (4 samples sent)
(5182|5214) pub34  31% (5 samples sent)
(5182|5214) pub34  43% (7 samples sent)
(5182|5214) pub34  50% (8 samples sent)
(5182|5214) pub34  62% (10 samples sent)
(5182|5214) pub34  75% (12 samples sent)
(5182|5235) pub47  waiting for acks returned
(5182|5235) pub47<-delete_contained_entities
(5182|5214) pub34  81% (13 samples sent)
(5182|5214) pub34  93% (15 samples sent)
(5182|5214) pub34  100% (16 samples sent)
(5182|5214) pub34  waiting for acks
(5182|5235) pub47<-delete_participant
(5182|5203) pub15<-match found! before write for 0103000c.297a35f2.143e98c8.00000002(a028f7fe)
(5182|5203) pub15  12% (2 samples sent)
(5182|5203) pub15  25% (4 samples sent)
(5182|5203) pub15  31% (5 samples sent)
(5182|5203) pub15  43% (7 samples sent)
(5182|5203) pub15  50% (8 samples sent)
(5182|5203) pub15  62% (10 samples sent)
(5182|5203) pub15  75% (12 samples sent)
(5182|5203) pub15  81% (13 samples sent)
(5182|5203) pub15  93% (15 samples sent)
(5182|5203) pub15  100% (16 samples sent)
(5182|5203) pub15  waiting for acks
(5182|5209) pub21  waiting for acks returned
(5182|5209) pub21<-delete_contained_entities
(5182|5222) pub39<-match found! before write for 0103000c.297a35f2.143e98e4.00000002(a41935fb)
(5182|5222) pub39  12% (2 samples sent)
(5182|5222) pub39  25% (4 samples sent)
(5182|5222) pub39  31% (5 samples sent)
(5182|5222) pub39  43% (7 samples sent)
(5182|5222) pub39  50% (8 samples sent)
(5182|5222) pub39  62% (10 samples sent)
(5182|5222) pub39  75% (12 samples sent)
(5182|5222) pub39  81% (13 samples sent)
(5182|5222) pub39  93% (15 samples sent)
(5182|5222) pub39  100% (16 samples sent)
(5182|5222) pub39  waiting for acks
(5182|5228) pub38  waiting for acks returned
(5182|5228) pub38<-delete_contained_entities
(5182|5209) pub21<-delete_participant
(5182|5228) pub38<-delete_participant
(5182|5238) pub50<-match found! before write for 0103000c.297a35f2.143e98ec.00000002(94697e3a)
(5182|5238) pub50  12% (2 samples sent)
(5182|5238) pub50  25% (4 samples sent)
(5182|5238) pub50  31% (5 samples sent)
(5182|5238) pub50  43% (7 samples sent)
(5182|5238) pub50  50% (8 samples sent)
(5182|5238) pub50  62% (10 samples sent)
(5182|5238) pub50  75% (12 samples sent)
(5182|5238) pub50  81% (13 samples sent)
(5182|5238) pub50  93% (15 samples sent)
(5182|5238) pub50  100% (16 samples sent)
(5182|5238) pub50  waiting for acks
(5182|5238) pub50  waiting for acks returned
(5182|5238) pub50<-delete_contained_entities
(5182|5219) pub41  waiting for acks returned
(5182|5219) pub41<-delete_contained_entities
(5182|5238) pub50<-delete_participant
(5182|5219) pub41<-delete_participant
(5182|5189) pub1<-match found! before write for 0103000c.297a35f2.143e98ba.00000002(233a4451)
(5182|5189) pub1  12% (2 samples sent)
(5182|5189) pub1  25% (4 samples sent)
(5182|5189) pub1  31% (5 samples sent)
(5182|5189) pub1  43% (7 samples sent)
(5182|5189) pub1  50% (8 samples sent)
(5182|5189) pub1  62% (10 samples sent)
(5182|5189) pub1  75% (12 samples sent)
(5182|5189) pub1  81% (13 samples sent)
(5182|5189) pub1  93% (15 samples sent)
(5182|5189) pub1  100% (16 samples sent)
(5182|5189) pub1  waiting for acks
(5182|5187)  sub 30% (308 samples received)
(5182|5214) pub34  waiting for acks returned
(5182|5214) pub34<-delete_contained_entities
(5182|5245) pub57<-match found! before write for 0103000c.297a35f2.143e98e3.00000002(1639e9eb)
(5182|5245) pub57  12% (2 samples sent)
(5182|5245) pub57  25% (4 samples sent)
(5182|5245) pub57  31% (5 samples sent)
(5182|5245) pub57  43% (7 samples sent)
(5182|5245) pub57  50% (8 samples sent)
(5182|5245) pub57  62% (10 samples sent)
(5182|5245) pub57  75% (12 samples sent)
(5182|5245) pub57  81% (13 samples sent)
(5182|5245) pub57  93% (15 samples sent)
(5182|5245) pub57  100% (16 samples sent)
(5182|5245) pub57  waiting for acks
(5182|5214) pub34<-delete_participant
(5182|5207) pub19<-match found! before write for 0103000c.297a35f2.143e98d2.00000002(8a7878dd)
(5182|5207) pub19  12% (2 samples sent)
(5182|5207) pub19  25% (4 samples sent)
(5182|5207) pub19  31% (5 samples sent)
(5182|5207) pub19  43% (7 samples sent)
(5182|5207) pub19  50% (8 samples sent)
(5182|5207) pub19  62% (10 samples sent)
(5182|5207) pub19  75% (12 samples sent)
(5182|5207) pub19  81% (13 samples sent)
(5182|5207) pub19  93% (15 samples sent)
(5182|5207) pub19  100% (16 samples sent)
(5182|5207) pub19  waiting for acks
(5182|5200) pub12  waiting for acks returned
(5182|5200) pub12<-delete_contained_entities
(5182|5243) pub55  waiting for acks returned
(5182|5243) pub55<-delete_contained_entities
(5182|5221) pub22<-match found! before write for 0103000c.297a35f2.143e98cc.00000002(55a8513e)
(5182|5221) pub22  12% (2 samples sent)
(5182|5221) pub22  25% (4 samples sent)
(5182|5221) pub22  31% (5 samples sent)
(5182|5221) pub22  43% (7 samples sent)
(5182|5221) pub22  50% (8 samples sent)
(5182|5221) pub22  62% (10 samples sent)
(5182|5221) pub22  75% (12 samples sent)
(5182|5221) pub22  81% (13 samples sent)
(5182|5221) pub22  93% (15 samples sent)
(5182|5221) pub22  100% (16 samples sent)
(5182|5221) pub22  waiting for acks
(5182|5204) pub16<-match found! before write for 0103000c.297a35f2.143e98c9.00000002(9d48de4e)
(5182|5204) pub16  12% (2 samples sent)
(5182|5204) pub16  25% (4 samples sent)
(5182|5204) pub16  31% (5 samples sent)
(5182|5204) pub16  43% (7 samples sent)
(5182|5204) pub16  50% (8 samples sent)
(5182|5204) pub16  62% (10 samples sent)
(5182|5204) pub16  75% (12 samples sent)
(5182|5204) pub16  81% (13 samples sent)
(5182|5204) pub16  93% (15 samples sent)
(5182|5204) pub16  100% (16 samples sent)
(5182|5204) pub16  waiting for acks
(5182|5204) pub16  waiting for acks returned
(5182|5204) pub16<-delete_contained_entities
(5182|5200) pub12<-delete_participant
(5182|5243) pub55<-delete_participant
(5182|5205) pub17<-match found! before write for 0103000c.297a35f2.143e98ca.00000002(dae8a49e)
(5182|5205) pub17  12% (2 samples sent)
(5182|5205) pub17  25% (4 samples sent)
(5182|5205) pub17  31% (5 samples sent)
(5182|5205) pub17  43% (7 samples sent)
(5182|5205) pub17  50% (8 samples sent)
(5182|5205) pub17  62% (10 samples sent)
(5182|5205) pub17  75% (12 samples sent)
(5182|5205) pub17  81% (13 samples sent)
(5182|5205) pub17  93% (15 samples sent)
(5182|5205) pub17  100% (16 samples sent)
(5182|5205) pub17  waiting for acks
(5182|5204) pub16<-delete_participant
(5182|5242) pub52<-match found! before write for 0103000c.297a35f2.143e98ed.00000002(a909578a)
(5182|5242) pub52  12% (2 samples sent)
(5182|5242) pub52  25% (4 samples sent)
(5182|5242) pub52  31% (5 samples sent)
(5182|5242) pub52  43% (7 samples sent)
(5182|5242) pub52  50% (8 samples sent)
(5182|5242) pub52  62% (10 samples sent)
(5182|5242) pub52  75% (12 samples sent)
(5182|5242) pub52  81% (13 samples sent)
(5182|5242) pub52  93% (15 samples sent)
(5182|5242) pub52  100% (16 samples sent)
(5182|5242) pub52  waiting for acks
(5182|5242) pub52  waiting for acks returned
(5182|5242) pub52<-delete_contained_entities
(5182|5242) pub52<-delete_participant
(5182|5198) pub9<-match found! before write for 0103000c.297a35f2.143e98c3.00000002(d7f8c6ef)
(5182|5198) pub9  12% (2 samples sent)
(5182|5198) pub9  25% (4 samples sent)
(5182|5198) pub9  31% (5 samples sent)
(5182|5198) pub9  43% (7 samples sent)
(5182|5198) pub9  50% (8 samples sent)
(5182|5198) pub9  62% (10 samples sent)
(5182|5198) pub9  75% (12 samples sent)
(5182|5198) pub9  81% (13 samples sent)
(5182|5198) pub9  93% (15 samples sent)
(5182|5198) pub9  100% (16 samples sent)
(5182|5198) pub9  waiting for acks
(5182|5227) pub33<-match found! before write for 0103000c.297a35f2.143e98d9.00000002(fda849cc)
(5182|5227) pub33  12% (2 samples sent)
(5182|5227) pub33  25% (4 samples sent)
(5182|5227) pub33  31% (5 samples sent)
(5182|5227) pub33  43% (7 samples sent)
(5182|5227) pub33  50% (8 samples sent)
(5182|5227) pub33  62% (10 samples sent)
(5182|5227) pub33  75% (12 samples sent)
(5182|5227) pub33  81% (13 samples sent)
(5182|5227) pub33  93% (15 samples sent)
(5182|5227) pub33  100% (16 samples sent)
(5182|5227) pub33  waiting for acks
(5182|5190) pub3<-match found! before write for 0103000c.297a35f2.143e98bc.00000002(ac7ab1f1)
(5182|5190) pub3  12% (2 samples sent)
(5182|5190) pub3  25% (4 samples sent)
(5182|5190) pub3  31% (5 samples sent)
(5182|5190) pub3  43% (7 samples sent)
(5182|5190) pub3  50% (8 samples sent)
(5182|5190) pub3  62% (10 samples sent)
(5182|5190) pub3  75% (12 samples sent)
(5182|5190) pub3  81% (13 samples sent)
(5182|5190) pub3  93% (15 samples sent)
(5182|5190) pub3  100% (16 samples sent)
(5182|5190) pub3  waiting for acks
(5182|5190) pub3  waiting for acks returned
(5182|5190) pub3<-delete_contained_entities
(5182|5187)  sub 40% (410 samples received)
(5182|5203) pub15  waiting for acks returned
(5182|5203) pub15<-delete_contained_entities
(5182|5222) pub39  waiting for acks returned
(5182|5222) pub39<-delete_contained_entities
(5182|5236) pub48<-match found! before write for 0103000c.297a35f2.143e98e9.00000002(5c89f14a)
(5182|5236) pub48  12% (2 samples sent)
(5182|5236) pub48  25% (4 samples sent)
(5182|5236) pub48  31% (5 samples sent)
(5182|5236) pub48  43% (7 samples sent)
(5182|5236) pub48  50% (8 samples sent)
(5182|5236) pub48  62% (10 samples sent)
(5182|5236) pub48  75% (12 samples sent)
(5182|5236) pub48  81% (13 samples sent)
(5182|5236) pub48  93% (15 samples sent)
(5182|5236) pub48  100% (16 samples sent)
(5182|5236) pub48  waiting for acks
(5182|5207) pub19  waiting for acks returned
(5182|5207) pub19<-delete_contained_entities
(5182|5190) pub3<-delete_participant
(5182|5203) pub15<-delete_participant
(5182|5222) pub39<-delete_participant
(5182|5207) pub19<-delete_participant
(5182|5223) pub20<-match found! before write for 0103000c.297a35f2.143e98cd.00000002(68c8788e)
(5182|5223) pub20  12% (2 samples sent)
(5182|5223) pub20  25% (4 samples sent)
(5182|5223) pub20  31% (5 samples sent)
(5182|5223) pub20  43% (7 samples sent)
(5182|5223) pub20  50% (8 samples sent)
(5182|5223) pub20  62% (10 samples sent)
(5182|5223) pub20  75% (12 samples sent)
(5182|5223) pub20  81% (13 samples sent)
(5182|5223) pub20  93% (15 samples sent)
(5182|5223) pub20  100% (16 samples sent)
(5182|5223) pub20  waiting for acks
(5182|5247) pub59<-match found! before write for 0103000c.297a35f2.143e98f4.00000002(c4f9a279)
(5182|5247) pub59  12% (2 samples sent)
(5182|5247) pub59  25% (4 samples sent)
(5182|5247) pub59  31% (5 samples sent)
(5182|5247) pub59  43% (7 samples sent)
(5182|5247) pub59  50% (8 samples sent)
(5182|5247) pub59  62% (10 samples sent)
(5182|5247) pub59  75% (12 samples sent)
(5182|5247) pub59  81% (13 samples sent)
(5182|5247) pub59  93% (15 samples sent)
(5182|5247) pub59  100% (16 samples sent)
(5182|5247) pub59  waiting for acks
(5182|5247) pub59  waiting for acks returned
(5182|5247) pub59<-delete_contained_entities
(5182|5245) pub57  waiting for acks returned
(5182|5245) pub57<-delete_contained_entities
(5182|5248) pub60<-match found! before write for 0103000c.297a35f2.143e98f5.00000002(f9998bc9)
(5182|5248) pub60  12% (2 samples sent)
(5182|5248) pub60  25% (4 samples sent)
(5182|5248) pub60  31% (5 samples sent)
(5182|5248) pub60  43% (7 samples sent)
(5182|5248) pub60  50% (8 samples sent)
(5182|5248) pub60  62% (10 samples sent)
(5182|5248) pub60  75% (12 samples sent)
(5182|5248) pub60  81% (13 samples sent)
(5182|5248) pub60  93% (15 samples sent)
(5182|5248) pub60  100% (16 samples sent)
(5182|5248) pub60  waiting for acks
(5182|5191) pub10<-match found! before write for 0103000c.297a35f2.143e98c2.00000002(ea98ef5f)
(5182|5191) pub10  12% (2 samples sent)
(5182|5191) pub10  25% (4 samples sent)
(5182|5191) pub10  31% (5 samples sent)
(5182|5191) pub10  43% (7 samples sent)
(5182|5191) pub10  50% (8 samples sent)
(5182|5191) pub10  62% (10 samples sent)
(5182|5191) pub10  75% (12 samples sent)
(5182|5191) pub10  81% (13 samples sent)
(5182|5191) pub10  93% (15 samples sent)
(5182|5191) pub10  100% (16 samples sent)
(5182|5191) pub10  waiting for acks
(5182|5221) pub22  waiting for acks returned
(5182|5221) pub22<-delete_contained_entities
(5182|5202) pub14<-match found! before write for 0103000c.297a35f2.143e98c7.00000002(2278602f)
(5182|5202) pub14  12% (2 samples sent)
(5182|5202) pub14  25% (4 samples sent)
(5182|5202) pub14  31% (5 samples sent)
(5182|5202) pub14  43% (7 samples sent)
(5182|5202) pub14  50% (8 samples sent)
(5182|5202) pub14  62% (10 samples sent)
(5182|5202) pub14  75% (12 samples sent)
(5182|5202) pub14  81% (13 samples sent)
(5182|5202) pub14  93% (15 samples sent)
(5182|5202) pub14  100% (16 samples sent)
(5182|5202) pub14  waiting for acks
(5182|5212) pub31<-match found! before write for 0103000c.297a35f2.143e98d8.00000002(c0c8607c)
(5182|5212) pub31  12% (2 samples sent)
(5182|5212) pub31  25% (4 samples sent)
(5182|5212) pub31  31% (5 samples sent)
(5182|5212) pub31  43% (7 samples sent)
(5182|5212) pub31  50% (8 samples sent)
(5182|5212) pub31  62% (10 samples sent)
(5182|5212) pub31  75% (12 samples sent)
(5182|5212) pub31  81% (13 samples sent)
(5182|5212) pub31  93% (15 samples sent)
(5182|5212) pub31  100% (16 samples sent)
(5182|5212) pub31  waiting for acks
(5182|5247) pub59<-delete_participant
(5182|5232) pub44<-match found! before write for 0103000c.297a35f2.143e98df.00000002(72e8bc6c)
(5182|5232) pub44  12% (2 samples sent)
(5182|5232) pub44  25% (4 samples sent)
(5182|5232) pub44  31% (5 samples sent)
(5182|5232) pub44  43% (7 samples sent)
(5182|5232) pub44  50% (8 samples sent)
(5182|5232) pub44  62% (10 samples sent)
(5182|5232) pub44  75% (12 samples sent)
(5182|5232) pub44  81% (13 samples sent)
(5182|5232) pub44  93% (15 samples sent)
(5182|5232) pub44  100% (16 samples sent)
(5182|5232) pub44  waiting for acks
(5182|5241) pub51<-match found! before write for 0103000c.297a35f2.143e98f7.00000002(8359d8a9)
(5182|5241) pub51  12% (2 samples sent)
(5182|5241) pub51  25% (4 samples sent)
(5182|5241) pub51  31% (5 samples sent)
(5182|5241) pub51  43% (7 samples sent)
(5182|5241) pub51  50% (8 samples sent)
(5182|5241) pub51  62% (10 samples sent)
(5182|5241) pub51  75% (12 samples sent)
(5182|5241) pub51  81% (13 samples sent)
(5182|5241) pub51  93% (15 samples sent)
(5182|5241) pub51  100% (16 samples sent)
(5182|5241) pub51  waiting for acks
(5182|5206) pub18<-match found! before write for 0103000c.297a35f2.143e98cb.00000002(e7888d2e)
(5182|5206) pub18  12% (2 samples sent)
(5182|5206) pub18  25% (4 samples sent)
(5182|5206) pub18  31% (5 samples sent)
(5182|5206) pub18  43% (7 samples sent)
(5182|5206) pub18  50% (8 samples sent)
(5182|5206) pub18  62% (10 samples sent)
(5182|5206) pub18  75% (12 samples sent)
(5182|5206) pub18  81% (13 samples sent)
(5182|5206) pub18  93% (15 samples sent)
(5182|5206) pub18  100% (16 samples sent)
(5182|5206) pub18  waiting for acks
(5182|5187)  sub 50% (512 samples received)
(5182|5206) pub18  waiting for acks returned
(5182|5206) pub18<-delete_contained_entities
(5182|5245) pub57<-delete_participant
(5182|5221) pub22<-delete_participant
(5182|5248) pub60  waiting for acks returned
(5182|5248) pub60<-delete_contained_entities
(5182|5206) pub18<-delete_participant
(5182|5213) pub25<-match found! before write for 0103000c.297a35f2.143e98d0.00000002(f0b82bbd)
(5182|5213) pub25  12% (2 samples sent)
(5182|5213) pub25  25% (4 samples sent)
(5182|5213) pub25  31% (5 samples sent)
(5182|5213) pub25  43% (7 samples sent)
(5182|5213) pub25  50% (8 samples sent)
(5182|5213) pub25  62% (10 samples sent)
(5182|5213) pub25  75% (12 samples sent)
(5182|5213) pub25  81% (13 samples sent)
(5182|5213) pub25  93% (15 samples sent)
(5182|5213) pub25  100% (16 samples sent)
(5182|5213) pub25  waiting for acks
(5182|5248) pub60<-delete_participant
(5182|5211) pub26<-match found! before write for 0103000c.297a35f2.143e98d3.00000002(b718516d)
(5182|5211) pub26  12% (2 samples sent)
(5182|5211) pub26  25% (4 samples sent)
(5182|5211) pub26  31% (5 samples sent)
(5182|5211) pub26  43% (7 samples sent)
(5182|5211) pub26  50% (8 samples sent)
(5182|5211) pub26  62% (10 samples sent)
(5182|5211) pub26  75% (12 samples sent)
(5182|5211) pub26  81% (13 samples sent)
(5182|5211) pub26  93% (15 samples sent)
(5182|5211) pub26  100% (16 samples sent)
(5182|5211) pub26  waiting for acks
(5182|5194) pub6<-match found! before write for 0103000c.297a35f2.143e98bf.00000002(ebdacb21)
(5182|5194) pub6  12% (2 samples sent)
(5182|5194) pub6  25% (4 samples sent)
(5182|5194) pub6  31% (5 samples sent)
(5182|5194) pub6  43% (7 samples sent)
(5182|5194) pub6  50% (8 samples sent)
(5182|5194) pub6  62% (10 samples sent)
(5182|5194) pub6  75% (12 samples sent)
(5182|5194) pub6  81% (13 samples sent)
(5182|5194) pub6  93% (15 samples sent)
(5182|5194) pub6  100% (16 samples sent)
(5182|5194) pub6  waiting for acks
(5182|5192) pub5<-match found! before write for 0103000c.297a35f2.143e98be.00000002(d6bae291)
(5182|5192) pub5  12% (2 samples sent)
(5182|5192) pub5  25% (4 samples sent)
(5182|5192) pub5  31% (5 samples sent)
(5182|5192) pub5  43% (7 samples sent)
(5182|5192) pub5  50% (8 samples sent)
(5182|5192) pub5  62% (10 samples sent)
(5182|5192) pub5  75% (12 samples sent)
(5182|5192) pub5  81% (13 samples sent)
(5182|5192) pub5  93% (15 samples sent)
(5182|5192) pub5  100% (16 samples sent)
(5182|5192) pub5  waiting for acks
(5182|5229) pub37<-match found! before write for 0103000c.297a35f2.143e98dd.00000002(0828ef0c)
(5182|5229) pub37  12% (2 samples sent)
(5182|5229) pub37  25% (4 samples sent)
(5182|5229) pub37  31% (5 samples sent)
(5182|5229) pub37  43% (7 samples sent)
(5182|5229) pub37  50% (8 samples sent)
(5182|5229) pub37  62% (10 samples sent)
(5182|5229) pub37  75% (12 samples sent)
(5182|5229) pub37  81% (13 samples sent)
(5182|5229) pub37  93% (15 samples sent)
(5182|5229) pub37  100% (16 samples sent)
(5182|5229) pub37  waiting for acks
(5182|5217) pub35<-match found! before write for 0103000c.297a35f2.143e98dc.00000002(3548c6bc)
(5182|5217) pub35  12% (2 samples sent)
(5182|5217) pub35  25% (4 samples sent)
(5182|5217) pub35  31% (5 samples sent)
(5182|5217) pub35  43% (7 samples sent)
(5182|5217) pub35  50% (8 samples sent)
(5182|5217) pub35  62% (10 samples sent)
(5182|5217) pub35  75% (12 samples sent)
(5182|5217) pub35  81% (13 samples sent)
(5182|5217) pub35  93% (15 samples sent)
(5182|5217) pub35  100% (16 samples sent)
(5182|5217) pub35  waiting for acks
(5182|5210) pub30<-match found! before write for 0103000c.297a35f2.143e98d7.00000002(4298f7ad)
(5182|5210) pub30  12% (2 samples sent)
(5182|5210) pub30  25% (4 samples sent)
(5182|5210) pub30  31% (5 samples sent)
(5182|5210) pub30  43% (7 samples sent)
(5182|5210) pub30  50% (8 samples sent)
(5182|5210) pub30  62% (10 samples sent)
(5182|5210) pub30  75% (12 samples sent)
(5182|5210) pub30  81% (13 samples sent)
(5182|5210) pub30  93% (15 samples sent)
(5182|5210) pub30  100% (16 samples sent)
(5182|5210) pub30  waiting for acks
(5182|5237) pub49<-match found! before write for 0103000c.297a35f2.143e98ea.00000002(1b298b9a)
(5182|5237) pub49  12% (2 samples sent)
(5182|5237) pub49  25% (4 samples sent)
(5182|5237) pub49  31% (5 samples sent)
(5182|5237) pub49  43% (7 samples sent)
(5182|5237) pub49  50% (8 samples sent)
(5182|5237) pub49  62% (10 samples sent)
(5182|5237) pub49  75% (12 samples sent)
(5182|5237) pub49  81% (13 samples sent)
(5182|5237) pub49  93% (15 samples sent)
(5182|5237) pub49  100% (16 samples sent)
(5182|5237) pub49  waiting for acks
(5182|5208) pub29<-match found! before write for 0103000c.297a35f2.143e98d5.00000002(3858a4cd)
(5182|5208) pub29  12% (2 samples sent)
(5182|5208) pub29  25% (4 samples sent)
(5182|5208) pub29  31% (5 samples sent)
(5182|5208) pub29  43% (7 samples sent)
(5182|5208) pub29  50% (8 samples sent)
(5182|5208) pub29  62% (10 samples sent)
(5182|5208) pub29  75% (12 samples sent)
(5182|5208) pub29  81% (13 samples sent)
(5182|5208) pub29  93% (15 samples sent)
(5182|5208) pub29  100% (16 samples sent)
(5182|5208) pub29  waiting for acks
(5182|5208) pub29  waiting for acks returned
(5182|5208) pub29<-delete_contained_entities
(5182|5237) pub49  waiting for acks returned
(5182|5237) pub49<-delete_contained_entities
(5182|5193) pub4<-match found! before write for 0103000c.297a35f2.143e98bd.00000002(911a9841)
(5182|5193) pub4  12% (2 samples sent)
(5182|5193) pub4  25% (4 samples sent)
(5182|5193) pub4  31% (5 samples sent)
(5182|5193) pub4  43% (7 samples sent)
(5182|5193) pub4  50% (8 samples sent)
(5182|5193) pub4  62% (10 samples sent)
(5182|5193) pub4  75% (12 samples sent)
(5182|5193) pub4  81% (13 samples sent)
(5182|5193) pub4  93% (15 samples sent)
(5182|5193) pub4  100% (16 samples sent)
(5182|5193) pub4  waiting for acks
(5182|5233) pub45<-match found! before write for 0103000c.297a35f2.143e98e1.00000002(6cf9ba8b)
(5182|5233) pub45  12% (2 samples sent)
(5182|5233) pub45  25% (4 samples sent)
(5182|5233) pub45  31% (5 samples sent)
(5182|5233) pub45  43% (7 samples sent)
(5182|5233) pub45  50% (8 samples sent)
(5182|5233) pub45  62% (10 samples sent)
(5182|5233) pub45  75% (12 samples sent)
(5182|5233) pub45  81% (13 samples sent)
(5182|5233) pub45  93% (15 samples sent)
(5182|5233) pub45  100% (16 samples sent)
(5182|5233) pub45  waiting for acks
(5182|5191) pub10  waiting for acks returned
(5182|5191) pub10<-delete_contained_entities
(5182|5195) pub8<-match found! before write for 0103000c.297a35f2.143e98c1.00000002(ad38958f)
(5182|5195) pub8  12% (2 samples sent)
(5182|5195) pub8  25% (4 samples sent)
(5182|5195) pub8  31% (5 samples sent)
(5182|5195) pub8  43% (7 samples sent)
(5182|5195) pub8  50% (8 samples sent)
(5182|5195) pub8  62% (10 samples sent)
(5182|5195) pub8  75% (12 samples sent)
(5182|5195) pub8  81% (13 samples sent)
(5182|5195) pub8  93% (15 samples sent)
(5182|5195) pub8  100% (16 samples sent)
(5182|5195) pub8  waiting for acks
(5182|5189) pub1  waiting for acks returned
(5182|5189) pub1<-delete_contained_entities
(5182|5240) pub54<-match found! before write for 0103000c.297a35f2.143e98ef.00000002(d3c904ea)
(5182|5240) pub54  12% (2 samples sent)
(5182|5240) pub54  25% (4 samples sent)
(5182|5240) pub54  31% (5 samples sent)
(5182|5240) pub54  43% (7 samples sent)
(5182|5240) pub54  50% (8 samples sent)
(5182|5240) pub54  62% (10 samples sent)
(5182|5240) pub54  75% (12 samples sent)
(5182|5240) pub54  81% (13 samples sent)
(5182|5240) pub54  93% (15 samples sent)
(5182|5240) pub54  100% (16 samples sent)
(5182|5240) pub54  waiting for acks
(5182|5187)  sub 60% (615 samples received)
(5182|5225) pub36<-match found! before write for 0103000c.297a35f2.143e98db.00000002(87681aac)
(5182|5225) pub36  12% (2 samples sent)
(5182|5225) pub36  25% (4 samples sent)
(5182|5225) pub36  31% (5 samples sent)
(5182|5225) pub36  43% (7 samples sent)
(5182|5225) pub36  50% (8 samples sent)
(5182|5225) pub36  62% (10 samples sent)
(5182|5225) pub36  75% (12 samples sent)
(5182|5225) pub36  81% (13 samples sent)
(5182|5225) pub36  93% (15 samples sent)
(5182|5225) pub36  100% (16 samples sent)
(5182|5225) pub36  waiting for acks
(5182|5233) pub45  waiting for acks returned
(5182|5233) pub45<-delete_contained_entities
(5182|5250) pub63<-match found! before write for 0103000c.297a35f2.143e98f8.00000002(01094f78)
(5182|5250) pub63  12% (2 samples sent)
(5182|5250) pub63  25% (4 samples sent)
(5182|5250) pub63  31% (5 samples sent)
(5182|5250) pub63  43% (7 samples sent)
(5182|5250) pub63  50% (8 samples sent)
(5182|5250) pub63  62% (10 samples sent)
(5182|5250) pub63  75% (12 samples sent)
(5182|5250) pub63  81% (13 samples sent)
(5182|5250) pub63  93% (15 samples sent)
(5182|5250) pub63  100% (16 samples sent)
(5182|5250) pub63  waiting for acks
(5182|5199) pub11<-match found! before write for 0103000c.297a35f2.143e98c5.00000002(58b8334f)
(5182|5199) pub11  12% (2 samples sent)
(5182|5199) pub11  25% (4 samples sent)
(5182|5199) pub11  31% (5 samples sent)
(5182|5199) pub11  43% (7 samples sent)
(5182|5199) pub11  50% (8 samples sent)
(5182|5199) pub11  62% (10 samples sent)
(5182|5199) pub11  75% (12 samples sent)
(5182|5199) pub11  81% (13 samples sent)
(5182|5199) pub11  93% (15 samples sent)
(5182|5199) pub11  100% (16 samples sent)
(5182|5199) pub11  waiting for acks
(5182|5201) pub13<-match found! before write for 0103000c.297a35f2.143e98c6.00000002(1f18499f)
(5182|5201) pub13  12% (2 samples sent)
(5182|5201) pub13  25% (4 samples sent)
(5182|5201) pub13  31% (5 samples sent)
(5182|5201) pub13  43% (7 samples sent)
(5182|5201) pub13  50% (8 samples sent)
(5182|5201) pub13  62% (10 samples sent)
(5182|5201) pub13  75% (12 samples sent)
(5182|5201) pub13  81% (13 samples sent)
(5182|5201) pub13  93% (15 samples sent)
(5182|5201) pub13  100% (16 samples sent)
(5182|5201) pub13  waiting for acks
(5182|5195) pub8  waiting for acks returned
(5182|5195) pub8<-delete_contained_entities
(5182|5218) pub27<-match found! before write for 0103000c.297a35f2.143e98d1.00000002(cdd8020d)
(5182|5218) pub27  12% (2 samples sent)
(5182|5218) pub27  25% (4 samples sent)
(5182|5218) pub27  31% (5 samples sent)
(5182|5218) pub27  43% (7 samples sent)
(5182|5218) pub27  50% (8 samples sent)
(5182|5218) pub27  62% (10 samples sent)
(5182|5218) pub27  75% (12 samples sent)
(5182|5218) pub27  81% (13 samples sent)
(5182|5218) pub27  93% (15 samples sent)
(5182|5218) pub27  100% (16 samples sent)
(5182|5218) pub27  waiting for acks
(5182|5251) pub62<-match found! before write for 0103000c.297a35f2.143e98eb.00000002(2649a22a)
(5182|5251) pub62  12% (2 samples sent)
(5182|5196) pub2<-match found! before write for 0103000c.297a35f2.143e98bb.00000002(1e5a6de1)
(5182|5251) pub62  25% (4 samples sent)
(5182|5251) pub62  31% (5 samples sent)
(5182|5196) pub2  12% (2 samples sent)
(5182|5251) pub62  43% (7 samples sent)
(5182|5196) pub2  25% (4 samples sent)
(5182|5251) pub62  50% (8 samples sent)
(5182|5196) pub2  31% (5 samples sent)
(5182|5251) pub62  62% (10 samples sent)
(5182|5196) pub2  43% (7 samples sent)
(5182|5196) pub2  50% (8 samples sent)
(5182|5196) pub2  62% (10 samples sent)
(5182|5251) pub62  75% (12 samples sent)
(5182|5251) pub62  81% (13 samples sent)
(5182|5196) pub2  75% (12 samples sent)
(5182|5196) pub2  81% (13 samples sent)
(5182|5251) pub62  93% (15 samples sent)
(5182|5251) pub62  100% (16 samples sent)
(5182|5251) pub62  waiting for acks
(5182|5196) pub2  93% (15 samples sent)
(5182|5196) pub2  100% (16 samples sent)
(5182|5196) pub2  waiting for acks
(5182|5240) pub54  waiting for acks returned
(5182|5240) pub54<-delete_contained_entities
(5182|5187)  sub 70% (717 samples received)
(5182|5250) pub63  waiting for acks returned
(5182|5250) pub63<-delete_contained_entities
(5182|5199) pub11  waiting for acks returned
(5182|5199) pub11<-delete_contained_entities
(5182|5201) pub13  waiting for acks returned
(5182|5201) pub13<-delete_contained_entities
(5182|5225) pub36  waiting for acks returned
(5182|5225) pub36<-delete_contained_entities
(5182|5218) pub27  waiting for acks returned
(5182|5218) pub27<-delete_contained_entities
(5182|5251) pub62  waiting for acks returned
(5182|5251) pub62<-delete_contained_entities
(5182|5196) pub2  waiting for acks returned
(5182|5196) pub2<-delete_contained_entities
(5182|5187)  sub 80% (820 samples received)
(5182|5205) pub17  waiting for acks returned
(5182|5205) pub17<-delete_contained_entities
(5182|5232) pub44  waiting for acks returned
(5182|5232) pub44<-delete_contained_entities
(5182|5212) pub31  waiting for acks returned
(5182|5212) pub31<-delete_contained_entities
(5182|5198) pub9  waiting for acks returned
(5182|5198) pub9<-delete_contained_entities
(5182|5193) pub4  waiting for acks returned
(5182|5193) pub4<-delete_contained_entities
(5182|5210) pub30  waiting for acks returned
(5182|5210) pub30<-delete_contained_entities
(5182|5211) pub26  waiting for acks returned
(5182|5211) pub26<-delete_contained_entities
(5182|5194) pub6  waiting for acks returned
(5182|5194) pub6<-delete_contained_entities
(5182|5187)  sub 90% (922 samples received)
(5182|5192) pub5  waiting for acks returned
(5182|5192) pub5<-delete_contained_entities
(5182|5229) pub37  waiting for acks returned
(5182|5229) pub37<-delete_contained_entities
(5182|5208) pub29<-delete_participant
(5182|5227) pub33  waiting for acks returned
(5182|5227) pub33<-delete_contained_entities
(5182|5217) pub35  waiting for acks returned
(5182|5217) pub35<-delete_contained_entities
(5182|5237) pub49<-delete_participant
(5182|5191) pub10<-delete_participant
(5182|5236) pub48  waiting for acks returned
(5182|5236) pub48<-delete_contained_entities
(5182|5189) pub1<-delete_participant
(5182|5202) pub14  waiting for acks returned
(5182|5202) pub14<-delete_contained_entities
(5182|5223) pub20  waiting for acks returned
(5182|5223) pub20<-delete_contained_entities
(5182|5233) pub45<-delete_participant
(5182|5187) sub condition_.notify_all
(5182|5182) sub condition_.wait returned
(5182|5182) sub check_received
(5182|5182) sub check_received returns 0
(5182|5182) <- PublisherService::end
(5182|5187) sub condition_.notify_all
(5182|5241) pub51  waiting for acks returned
(5182|5241) pub51<-delete_contained_entities
(5182|5187) sub condition_.notify_all
(5182|5187) sub condition_.notify_all
(5182|5195) pub8<-delete_participant
(5182|5187) sub condition_.notify_all
(5182|5187) sub condition_.notify_all
(5182|5187) sub condition_.notify_all
(5182|5187) sub condition_.notify_all
(5182|5187) sub condition_.notify_all
(5182|5187) sub condition_.notify_all
(5182|5187) sub condition_.notify_all
(5182|5187) sub condition_.notify_all
(5182|5187) sub condition_.notify_all
(5182|5187) sub condition_.notify_all
(5182|5187) sub condition_.notify_all
(5182|5187)  sub 100% (1024 samples received)
(5182|5187) sub condition_.notify_all
(5182|5213) pub25  waiting for acks returned
(5182|5213) pub25<-delete_contained_entities
(5182|5240) pub54<-delete_participant
(5182|5250) pub63<-delete_participant
(5182|5199) pub11<-delete_participant
(5182|5201) pub13<-delete_participant
(5182|5225) pub36<-delete_participant
(5182|5218) pub27<-delete_participant
(5182|5251) pub62<-delete_participant
(5182|5196) pub2<-delete_participant
(5182|5205) pub17<-delete_participant
(5182|5232) pub44<-delete_participant
(5182|5212) pub31<-delete_participant
(5182|5198) pub9<-delete_participant
(5182|5193) pub4<-delete_participant
(5182|5210) pub30<-delete_participant
(5182|5211) pub26<-delete_participant
(5182|5194) pub6<-delete_participant
(5182|5192) pub5<-delete_participant
(5182|5229) pub37<-delete_participant
(5182|5227) pub33<-delete_participant
(5182|5217) pub35<-delete_participant
(5182|5236) pub48<-delete_participant
(5182|5202) pub14<-delete_participant
(5182|5223) pub20<-delete_participant
(5182|5241) pub51<-delete_participant
(5182|5213) pub25<-delete_participant
(5182|5182) <- PublisherService::~PublisherService
(5182|5182) <- Subscriber delete_contained_entities
(5182|5182) <- Subscriber delete_participant
(5182|5182) <- Subscriber::~Subscriber
(5182|5182) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl aggressive rtps Time:93s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl single durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 5525
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1 -n 1 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 5532 started at 2023-04-26 16:13:20
(5532|5532) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(5532|5532) -> Thrasher started
(5532|5532) -> Subscriber::Subscriber
(5525|5525) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(5532|5532) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(5532|5532)    Subscriber reader id: 01030000.4fac058a.00000002.00000507(66bfc3a0)
(5532|5532) -> PublisherService::PublisherService
(5532|5532) -> PublisherService::start (1 threads)
(5532|5532) sub wait_received 0:1
(5532|5541) pub0  writer id: 01030000.4fac058a.00000003.00000102(4fd9db9b)
(5532|5541) pub0->started
(5532|5540)  sub 100% (1 samples received)
(5532|5540) sub condition_.notify_all
(5532|5532) sub condition_.wait returned
(5532|5532) sub check_received
(5532|5532) sub check_received returns 0
(5532|5532) <- PublisherService::end
(5532|5541) pub0  100% (1 samples sent)
(5532|5541) pub0->wait_match() before write for 01030000.4fac058a.00000003.00000102(4fd9db9b)
(5532|5541) pub0<-match found! before write for 01030000.4fac058a.00000003.00000102(4fd9db9b)
(5532|5541) pub0  waiting for acks
(5532|5541) pub0  waiting for acks returned
(5532|5541) pub0<-delete_contained_entities
(5532|5541) pub0<-delete_participant
(5532|5532) <- PublisherService::~PublisherService
(5532|5532) <- Subscriber delete_contained_entities
(5532|5532) <- Subscriber delete_participant
(5532|5532) <- Subscriber::~Subscriber
(5532|5532) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl single durable Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl double durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 5543
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 2 -s 1 -n 2 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 5550 started at 2023-04-26 16:13:21
(5550|5550) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(5550|5550) -> Thrasher started
(5550|5550) -> Subscriber::Subscriber
(5543|5543) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(5550|5550) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(5550|5550)    Subscriber reader id: 01030000.40739d04.00000002.00000507(f467536c)
(5550|5550) -> PublisherService::PublisherService
(5550|5550) -> PublisherService::start (2 threads)
(5550|5550) sub wait_received 0:2
(5550|5559) pub0  writer id: 01030000.40739d04.00000003.00000102(dd014b57)
(5550|5559) pub0->started
(5550|5560) pub1  writer id: 01030000.40739d04.00000004.00000102(6f219747)
(5550|5560) pub1->started
(5550|5559) pub0  100% (1 samples sent)
(5550|5559) pub0->wait_match() before write for 01030000.40739d04.00000003.00000102(dd014b57)
(5550|5559) pub0<-match found! before write for 01030000.40739d04.00000003.00000102(dd014b57)
(5550|5559) pub0  waiting for acks
(5550|5558)  sub 50% (1 samples received)
(5550|5560) pub1  100% (1 samples sent)
(5550|5560) pub1->wait_match() before write for 01030000.40739d04.00000004.00000102(6f219747)
(5550|5560) pub1<-match found! before write for 01030000.40739d04.00000004.00000102(6f219747)
(5550|5560) pub1  waiting for acks
(5550|5559) pub0  waiting for acks returned
(5550|5559) pub0<-delete_contained_entities
(5550|5558)  sub 100% (2 samples received)
(5550|5558) sub condition_.notify_all
(5550|5550) sub condition_.wait returned
(5550|5550) sub check_received
(5550|5550) sub check_received returns 0
(5550|5550) <- PublisherService::end
(5550|5560) pub1  waiting for acks returned
(5550|5560) pub1<-delete_contained_entities
(5550|5559) pub0<-delete_participant
(5550|5560) pub1<-delete_participant
(5550|5550) <- PublisherService::~PublisherService
(5550|5550) <- Subscriber delete_contained_entities
(5550|5550) <- Subscriber delete_participant
(5550|5550) <- Subscriber::~Subscriber
(5550|5550) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl double durable Time:0s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl triangle durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 5562
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 3 -s 3 -n 9 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 5569 started at 2023-04-26 16:13:22
(5569|5569) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(5569|5569) -> Thrasher started
(5569|5569) -> Subscriber::Subscriber
(5562|5562) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(5569|5569) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(5569|5569)    Subscriber reader id: 01030000.57e18f94.00000002.00000507(e4520c61)
(5569|5569) -> PublisherService::PublisherService
(5569|5569) -> PublisherService::start (3 threads)
(5569|5569) sub wait_received 0:3
(5569|5578) pub0  writer id: 01030000.57e18f94.00000003.00000102(cd34145a)
(5569|5578) pub0->started
(5569|5578) pub0  33% (1 samples sent)
(5569|5578) pub0  66% (2 samples sent)
(5569|5578) pub0  100% (3 samples sent)
(5569|5578) pub0->wait_match() before write for 01030000.57e18f94.00000003.00000102(cd34145a)
(5569|5577)  sub 11% (1 samples received)
(5569|5578) pub0<-match found! before write for 01030000.57e18f94.00000003.00000102(cd34145a)
(5569|5578) pub0  waiting for acks
(5569|5578) pub0  waiting for acks returned
(5569|5578) pub0<-delete_contained_entities
(5569|5580) pub2  writer id: 01030000.57e18f94.00000004.00000102(7f14c84a)
(5569|5580) pub2->started
(5569|5580) pub2  33% (1 samples sent)
(5569|5580) pub2  66% (2 samples sent)
(5569|5580) pub2  100% (3 samples sent)
(5569|5580) pub2->wait_match() before write for 01030000.57e18f94.00000004.00000102(7f14c84a)
(5569|5577)  sub 22% (2 samples received)
(5569|5580) pub2<-match found! before write for 01030000.57e18f94.00000004.00000102(7f14c84a)
(5569|5580) pub2  waiting for acks
(5569|5580) pub2  waiting for acks returned
(5569|5580) pub2<-delete_contained_entities
(5569|5578) pub0<-delete_participant
(5569|5580) pub2<-delete_participant
(5569|5579) pub1  writer id: 01030000.57e18f94.00000005.00000102(4274e1fa)
(5569|5579) pub1->started
(5569|5577)  sub 33% (3 samples received)
(5569|5577) sub condition_.notify_all
(5569|5569) sub condition_.wait returned
(5569|5569) sub check_received
(5569|5569) sub check_received returns 0
(5569|5569) <- PublisherService::end
(5569|5579) pub1  33% (1 samples sent)
(5569|5577)  sub 44% (4 samples received)
(5569|5577) sub condition_.notify_all
(5569|5579) pub1  66% (2 samples sent)
(5569|5577)  sub 55% (5 samples received)
(5569|5577) sub condition_.notify_all
(5569|5579) pub1  100% (3 samples sent)
(5569|5579) pub1->wait_match() before write for 01030000.57e18f94.00000005.00000102(4274e1fa)
(5569|5579) pub1<-match found! before write for 01030000.57e18f94.00000005.00000102(4274e1fa)
(5569|5579) pub1  waiting for acks
(5569|5579) pub1  waiting for acks returned
(5569|5579) pub1<-delete_contained_entities
(5569|5579) pub1<-delete_participant
(5569|5569) <- PublisherService::~PublisherService
(5569|5569) <- Subscriber delete_contained_entities
(5569|5569) <- Subscriber delete_participant
(5569|5569) <- Subscriber::~Subscriber
(5569|5569) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl triangle durable Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl default durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 5582
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1024 -n 1024 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 5589 started at 2023-04-26 16:13:22
(5589|5589) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(5589|5589) -> Thrasher started
(5589|5589) -> Subscriber::Subscriber
(5582|5582) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(5589|5589) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(5589|5589)    Subscriber reader id: 01030000.477ee853.00000002.00000507(4d389587)
(5589|5589) -> PublisherService::PublisherService
(5589|5589) -> PublisherService::start (1 threads)
(5589|5589) sub wait_received 0:1
(5589|5598) pub0  writer id: 01030000.477ee853.00000003.00000102(645e8dbc)
(5589|5598) pub0->started
(5589|5597) sub condition_.notify_all
(5589|5589) sub condition_.wait returned
(5589|5589) sub check_received
(5589|5589) sub check_received returns 0
(5589|5589) <- PublisherService::end
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5598) pub0  10% (103 samples sent)
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5598) pub0  20% (205 samples sent)
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597)  sub 10% (103 samples received)
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5598) pub0  30% (308 samples sent)
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5598) pub0  40% (410 samples sent)
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597)  sub 20% (205 samples received)
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5598) pub0  50% (512 samples sent)
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5598) pub0  60% (615 samples sent)
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5598) pub0  70% (717 samples sent)
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597)  sub 30% (308 samples received)
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5598) pub0  80% (820 samples sent)
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5598) pub0  90% (922 samples sent)
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5598) pub0  100% (1024 samples sent)
(5589|5598) pub0->wait_match() before write for 01030000.477ee853.00000003.00000102(645e8dbc)
(5589|5597) sub condition_.notify_all
(5589|5598) pub0<-match found! before write for 01030000.477ee853.00000003.00000102(645e8dbc)
(5589|5598) pub0  waiting for acks
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597)  sub 40% (410 samples received)
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597)  sub 50% (512 samples received)
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597)  sub 60% (615 samples received)
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597)  sub 70% (717 samples received)
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597)  sub 80% (820 samples received)
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597)  sub 90% (922 samples received)
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597) sub condition_.notify_all
(5589|5597)  sub 100% (1024 samples received)
(5589|5597) sub condition_.notify_all
(5589|5598) pub0  waiting for acks returned
(5589|5598) pub0<-delete_contained_entities
(5589|5598) pub0<-delete_participant
(5589|5589) <- PublisherService::~PublisherService
(5589|5589) <- Subscriber delete_contained_entities
(5589|5589) <- Subscriber delete_participant
(5589|5589) <- Subscriber::~Subscriber
(5589|5589) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl default durable Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl low durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 5600
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 8 -s 128 -n 1024 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 5607 started at 2023-04-26 16:13:23
(5607|5607) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(5607|5607) -> Thrasher started
(5607|5607) -> Subscriber::Subscriber
(5600|5600) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(5607|5607) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(5607|5607)    Subscriber reader id: 01030000.4dcddfe6.00000002.00000507(e9ba79f7)
(5607|5607) -> PublisherService::PublisherService
(5607|5607) -> PublisherService::start (8 threads)
(5607|5607) sub wait_received 0:8
(5607|5617) pub0  writer id: 01030000.4dcddfe6.00000003.00000102(c0dc61cc)
(5607|5617) pub0->started
(5607|5617) pub0  10% (13 samples sent)
(5607|5617) pub0  20% (26 samples sent)
(5607|5617) pub0  30% (39 samples sent)
(5607|5616) pub1  writer id: 01030000.4dcddfe6.00000004.00000102(72fcbddc)
(5607|5616) pub1->started
(5607|5616) pub1  10% (13 samples sent)
(5607|5617) pub0  40% (52 samples sent)
(5607|5616) pub1  20% (26 samples sent)
(5607|5616) pub1  30% (39 samples sent)
(5607|5617) pub0  50% (64 samples sent)
(5607|5617) pub0  60% (77 samples sent)
(5607|5618) pub2  writer id: 01030000.4dcddfe6.00000005.00000102(4f9c946c)
(5607|5618) pub2->started
(5607|5619) pub3  writer id: 01030000.4dcddfe6.00000006.00000102(083ceebc)
(5607|5619) pub3->started
(5607|5619) pub3  10% (13 samples sent)
(5607|5618) pub2  10% (13 samples sent)
(5607|5619) pub3  20% (26 samples sent)
(5607|5618) pub2  20% (26 samples sent)
(5607|5619) pub3  30% (39 samples sent)
(5607|5618) pub2  30% (39 samples sent)
(5607|5618) pub2  40% (52 samples sent)
(5607|5619) pub3  40% (52 samples sent)
(5607|5618) pub2  50% (64 samples sent)
(5607|5619) pub3  50% (64 samples sent)
(5607|5617) pub0  70% (90 samples sent)
(5607|5619) pub3  60% (77 samples sent)
(5607|5617) pub0  80% (103 samples sent)
(5607|5619) pub3  70% (90 samples sent)
(5607|5619) pub3  80% (103 samples sent)
(5607|5619) pub3  90% (116 samples sent)
(5607|5619) pub3  100% (128 samples sent)
(5607|5619) pub3->wait_match() before write for 01030000.4dcddfe6.00000006.00000102(083ceebc)
(5607|5616) pub1  40% (52 samples sent)
(5607|5620) pub4  writer id: 01030000.4dcddfe6.00000008.00000102(b70c50dd)
(5607|5620) pub4->started
(5607|5620) pub4  10% (13 samples sent)
(5607|5619) pub3<-match found! before write for 01030000.4dcddfe6.00000006.00000102(083ceebc)
(5607|5619) pub3  waiting for acks
(5607|5617) pub0  90% (116 samples sent)
(5607|5620) pub4  20% (26 samples sent)
(5607|5620) pub4  30% (39 samples sent)
(5607|5617) pub0  100% (128 samples sent)
(5607|5617) pub0->wait_match() before write for 01030000.4dcddfe6.00000003.00000102(c0dc61cc)
(5607|5617) pub0<-match found! before write for 01030000.4dcddfe6.00000003.00000102(c0dc61cc)
(5607|5617) pub0  waiting for acks
(5607|5620) pub4  40% (52 samples sent)
(5607|5620) pub4  50% (64 samples sent)
(5607|5618) pub2  60% (77 samples sent)
(5607|5616) pub1  50% (64 samples sent)
(5607|5622) pub7  writer id: 01030000.4dcddfe6.00000009.00000102(8a6c796d)
(5607|5622) pub7->started
(5607|5622) pub7  10% (13 samples sent)
(5607|5620) pub4  60% (77 samples sent)
(5607|5622) pub7  20% (26 samples sent)
(5607|5622) pub7  30% (39 samples sent)
(5607|5622) pub7  40% (52 samples sent)
(5607|5622) pub7  50% (64 samples sent)
(5607|5622) pub7  60% (77 samples sent)
(5607|5622) pub7  70% (90 samples sent)
(5607|5620) pub4  70% (90 samples sent)
(5607|5622) pub7  80% (103 samples sent)
(5607|5618) pub2  70% (90 samples sent)
(5607|5621) pub5  writer id: 01030000.4dcddfe6.00000007.00000102(355cc70c)
(5607|5616) pub1  60% (77 samples sent)
(5607|5621) pub5->started
(5607|5621) pub5  10% (13 samples sent)
(5607|5621) pub5  20% (26 samples sent)
(5607|5616) pub1  70% (90 samples sent)
(5607|5621) pub5  30% (39 samples sent)
(5607|5621) pub5  40% (52 samples sent)
(5607|5616) pub1  80% (103 samples sent)
(5607|5621) pub5  50% (64 samples sent)
(5607|5620) pub4  80% (103 samples sent)
(5607|5618) pub2  80% (103 samples sent)
(5607|5620) pub4  90% (116 samples sent)
(5607|5620) pub4  100% (128 samples sent)
(5607|5620) pub4->wait_match() before write for 01030000.4dcddfe6.00000008.00000102(b70c50dd)
(5607|5623) pub6  writer id: 01030000.4dcddfe6.0000000a.00000102(cdcc03bd)
(5607|5623) pub6->started
(5607|5621) pub5  60% (77 samples sent)
(5607|5623) pub6  10% (13 samples sent)
(5607|5621) pub5  70% (90 samples sent)
(5607|5623) pub6  20% (26 samples sent)
(5607|5621) pub5  80% (103 samples sent)
(5607|5623) pub6  30% (39 samples sent)
(5607|5623) pub6  40% (52 samples sent)
(5607|5618) pub2  90% (116 samples sent)
(5607|5623) pub6  50% (64 samples sent)
(5607|5623) pub6  60% (77 samples sent)
(5607|5622) pub7  90% (116 samples sent)
(5607|5623) pub6  70% (90 samples sent)
(5607|5622) pub7  100% (128 samples sent)
(5607|5622) pub7->wait_match() before write for 01030000.4dcddfe6.00000009.00000102(8a6c796d)
(5607|5623) pub6  80% (103 samples sent)
(5607|5621) pub5  90% (116 samples sent)
(5607|5623) pub6  90% (116 samples sent)
(5607|5621) pub5  100% (128 samples sent)
(5607|5621) pub5->wait_match() before write for 01030000.4dcddfe6.00000007.00000102(355cc70c)
(5607|5616) pub1  90% (116 samples sent)
(5607|5618) pub2  100% (128 samples sent)
(5607|5618) pub2->wait_match() before write for 01030000.4dcddfe6.00000005.00000102(4f9c946c)
(5607|5618) pub2<-match found! before write for 01030000.4dcddfe6.00000005.00000102(4f9c946c)
(5607|5618) pub2  waiting for acks
(5607|5616) pub1  100% (128 samples sent)
(5607|5616) pub1->wait_match() before write for 01030000.4dcddfe6.00000004.00000102(72fcbddc)
(5607|5616) pub1<-match found! before write for 01030000.4dcddfe6.00000004.00000102(72fcbddc)
(5607|5616) pub1  waiting for acks
(5607|5623) pub6  100% (128 samples sent)
(5607|5623) pub6->wait_match() before write for 01030000.4dcddfe6.0000000a.00000102(cdcc03bd)
(5607|5615)  sub 10% (103 samples received)
(5607|5620) pub4<-match found! before write for 01030000.4dcddfe6.00000008.00000102(b70c50dd)
(5607|5620) pub4  waiting for acks
(5607|5622) pub7<-match found! before write for 01030000.4dcddfe6.00000009.00000102(8a6c796d)
(5607|5622) pub7  waiting for acks
(5607|5621) pub5<-match found! before write for 01030000.4dcddfe6.00000007.00000102(355cc70c)
(5607|5621) pub5  waiting for acks
(5607|5623) pub6<-match found! before write for 01030000.4dcddfe6.0000000a.00000102(cdcc03bd)
(5607|5623) pub6  waiting for acks
(5607|5615)  sub 20% (205 samples received)
(5607|5619) pub3  waiting for acks returned
(5607|5619) pub3<-delete_contained_entities
(5607|5617) pub0  waiting for acks returned
(5607|5617) pub0<-delete_contained_entities
(5607|5619) pub3<-delete_participant
(5607|5615) sub condition_.notify_all
(5607|5607) sub condition_.wait returned
(5607|5607) sub check_received
(5607|5607) sub check_received returns 0
(5607|5607) <- PublisherService::end
(5607|5618) pub2  waiting for acks returned
(5607|5618) pub2<-delete_contained_entities
(5607|5616) pub1  waiting for acks returned
(5607|5616) pub1<-delete_contained_entities
(5607|5620) pub4  waiting for acks returned
(5607|5620) pub4<-delete_contained_entities
(5607|5622) pub7  waiting for acks returned
(5607|5622) pub7<-delete_contained_entities
(5607|5621) pub5  waiting for acks returned
(5607|5621) pub5<-delete_contained_entities
(5607|5623) pub6  waiting for acks returned
(5607|5623) pub6<-delete_contained_entities
(5607|5617) pub0<-delete_participant
(5607|5618) pub2<-delete_participant
(5607|5616) pub1<-delete_participant
(5607|5620) pub4<-delete_participant
(5607|5622) pub7<-delete_participant
(5607|5621) pub5<-delete_participant
(5607|5623) pub6<-delete_participant
(5607|5607) <- PublisherService::~PublisherService
(5607|5607) <- Subscriber delete_contained_entities
(5607|5607) <- Subscriber delete_participant
(5607|5607) <- Subscriber::~Subscriber
(5607|5607) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl low durable Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl medium durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 5625
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 16 -s 64 -n 1024 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 5632 started at 2023-04-26 16:13:24
(5632|5632) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(5632|5632) -> Thrasher started
(5632|5632) -> Subscriber::Subscriber
(5625|5625) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(5632|5632) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(5632|5632)    Subscriber reader id: 01030000.520ea8dd.00000002.00000507(178c81e5)
(5632|5632) -> PublisherService::PublisherService
(5632|5632) -> PublisherService::start (16 threads)
(5632|5632) sub wait_received 0:16
(5632|5641) pub0  writer id: 01030000.520ea8dd.00000003.00000102(3eea99de)
(5632|5641) pub0->started
(5632|5641) pub0  10% (7 samples sent)
(5632|5641) pub0  20% (13 samples sent)
(5632|5641) pub0  31% (20 samples sent)
(5632|5641) pub0  40% (26 samples sent)
(5632|5641) pub0  50% (32 samples sent)
(5632|5641) pub0  60% (39 samples sent)
(5632|5641) pub0  70% (45 samples sent)
(5632|5641) pub0  81% (52 samples sent)
(5632|5641) pub0  90% (58 samples sent)
(5632|5641) pub0  100% (64 samples sent)
(5632|5641) pub0->wait_match() before write for 01030000.520ea8dd.00000003.00000102(3eea99de)
(5632|5641) pub0<-match found! before write for 01030000.520ea8dd.00000003.00000102(3eea99de)
(5632|5641) pub0  waiting for acks
(5632|5641) pub0  waiting for acks returned
(5632|5641) pub0<-delete_contained_entities
(5632|5643) pub1  writer id: 01030000.520ea8dd.00000004.00000102(8cca45ce)
(5632|5643) pub1->started
(5632|5643) pub1  10% (7 samples sent)
(5632|5643) pub1  20% (13 samples sent)
(5632|5643) pub1  31% (20 samples sent)
(5632|5643) pub1  40% (26 samples sent)
(5632|5643) pub1  50% (32 samples sent)
(5632|5643) pub1  60% (39 samples sent)
(5632|5643) pub1  70% (45 samples sent)
(5632|5643) pub1  81% (52 samples sent)
(5632|5643) pub1  90% (58 samples sent)
(5632|5643) pub1  100% (64 samples sent)
(5632|5643) pub1->wait_match() before write for 01030000.520ea8dd.00000004.00000102(8cca45ce)
(5632|5643) pub1<-match found! before write for 01030000.520ea8dd.00000004.00000102(8cca45ce)
(5632|5643) pub1  waiting for acks
(5632|5643) pub1  waiting for acks returned
(5632|5643) pub1<-delete_contained_entities
(5632|5648) pub2  writer id: 01030000.520ea8dd.00000005.00000102(b1aa6c7e)
(5632|5648) pub2->started
(5632|5648) pub2  10% (7 samples sent)
(5632|5648) pub2  20% (13 samples sent)
(5632|5648) pub2  31% (20 samples sent)
(5632|5648) pub2  40% (26 samples sent)
(5632|5648) pub2  50% (32 samples sent)
(5632|5648) pub2  60% (39 samples sent)
(5632|5648) pub2  70% (45 samples sent)
(5632|5648) pub2  81% (52 samples sent)
(5632|5648) pub2  90% (58 samples sent)
(5632|5648) pub2  100% (64 samples sent)
(5632|5648) pub2->wait_match() before write for 01030000.520ea8dd.00000005.00000102(b1aa6c7e)
(5632|5648) pub2<-match found! before write for 01030000.520ea8dd.00000005.00000102(b1aa6c7e)
(5632|5648) pub2  waiting for acks
(5632|5648) pub2  waiting for acks returned
(5632|5648) pub2<-delete_contained_entities
(5632|5652) pub4  writer id: 01030000.520ea8dd.00000007.00000102(cb6a3f1e)
(5632|5652) pub4->started
(5632|5652) pub4  10% (7 samples sent)
(5632|5652) pub4  20% (13 samples sent)
(5632|5652) pub4  31% (20 samples sent)
(5632|5652) pub4  40% (26 samples sent)
(5632|5652) pub4  50% (32 samples sent)
(5632|5652) pub4  60% (39 samples sent)
(5632|5652) pub4  70% (45 samples sent)
(5632|5652) pub4  81% (52 samples sent)
(5632|5652) pub4  90% (58 samples sent)
(5632|5652) pub4  100% (64 samples sent)
(5632|5652) pub4->wait_match() before write for 01030000.520ea8dd.00000007.00000102(cb6a3f1e)
(5632|5652) pub4<-match found! before write for 01030000.520ea8dd.00000007.00000102(cb6a3f1e)
(5632|5652) pub4  waiting for acks
(5632|5652) pub4  waiting for acks returned
(5632|5652) pub4<-delete_contained_entities
(5632|5650) pub3  writer id: 01030000.520ea8dd.00000006.00000102(f60a16ae)
(5632|5650) pub3->started
(5632|5650) pub3  10% (7 samples sent)
(5632|5650) pub3  20% (13 samples sent)
(5632|5650) pub3  31% (20 samples sent)
(5632|5650) pub3  40% (26 samples sent)
(5632|5650) pub3  50% (32 samples sent)
(5632|5650) pub3  60% (39 samples sent)
(5632|5650) pub3  70% (45 samples sent)
(5632|5650) pub3  81% (52 samples sent)
(5632|5650) pub3  90% (58 samples sent)
(5632|5650) pub3  100% (64 samples sent)
(5632|5650) pub3->wait_match() before write for 01030000.520ea8dd.00000006.00000102(f60a16ae)
(5632|5650) pub3<-match found! before write for 01030000.520ea8dd.00000006.00000102(f60a16ae)
(5632|5650) pub3  waiting for acks
(5632|5650) pub3  waiting for acks returned
(5632|5650) pub3<-delete_contained_entities
(5632|5654) pub5  writer id: 01030000.520ea8dd.00000008.00000102(493aa8cf)
(5632|5654) pub5->started
(5632|5654) pub5  10% (7 samples sent)
(5632|5654) pub5  20% (13 samples sent)
(5632|5654) pub5  31% (20 samples sent)
(5632|5654) pub5  40% (26 samples sent)
(5632|5654) pub5  50% (32 samples sent)
(5632|5654) pub5  60% (39 samples sent)
(5632|5654) pub5  70% (45 samples sent)
(5632|5654) pub5  81% (52 samples sent)
(5632|5654) pub5  90% (58 samples sent)
(5632|5654) pub5  100% (64 samples sent)
(5632|5654) pub5->wait_match() before write for 01030000.520ea8dd.00000008.00000102(493aa8cf)
(5632|5654) pub5<-match found! before write for 01030000.520ea8dd.00000008.00000102(493aa8cf)
(5632|5654) pub5  waiting for acks
(5632|5654) pub5  waiting for acks returned
(5632|5654) pub5<-delete_contained_entities
(5632|5656) pub6  writer id: 01030000.520ea8dd.00000009.00000102(745a817f)
(5632|5656) pub6->started
(5632|5656) pub6  10% (7 samples sent)
(5632|5656) pub6  20% (13 samples sent)
(5632|5656) pub6  31% (20 samples sent)
(5632|5656) pub6  40% (26 samples sent)
(5632|5656) pub6  50% (32 samples sent)
(5632|5656) pub6  60% (39 samples sent)
(5632|5656) pub6  70% (45 samples sent)
(5632|5656) pub6  81% (52 samples sent)
(5632|5656) pub6  90% (58 samples sent)
(5632|5656) pub6  100% (64 samples sent)
(5632|5656) pub6->wait_match() before write for 01030000.520ea8dd.00000009.00000102(745a817f)
(5632|5656) pub6<-match found! before write for 01030000.520ea8dd.00000009.00000102(745a817f)
(5632|5656) pub6  waiting for acks
(5632|5656) pub6  waiting for acks returned
(5632|5656) pub6<-delete_contained_entities
(5632|5642) pub9  writer id: 01030000.520ea8dd.0000000a.00000102(33fafbaf)
(5632|5642) pub9->started
(5632|5642) pub9  10% (7 samples sent)
(5632|5642) pub9  20% (13 samples sent)
(5632|5642) pub9  31% (20 samples sent)
(5632|5642) pub9  40% (26 samples sent)
(5632|5642) pub9  50% (32 samples sent)
(5632|5642) pub9  60% (39 samples sent)
(5632|5642) pub9  70% (45 samples sent)
(5632|5642) pub9  81% (52 samples sent)
(5632|5642) pub9  90% (58 samples sent)
(5632|5642) pub9  100% (64 samples sent)
(5632|5642) pub9->wait_match() before write for 01030000.520ea8dd.0000000a.00000102(33fafbaf)
(5632|5642) pub9<-match found! before write for 01030000.520ea8dd.0000000a.00000102(33fafbaf)
(5632|5642) pub9  waiting for acks
(5632|5642) pub9  waiting for acks returned
(5632|5642) pub9<-delete_contained_entities
(5632|5646) pub7  writer id: 01030000.520ea8dd.0000000b.00000102(0e9ad21f)
(5632|5646) pub7->started
(5632|5646) pub7  10% (7 samples sent)
(5632|5646) pub7  20% (13 samples sent)
(5632|5646) pub7  31% (20 samples sent)
(5632|5646) pub7  40% (26 samples sent)
(5632|5646) pub7  50% (32 samples sent)
(5632|5646) pub7  60% (39 samples sent)
(5632|5646) pub7  70% (45 samples sent)
(5632|5646) pub7  81% (52 samples sent)
(5632|5646) pub7  90% (58 samples sent)
(5632|5646) pub7  100% (64 samples sent)
(5632|5646) pub7->wait_match() before write for 01030000.520ea8dd.0000000b.00000102(0e9ad21f)
(5632|5646) pub7<-match found! before write for 01030000.520ea8dd.0000000b.00000102(0e9ad21f)
(5632|5646) pub7  waiting for acks
(5632|5646) pub7  waiting for acks returned
(5632|5646) pub7<-delete_contained_entities
(5632|5644) pub8  writer id: 01030000.520ea8dd.0000000c.00000102(bcba0e0f)
(5632|5644) pub8->started
(5632|5644) pub8  10% (7 samples sent)
(5632|5644) pub8  20% (13 samples sent)
(5632|5644) pub8  31% (20 samples sent)
(5632|5644) pub8  40% (26 samples sent)
(5632|5644) pub8  50% (32 samples sent)
(5632|5644) pub8  60% (39 samples sent)
(5632|5644) pub8  70% (45 samples sent)
(5632|5644) pub8  81% (52 samples sent)
(5632|5644) pub8  90% (58 samples sent)
(5632|5644) pub8  100% (64 samples sent)
(5632|5644) pub8->wait_match() before write for 01030000.520ea8dd.0000000c.00000102(bcba0e0f)
(5632|5644) pub8<-match found! before write for 01030000.520ea8dd.0000000c.00000102(bcba0e0f)
(5632|5644) pub8  waiting for acks
(5632|5644) pub8  waiting for acks returned
(5632|5644) pub8<-delete_contained_entities
(5632|5655) pub12  writer id: 01030000.520ea8dd.0000000d.00000102(81da27bf)
(5632|5655) pub12->started
(5632|5655) pub12  10% (7 samples sent)
(5632|5655) pub12  20% (13 samples sent)
(5632|5655) pub12  31% (20 samples sent)
(5632|5655) pub12  40% (26 samples sent)
(5632|5655) pub12  50% (32 samples sent)
(5632|5655) pub12  60% (39 samples sent)
(5632|5655) pub12  70% (45 samples sent)
(5632|5655) pub12  81% (52 samples sent)
(5632|5655) pub12  90% (58 samples sent)
(5632|5655) pub12  100% (64 samples sent)
(5632|5655) pub12->wait_match() before write for 01030000.520ea8dd.0000000d.00000102(81da27bf)
(5632|5655) pub12<-match found! before write for 01030000.520ea8dd.0000000d.00000102(81da27bf)
(5632|5655) pub12  waiting for acks
(5632|5655) pub12  waiting for acks returned
(5632|5655) pub12<-delete_contained_entities
(5632|5649) pub14  writer id: 01030000.520ea8dd.0000000f.00000102(fb1a74df)
(5632|5649) pub14->started
(5632|5649) pub14  10% (7 samples sent)
(5632|5649) pub14  20% (13 samples sent)
(5632|5649) pub14  31% (20 samples sent)
(5632|5649) pub14  40% (26 samples sent)
(5632|5649) pub14  50% (32 samples sent)
(5632|5649) pub14  60% (39 samples sent)
(5632|5649) pub14  70% (45 samples sent)
(5632|5649) pub14  81% (52 samples sent)
(5632|5649) pub14  90% (58 samples sent)
(5632|5649) pub14  100% (64 samples sent)
(5632|5649) pub14->wait_match() before write for 01030000.520ea8dd.0000000f.00000102(fb1a74df)
(5632|5649) pub14<-match found! before write for 01030000.520ea8dd.0000000f.00000102(fb1a74df)
(5632|5649) pub14  waiting for acks
(5632|5649) pub14  waiting for acks returned
(5632|5649) pub14<-delete_contained_entities
(5632|5647) pub10  writer id: 01030000.520ea8dd.00000010.00000102(19aa748c)
(5632|5647) pub10->started
(5632|5647) pub10  10% (7 samples sent)
(5632|5647) pub10  20% (13 samples sent)
(5632|5647) pub10  31% (20 samples sent)
(5632|5647) pub10  40% (26 samples sent)
(5632|5647) pub10  50% (32 samples sent)
(5632|5647) pub10  60% (39 samples sent)
(5632|5647) pub10  70% (45 samples sent)
(5632|5647) pub10  81% (52 samples sent)
(5632|5647) pub10  90% (58 samples sent)
(5632|5647) pub10  100% (64 samples sent)
(5632|5647) pub10->wait_match() before write for 01030000.520ea8dd.00000010.00000102(19aa748c)
(5632|5647) pub10<-match found! before write for 01030000.520ea8dd.00000010.00000102(19aa748c)
(5632|5647) pub10  waiting for acks
(5632|5647) pub10  waiting for acks returned
(5632|5647) pub10<-delete_contained_entities
(5632|5651) pub11  writer id: 01030000.520ea8dd.00000011.00000102(24ca5d3c)
(5632|5651) pub11->started
(5632|5651) pub11  10% (7 samples sent)
(5632|5651) pub11  20% (13 samples sent)
(5632|5651) pub11  31% (20 samples sent)
(5632|5651) pub11  40% (26 samples sent)
(5632|5651) pub11  50% (32 samples sent)
(5632|5651) pub11  60% (39 samples sent)
(5632|5651) pub11  70% (45 samples sent)
(5632|5651) pub11  81% (52 samples sent)
(5632|5651) pub11  90% (58 samples sent)
(5632|5651) pub11  100% (64 samples sent)
(5632|5651) pub11->wait_match() before write for 01030000.520ea8dd.00000011.00000102(24ca5d3c)
(5632|5651) pub11<-match found! before write for 01030000.520ea8dd.00000011.00000102(24ca5d3c)
(5632|5651) pub11  waiting for acks
(5632|5651) pub11  waiting for acks returned
(5632|5651) pub11<-delete_contained_entities
(5632|5653) pub13  writer id: 01030000.520ea8dd.0000000e.00000102(c67a5d6f)
(5632|5653) pub13->started
(5632|5653) pub13  10% (7 samples sent)
(5632|5653) pub13  20% (13 samples sent)
(5632|5653) pub13  31% (20 samples sent)
(5632|5653) pub13  40% (26 samples sent)
(5632|5653) pub13  50% (32 samples sent)
(5632|5653) pub13  60% (39 samples sent)
(5632|5653) pub13  70% (45 samples sent)
(5632|5653) pub13  81% (52 samples sent)
(5632|5653) pub13  90% (58 samples sent)
(5632|5653) pub13  100% (64 samples sent)
(5632|5653) pub13->wait_match() before write for 01030000.520ea8dd.0000000e.00000102(c67a5d6f)
(5632|5653) pub13<-match found! before write for 01030000.520ea8dd.0000000e.00000102(c67a5d6f)
(5632|5653) pub13  waiting for acks
(5632|5653) pub13  waiting for acks returned
(5632|5653) pub13<-delete_contained_entities
(5632|5645) pub15  writer id: 01030000.520ea8dd.00000012.00000102(636a27ec)
(5632|5645) pub15->started
(5632|5645) pub15  10% (7 samples sent)
(5632|5645) pub15  20% (13 samples sent)
(5632|5645) pub15  31% (20 samples sent)
(5632|5645) pub15  40% (26 samples sent)
(5632|5645) pub15  50% (32 samples sent)
(5632|5645) pub15  60% (39 samples sent)
(5632|5645) pub15  70% (45 samples sent)
(5632|5645) pub15  81% (52 samples sent)
(5632|5645) pub15  90% (58 samples sent)
(5632|5641) pub0<-delete_participant
(5632|5645) pub15  100% (64 samples sent)
(5632|5645) pub15->wait_match() before write for 01030000.520ea8dd.00000012.00000102(636a27ec)
(5632|5645) pub15<-match found! before write for 01030000.520ea8dd.00000012.00000102(636a27ec)
(5632|5645) pub15  waiting for acks
(5632|5640) sub condition_.notify_all
(5632|5632) sub condition_.wait returned
(5632|5632) sub check_received
(5632|5632) sub check_received returns 0
(5632|5632) <- PublisherService::end
(5632|5640) sub condition_.notify_all
(5632|5640) sub condition_.notify_all
(5632|5640) sub condition_.notify_all
(5632|5640) sub condition_.notify_all
(5632|5640) sub condition_.notify_all
(5632|5645) pub15  waiting for acks returned
(5632|5645) pub15<-delete_contained_entities
(5632|5643) pub1<-delete_participant
(5632|5648) pub2<-delete_participant
(5632|5652) pub4<-delete_participant
(5632|5650) pub3<-delete_participant
(5632|5654) pub5<-delete_participant
(5632|5656) pub6<-delete_participant
(5632|5642) pub9<-delete_participant
(5632|5646) pub7<-delete_participant
(5632|5644) pub8<-delete_participant
(5632|5655) pub12<-delete_participant
(5632|5649) pub14<-delete_participant
(5632|5647) pub10<-delete_participant
(5632|5651) pub11<-delete_participant
(5632|5653) pub13<-delete_participant
(5632|5645) pub15<-delete_participant
(5632|5632) <- PublisherService::~PublisherService
(5632|5632) <- Subscriber delete_contained_entities
(5632|5632) <- Subscriber delete_participant
(5632|5632) <- Subscriber::~Subscriber
(5632|5632) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl medium durable Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl high durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 5658
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 32 -s 32 -n 1024 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 5665 started at 2023-04-26 16:13:26
(5665|5665) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(5665|5665) -> Thrasher started
(5665|5665) -> Subscriber::Subscriber
(5658|5658) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(5665|5665) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(5665|5665)    Subscriber reader id: 01030000.2179fd34.00000002.00000507(5ae9ef2d)
(5665|5665) -> PublisherService::PublisherService
(5665|5665) -> PublisherService::start (32 threads)
(5665|5665) sub wait_received 0:32
(5665|5674) pub0  writer id: 01030000.2179fd34.00000003.00000102(738ff716)
(5665|5674) pub0->started
(5665|5674) pub0  12% (4 samples sent)
(5665|5674) pub0  21% (7 samples sent)
(5665|5674) pub0  31% (10 samples sent)
(5665|5674) pub0  40% (13 samples sent)
(5665|5674) pub0  50% (16 samples sent)
(5665|5674) pub0  62% (20 samples sent)
(5665|5674) pub0  71% (23 samples sent)
(5665|5674) pub0  81% (26 samples sent)
(5665|5674) pub0  90% (29 samples sent)
(5665|5674) pub0  100% (32 samples sent)
(5665|5674) pub0->wait_match() before write for 01030000.2179fd34.00000003.00000102(738ff716)
(5665|5674) pub0<-match found! before write for 01030000.2179fd34.00000003.00000102(738ff716)
(5665|5674) pub0  waiting for acks
(5665|5674) pub0  waiting for acks returned
(5665|5674) pub0<-delete_contained_entities
(5665|5681) pub1  writer id: 01030000.2179fd34.00000004.00000102(c1af2b06)
(5665|5681) pub1->started
(5665|5681) pub1  12% (4 samples sent)
(5665|5681) pub1  21% (7 samples sent)
(5665|5681) pub1  31% (10 samples sent)
(5665|5681) pub1  40% (13 samples sent)
(5665|5681) pub1  50% (16 samples sent)
(5665|5681) pub1  62% (20 samples sent)
(5665|5681) pub1  71% (23 samples sent)
(5665|5681) pub1  81% (26 samples sent)
(5665|5681) pub1  90% (29 samples sent)
(5665|5681) pub1  100% (32 samples sent)
(5665|5681) pub1->wait_match() before write for 01030000.2179fd34.00000004.00000102(c1af2b06)
(5665|5681) pub1<-match found! before write for 01030000.2179fd34.00000004.00000102(c1af2b06)
(5665|5681) pub1  waiting for acks
(5665|5681) pub1  waiting for acks returned
(5665|5681) pub1<-delete_contained_entities
(5665|5678) pub2  writer id: 01030000.2179fd34.00000005.00000102(fccf02b6)
(5665|5678) pub2->started
(5665|5678) pub2  12% (4 samples sent)
(5665|5678) pub2  21% (7 samples sent)
(5665|5678) pub2  31% (10 samples sent)
(5665|5678) pub2  40% (13 samples sent)
(5665|5678) pub2  50% (16 samples sent)
(5665|5678) pub2  62% (20 samples sent)
(5665|5678) pub2  71% (23 samples sent)
(5665|5678) pub2  81% (26 samples sent)
(5665|5678) pub2  90% (29 samples sent)
(5665|5678) pub2  100% (32 samples sent)
(5665|5678) pub2->wait_match() before write for 01030000.2179fd34.00000005.00000102(fccf02b6)
(5665|5678) pub2<-match found! before write for 01030000.2179fd34.00000005.00000102(fccf02b6)
(5665|5678) pub2  waiting for acks
(5665|5678) pub2  waiting for acks returned
(5665|5678) pub2<-delete_contained_entities
(5665|5677) pub4  writer id: 01030000.2179fd34.00000006.00000102(bb6f7866)
(5665|5677) pub4->started
(5665|5677) pub4  12% (4 samples sent)
(5665|5677) pub4  21% (7 samples sent)
(5665|5677) pub4  31% (10 samples sent)
(5665|5677) pub4  40% (13 samples sent)
(5665|5677) pub4  50% (16 samples sent)
(5665|5677) pub4  62% (20 samples sent)
(5665|5677) pub4  71% (23 samples sent)
(5665|5677) pub4  81% (26 samples sent)
(5665|5677) pub4  90% (29 samples sent)
(5665|5677) pub4  100% (32 samples sent)
(5665|5677) pub4->wait_match() before write for 01030000.2179fd34.00000006.00000102(bb6f7866)
(5665|5677) pub4<-match found! before write for 01030000.2179fd34.00000006.00000102(bb6f7866)
(5665|5677) pub4  waiting for acks
(5665|5677) pub4  waiting for acks returned
(5665|5677) pub4<-delete_contained_entities
(5665|5687) pub12  writer id: 01030000.2179fd34.00000007.00000102(860f51d6)
(5665|5687) pub12->started
(5665|5687) pub12  12% (4 samples sent)
(5665|5687) pub12  21% (7 samples sent)
(5665|5687) pub12  31% (10 samples sent)
(5665|5687) pub12  40% (13 samples sent)
(5665|5687) pub12  50% (16 samples sent)
(5665|5687) pub12  62% (20 samples sent)
(5665|5687) pub12  71% (23 samples sent)
(5665|5687) pub12  81% (26 samples sent)
(5665|5687) pub12  90% (29 samples sent)
(5665|5687) pub12  100% (32 samples sent)
(5665|5687) pub12->wait_match() before write for 01030000.2179fd34.00000007.00000102(860f51d6)
(5665|5687) pub12<-match found! before write for 01030000.2179fd34.00000007.00000102(860f51d6)
(5665|5687) pub12  waiting for acks
(5665|5687) pub12  waiting for acks returned
(5665|5687) pub12<-delete_contained_entities
(5665|5693) pub19  writer id: 01030000.2179fd34.0000000f.00000102(b67f1a17)
(5665|5693) pub19->started
(5665|5693) pub19  12% (4 samples sent)
(5665|5693) pub19  21% (7 samples sent)
(5665|5693) pub19  31% (10 samples sent)
(5665|5693) pub19  40% (13 samples sent)
(5665|5693) pub19  50% (16 samples sent)
(5665|5693) pub19  62% (20 samples sent)
(5665|5693) pub19  71% (23 samples sent)
(5665|5693) pub19  81% (26 samples sent)
(5665|5693) pub19  90% (29 samples sent)
(5665|5693) pub19  100% (32 samples sent)
(5665|5693) pub19->wait_match() before write for 01030000.2179fd34.0000000f.00000102(b67f1a17)
(5665|5693) pub19<-match found! before write for 01030000.2179fd34.0000000f.00000102(b67f1a17)
(5665|5693) pub19  waiting for acks
(5665|5693) pub19  waiting for acks returned
(5665|5693) pub19<-delete_contained_entities
(5665|5688) pub14  writer id: 01030000.2179fd34.00000009.00000102(393fefb7)
(5665|5688) pub14->started
(5665|5688) pub14  12% (4 samples sent)
(5665|5688) pub14  21% (7 samples sent)
(5665|5688) pub14  31% (10 samples sent)
(5665|5688) pub14  40% (13 samples sent)
(5665|5688) pub14  50% (16 samples sent)
(5665|5688) pub14  62% (20 samples sent)
(5665|5688) pub14  71% (23 samples sent)
(5665|5688) pub14  81% (26 samples sent)
(5665|5688) pub14  90% (29 samples sent)
(5665|5688) pub14  100% (32 samples sent)
(5665|5688) pub14->wait_match() before write for 01030000.2179fd34.00000009.00000102(393fefb7)
(5665|5688) pub14<-match found! before write for 01030000.2179fd34.00000009.00000102(393fefb7)
(5665|5688) pub14  waiting for acks
(5665|5688) pub14  waiting for acks returned
(5665|5688) pub14<-delete_contained_entities
(5665|5682) pub9  writer id: 01030000.2179fd34.00000010.00000102(54cf1a44)
(5665|5682) pub9->started
(5665|5682) pub9  12% (4 samples sent)
(5665|5682) pub9  21% (7 samples sent)
(5665|5682) pub9  31% (10 samples sent)
(5665|5682) pub9  40% (13 samples sent)
(5665|5682) pub9  50% (16 samples sent)
(5665|5682) pub9  62% (20 samples sent)
(5665|5682) pub9  71% (23 samples sent)
(5665|5682) pub9  81% (26 samples sent)
(5665|5682) pub9  90% (29 samples sent)
(5665|5682) pub9  100% (32 samples sent)
(5665|5682) pub9->wait_match() before write for 01030000.2179fd34.00000010.00000102(54cf1a44)
(5665|5682) pub9<-match found! before write for 01030000.2179fd34.00000010.00000102(54cf1a44)
(5665|5682) pub9  waiting for acks
(5665|5682) pub9  waiting for acks returned
(5665|5682) pub9<-delete_contained_entities
(5665|5676) pub5  writer id: 01030000.2179fd34.0000000e.00000102(8b1f33a7)
(5665|5676) pub5->started
(5665|5676) pub5  12% (4 samples sent)
(5665|5676) pub5  21% (7 samples sent)
(5665|5676) pub5  31% (10 samples sent)
(5665|5676) pub5  40% (13 samples sent)
(5665|5676) pub5  50% (16 samples sent)
(5665|5676) pub5  62% (20 samples sent)
(5665|5676) pub5  71% (23 samples sent)
(5665|5676) pub5  81% (26 samples sent)
(5665|5676) pub5  90% (29 samples sent)
(5665|5676) pub5  100% (32 samples sent)
(5665|5676) pub5->wait_match() before write for 01030000.2179fd34.0000000e.00000102(8b1f33a7)
(5665|5676) pub5<-match found! before write for 01030000.2179fd34.0000000e.00000102(8b1f33a7)
(5665|5676) pub5  waiting for acks
(5665|5676) pub5  waiting for acks returned
(5665|5676) pub5<-delete_contained_entities
(5665|5683) pub6  writer id: 01030000.2179fd34.0000000c.00000102(f1df60c7)
(5665|5683) pub6->started
(5665|5683) pub6  12% (4 samples sent)
(5665|5683) pub6  21% (7 samples sent)
(5665|5683) pub6  31% (10 samples sent)
(5665|5683) pub6  40% (13 samples sent)
(5665|5683) pub6  50% (16 samples sent)
(5665|5683) pub6  62% (20 samples sent)
(5665|5683) pub6  71% (23 samples sent)
(5665|5683) pub6  81% (26 samples sent)
(5665|5683) pub6  90% (29 samples sent)
(5665|5683) pub6  100% (32 samples sent)
(5665|5683) pub6->wait_match() before write for 01030000.2179fd34.0000000c.00000102(f1df60c7)
(5665|5683) pub6<-match found! before write for 01030000.2179fd34.0000000c.00000102(f1df60c7)
(5665|5683) pub6  waiting for acks
(5665|5683) pub6  waiting for acks returned
(5665|5683) pub6<-delete_contained_entities
(5665|5690) pub17  writer id: 01030000.2179fd34.00000017.00000102(e6efc654)
(5665|5690) pub17->started
(5665|5690) pub17  12% (4 samples sent)
(5665|5690) pub17  21% (7 samples sent)
(5665|5690) pub17  31% (10 samples sent)
(5665|5690) pub17  40% (13 samples sent)
(5665|5690) pub17  50% (16 samples sent)
(5665|5690) pub17  62% (20 samples sent)
(5665|5690) pub17  71% (23 samples sent)
(5665|5690) pub17  81% (26 samples sent)
(5665|5690) pub17  90% (29 samples sent)
(5665|5690) pub17  100% (32 samples sent)
(5665|5690) pub17->wait_match() before write for 01030000.2179fd34.00000017.00000102(e6efc654)
(5665|5690) pub17<-match found! before write for 01030000.2179fd34.00000017.00000102(e6efc654)
(5665|5690) pub17  waiting for acks
(5665|5692) pub18  writer id: 01030000.2179fd34.00000018.00000102(64bf5185)
(5665|5692) pub18->started
(5665|5690) pub17  waiting for acks returned
(5665|5690) pub17<-delete_contained_entities
(5665|5692) pub18  12% (4 samples sent)
(5665|5692) pub18  21% (7 samples sent)
(5665|5692) pub18  31% (10 samples sent)
(5665|5692) pub18  40% (13 samples sent)
(5665|5692) pub18  50% (16 samples sent)
(5665|5692) pub18  62% (20 samples sent)
(5665|5692) pub18  71% (23 samples sent)
(5665|5692) pub18  81% (26 samples sent)
(5665|5692) pub18  90% (29 samples sent)
(5665|5692) pub18  100% (32 samples sent)
(5665|5692) pub18->wait_match() before write for 01030000.2179fd34.00000018.00000102(64bf5185)
(5665|5692) pub18<-match found! before write for 01030000.2179fd34.00000018.00000102(64bf5185)
(5665|5692) pub18  waiting for acks
(5665|5692) pub18  waiting for acks returned
(5665|5692) pub18<-delete_contained_entities
(5665|5691) pub16  writer id: 01030000.2179fd34.0000000d.00000102(ccbf4977)
(5665|5691) pub16->started
(5665|5691) pub16  12% (4 samples sent)
(5665|5691) pub16  21% (7 samples sent)
(5665|5691) pub16  31% (10 samples sent)
(5665|5691) pub16  40% (13 samples sent)
(5665|5691) pub16  50% (16 samples sent)
(5665|5691) pub16  62% (20 samples sent)
(5665|5691) pub16  71% (23 samples sent)
(5665|5691) pub16  81% (26 samples sent)
(5665|5691) pub16  90% (29 samples sent)
(5665|5691) pub16  100% (32 samples sent)
(5665|5691) pub16->wait_match() before write for 01030000.2179fd34.0000000d.00000102(ccbf4977)
(5665|5691) pub16<-match found! before write for 01030000.2179fd34.0000000d.00000102(ccbf4977)
(5665|5691) pub16  waiting for acks
(5665|5691) pub16  waiting for acks returned
(5665|5691) pub16<-delete_contained_entities
(5665|5680) pub7  writer id: 01030000.2179fd34.00000008.00000102(045fc607)
(5665|5680) pub7->started
(5665|5680) pub7  12% (4 samples sent)
(5665|5680) pub7  21% (7 samples sent)
(5665|5680) pub7  31% (10 samples sent)
(5665|5680) pub7  40% (13 samples sent)
(5665|5680) pub7  50% (16 samples sent)
(5665|5680) pub7  62% (20 samples sent)
(5665|5680) pub7  71% (23 samples sent)
(5665|5680) pub7  81% (26 samples sent)
(5665|5680) pub7  90% (29 samples sent)
(5665|5680) pub7  100% (32 samples sent)
(5665|5680) pub7->wait_match() before write for 01030000.2179fd34.00000008.00000102(045fc607)
(5665|5680) pub7<-match found! before write for 01030000.2179fd34.00000008.00000102(045fc607)
(5665|5680) pub7  waiting for acks
(5665|5680) pub7  waiting for acks returned
(5665|5680) pub7<-delete_contained_entities
(5665|5685) pub10  writer id: 01030000.2179fd34.00000012.00000102(2e0f4924)
(5665|5685) pub10->started
(5665|5685) pub10  12% (4 samples sent)
(5665|5685) pub10  21% (7 samples sent)
(5665|5685) pub10  31% (10 samples sent)
(5665|5685) pub10  40% (13 samples sent)
(5665|5685) pub10  50% (16 samples sent)
(5665|5685) pub10  62% (20 samples sent)
(5665|5685) pub10  71% (23 samples sent)
(5665|5685) pub10  81% (26 samples sent)
(5665|5685) pub10  90% (29 samples sent)
(5665|5685) pub10  100% (32 samples sent)
(5665|5685) pub10->wait_match() before write for 01030000.2179fd34.00000012.00000102(2e0f4924)
(5665|5685) pub10<-match found! before write for 01030000.2179fd34.00000012.00000102(2e0f4924)
(5665|5685) pub10  waiting for acks
(5665|5685) pub10  waiting for acks returned
(5665|5685) pub10<-delete_contained_entities
(5665|5675) pub8  writer id: 01030000.2179fd34.0000000b.00000102(43ffbcd7)
(5665|5675) pub8->started
(5665|5675) pub8  12% (4 samples sent)
(5665|5675) pub8  21% (7 samples sent)
(5665|5675) pub8  31% (10 samples sent)
(5665|5675) pub8  40% (13 samples sent)
(5665|5675) pub8  50% (16 samples sent)
(5665|5675) pub8  62% (20 samples sent)
(5665|5675) pub8  71% (23 samples sent)
(5665|5675) pub8  81% (26 samples sent)
(5665|5675) pub8  90% (29 samples sent)
(5665|5675) pub8  100% (32 samples sent)
(5665|5675) pub8->wait_match() before write for 01030000.2179fd34.0000000b.00000102(43ffbcd7)
(5665|5675) pub8<-match found! before write for 01030000.2179fd34.0000000b.00000102(43ffbcd7)
(5665|5675) pub8  waiting for acks
(5665|5675) pub8  waiting for acks returned
(5665|5675) pub8<-delete_contained_entities
(5665|5702) pub27  writer id: 01030000.2179fd34.00000013.00000102(136f6094)
(5665|5702) pub27->started
(5665|5702) pub27  12% (4 samples sent)
(5665|5702) pub27  21% (7 samples sent)
(5665|5702) pub27  31% (10 samples sent)
(5665|5702) pub27  40% (13 samples sent)
(5665|5702) pub27  50% (16 samples sent)
(5665|5702) pub27  62% (20 samples sent)
(5665|5702) pub27  71% (23 samples sent)
(5665|5702) pub27  81% (26 samples sent)
(5665|5702) pub27  90% (29 samples sent)
(5665|5702) pub27  100% (32 samples sent)
(5665|5702) pub27->wait_match() before write for 01030000.2179fd34.00000013.00000102(136f6094)
(5665|5702) pub27<-match found! before write for 01030000.2179fd34.00000013.00000102(136f6094)
(5665|5702) pub27  waiting for acks
(5665|5702) pub27  waiting for acks returned
(5665|5702) pub27<-delete_contained_entities
(5665|5699) pub25  writer id: 01030000.2179fd34.0000001d.00000102(ac5fdef5)
(5665|5699) pub25->started
(5665|5699) pub25  12% (4 samples sent)
(5665|5699) pub25  21% (7 samples sent)
(5665|5699) pub25  31% (10 samples sent)
(5665|5699) pub25  40% (13 samples sent)
(5665|5699) pub25  50% (16 samples sent)
(5665|5699) pub25  62% (20 samples sent)
(5665|5699) pub25  71% (23 samples sent)
(5665|5699) pub25  81% (26 samples sent)
(5665|5699) pub25  90% (29 samples sent)
(5665|5699) pub25  100% (32 samples sent)
(5665|5699) pub25->wait_match() before write for 01030000.2179fd34.0000001d.00000102(ac5fdef5)
(5665|5699) pub25<-match found! before write for 01030000.2179fd34.0000001d.00000102(ac5fdef5)
(5665|5699) pub25  waiting for acks
(5665|5699) pub25  waiting for acks returned
(5665|5699) pub25<-delete_contained_entities
(5665|5704) pub29  writer id: 01030000.2179fd34.00000020.00000102(f5eea2c2)
(5665|5704) pub29->started
(5665|5704) pub29  12% (4 samples sent)
(5665|5704) pub29  21% (7 samples sent)
(5665|5704) pub29  31% (10 samples sent)
(5665|5704) pub29  40% (13 samples sent)
(5665|5704) pub29  50% (16 samples sent)
(5665|5704) pub29  62% (20 samples sent)
(5665|5704) pub29  71% (23 samples sent)
(5665|5704) pub29  81% (26 samples sent)
(5665|5704) pub29  90% (29 samples sent)
(5665|5704) pub29  100% (32 samples sent)
(5665|5704) pub29->wait_match() before write for 01030000.2179fd34.00000020.00000102(f5eea2c2)
(5665|5704) pub29<-match found! before write for 01030000.2179fd34.00000020.00000102(f5eea2c2)
(5665|5704) pub29  waiting for acks
(5665|5704) pub29  waiting for acks returned
(5665|5704) pub29<-delete_contained_entities
(5665|5697) pub24  writer id: 01030000.2179fd34.00000011.00000102(69af33f4)
(5665|5697) pub24->started
(5665|5697) pub24  12% (4 samples sent)
(5665|5697) pub24  21% (7 samples sent)
(5665|5697) pub24  31% (10 samples sent)
(5665|5697) pub24  40% (13 samples sent)
(5665|5697) pub24  50% (16 samples sent)
(5665|5697) pub24  62% (20 samples sent)
(5665|5697) pub24  71% (23 samples sent)
(5665|5697) pub24  81% (26 samples sent)
(5665|5697) pub24  90% (29 samples sent)
(5665|5697) pub24  100% (32 samples sent)
(5665|5697) pub24->wait_match() before write for 01030000.2179fd34.00000011.00000102(69af33f4)
(5665|5697) pub24<-match found! before write for 01030000.2179fd34.00000011.00000102(69af33f4)
(5665|5697) pub24  waiting for acks
(5665|5697) pub24  waiting for acks returned
(5665|5697) pub24<-delete_contained_entities
(5665|5698) pub22  writer id: 01030000.2179fd34.0000001b.00000102(231f2b55)
(5665|5698) pub22->started
(5665|5698) pub22  12% (4 samples sent)
(5665|5698) pub22  21% (7 samples sent)
(5665|5698) pub22  31% (10 samples sent)
(5665|5698) pub22  40% (13 samples sent)
(5665|5698) pub22  50% (16 samples sent)
(5665|5698) pub22  62% (20 samples sent)
(5665|5698) pub22  71% (23 samples sent)
(5665|5698) pub22  81% (26 samples sent)
(5665|5698) pub22  90% (29 samples sent)
(5665|5698) pub22  100% (32 samples sent)
(5665|5698) pub22->wait_match() before write for 01030000.2179fd34.0000001b.00000102(231f2b55)
(5665|5698) pub22<-match found! before write for 01030000.2179fd34.0000001b.00000102(231f2b55)
(5665|5698) pub22  waiting for acks
(5665|5698) pub22  waiting for acks returned
(5665|5698) pub22<-delete_contained_entities
(5665|5695) pub23  writer id: 01030000.2179fd34.0000001c.00000102(913ff745)
(5665|5695) pub23->started
(5665|5695) pub23  12% (4 samples sent)
(5665|5695) pub23  21% (7 samples sent)
(5665|5695) pub23  31% (10 samples sent)
(5665|5695) pub23  40% (13 samples sent)
(5665|5695) pub23  50% (16 samples sent)
(5665|5695) pub23  62% (20 samples sent)
(5665|5695) pub23  71% (23 samples sent)
(5665|5695) pub23  81% (26 samples sent)
(5665|5695) pub23  90% (29 samples sent)
(5665|5695) pub23  100% (32 samples sent)
(5665|5695) pub23->wait_match() before write for 01030000.2179fd34.0000001c.00000102(913ff745)
(5665|5695) pub23<-match found! before write for 01030000.2179fd34.0000001c.00000102(913ff745)
(5665|5695) pub23  waiting for acks
(5665|5695) pub23  waiting for acks returned
(5665|5695) pub23<-delete_contained_entities
(5665|5679) pub3  writer id: 01030000.2179fd34.0000000a.00000102(7e9f9567)
(5665|5679) pub3->started
(5665|5679) pub3  12% (4 samples sent)
(5665|5679) pub3  21% (7 samples sent)
(5665|5679) pub3  31% (10 samples sent)
(5665|5679) pub3  40% (13 samples sent)
(5665|5679) pub3  50% (16 samples sent)
(5665|5679) pub3  62% (20 samples sent)
(5665|5679) pub3  71% (23 samples sent)
(5665|5679) pub3  81% (26 samples sent)
(5665|5679) pub3  90% (29 samples sent)
(5665|5679) pub3  100% (32 samples sent)
(5665|5679) pub3->wait_match() before write for 01030000.2179fd34.0000000a.00000102(7e9f9567)
(5665|5679) pub3<-match found! before write for 01030000.2179fd34.0000000a.00000102(7e9f9567)
(5665|5679) pub3  waiting for acks
(5665|5679) pub3  waiting for acks returned
(5665|5679) pub3<-delete_contained_entities
(5665|5696) pub21  writer id: 01030000.2179fd34.0000001a.00000102(1e7f02e5)
(5665|5696) pub21->started
(5665|5696) pub21  12% (4 samples sent)
(5665|5696) pub21  21% (7 samples sent)
(5665|5696) pub21  31% (10 samples sent)
(5665|5696) pub21  40% (13 samples sent)
(5665|5696) pub21  50% (16 samples sent)
(5665|5696) pub21  62% (20 samples sent)
(5665|5696) pub21  71% (23 samples sent)
(5665|5696) pub21  81% (26 samples sent)
(5665|5696) pub21  90% (29 samples sent)
(5665|5696) pub21  100% (32 samples sent)
(5665|5696) pub21->wait_match() before write for 01030000.2179fd34.0000001a.00000102(1e7f02e5)
(5665|5696) pub21<-match found! before write for 01030000.2179fd34.0000001a.00000102(1e7f02e5)
(5665|5696) pub21  waiting for acks
(5665|5696) pub21  waiting for acks returned
(5665|5696) pub21<-delete_contained_entities
(5665|5701) pub26  writer id: 01030000.2179fd34.0000001e.00000102(ebffa425)
(5665|5701) pub26->started
(5665|5701) pub26  12% (4 samples sent)
(5665|5701) pub26  21% (7 samples sent)
(5665|5701) pub26  31% (10 samples sent)
(5665|5701) pub26  40% (13 samples sent)
(5665|5701) pub26  50% (16 samples sent)
(5665|5701) pub26  62% (20 samples sent)
(5665|5701) pub26  71% (23 samples sent)
(5665|5701) pub26  81% (26 samples sent)
(5665|5701) pub26  90% (29 samples sent)
(5665|5701) pub26  100% (32 samples sent)
(5665|5701) pub26->wait_match() before write for 01030000.2179fd34.0000001e.00000102(ebffa425)
(5665|5701) pub26<-match found! before write for 01030000.2179fd34.0000001e.00000102(ebffa425)
(5665|5701) pub26  waiting for acks
(5665|5701) pub26  waiting for acks returned
(5665|5701) pub26<-delete_contained_entities
(5665|5689) pub15  writer id: 01030000.2179fd34.00000016.00000102(db8fefe4)
(5665|5689) pub15->started
(5665|5689) pub15  12% (4 samples sent)
(5665|5689) pub15  21% (7 samples sent)
(5665|5689) pub15  31% (10 samples sent)
(5665|5689) pub15  40% (13 samples sent)
(5665|5689) pub15  50% (16 samples sent)
(5665|5689) pub15  62% (20 samples sent)
(5665|5689) pub15  71% (23 samples sent)
(5665|5689) pub15  81% (26 samples sent)
(5665|5689) pub15  90% (29 samples sent)
(5665|5689) pub15  100% (32 samples sent)
(5665|5689) pub15->wait_match() before write for 01030000.2179fd34.00000016.00000102(db8fefe4)
(5665|5689) pub15<-match found! before write for 01030000.2179fd34.00000016.00000102(db8fefe4)
(5665|5689) pub15  waiting for acks
(5665|5689) pub15  waiting for acks returned
(5665|5689) pub15<-delete_contained_entities
(5665|5705) pub30  writer id: 01030000.2179fd34.00000021.00000102(c88e8b72)
(5665|5705) pub30->started
(5665|5705) pub30  12% (4 samples sent)
(5665|5705) pub30  21% (7 samples sent)
(5665|5705) pub30  31% (10 samples sent)
(5665|5705) pub30  40% (13 samples sent)
(5665|5705) pub30  50% (16 samples sent)
(5665|5705) pub30  62% (20 samples sent)
(5665|5705) pub30  71% (23 samples sent)
(5665|5705) pub30  81% (26 samples sent)
(5665|5705) pub30  90% (29 samples sent)
(5665|5705) pub30  100% (32 samples sent)
(5665|5705) pub30->wait_match() before write for 01030000.2179fd34.00000021.00000102(c88e8b72)
(5665|5705) pub30<-match found! before write for 01030000.2179fd34.00000021.00000102(c88e8b72)
(5665|5705) pub30  waiting for acks
(5665|5705) pub30  waiting for acks returned
(5665|5705) pub30<-delete_contained_entities
(5665|5684) pub13  writer id: 01030000.2179fd34.00000015.00000102(9c2f9534)
(5665|5684) pub13->started
(5665|5684) pub13  12% (4 samples sent)
(5665|5684) pub13  21% (7 samples sent)
(5665|5684) pub13  31% (10 samples sent)
(5665|5684) pub13  40% (13 samples sent)
(5665|5684) pub13  50% (16 samples sent)
(5665|5684) pub13  62% (20 samples sent)
(5665|5684) pub13  71% (23 samples sent)
(5665|5684) pub13  81% (26 samples sent)
(5665|5684) pub13  90% (29 samples sent)
(5665|5684) pub13  100% (32 samples sent)
(5665|5684) pub13->wait_match() before write for 01030000.2179fd34.00000015.00000102(9c2f9534)
(5665|5684) pub13<-match found! before write for 01030000.2179fd34.00000015.00000102(9c2f9534)
(5665|5684) pub13  waiting for acks
(5665|5684) pub13  waiting for acks returned
(5665|5684) pub13<-delete_contained_entities
(5665|5686) pub11  writer id: 01030000.2179fd34.00000014.00000102(a14fbc84)
(5665|5686) pub11->started
(5665|5686) pub11  12% (4 samples sent)
(5665|5686) pub11  21% (7 samples sent)
(5665|5686) pub11  31% (10 samples sent)
(5665|5686) pub11  40% (13 samples sent)
(5665|5686) pub11  50% (16 samples sent)
(5665|5686) pub11  62% (20 samples sent)
(5665|5686) pub11  71% (23 samples sent)
(5665|5686) pub11  81% (26 samples sent)
(5665|5686) pub11  90% (29 samples sent)
(5665|5686) pub11  100% (32 samples sent)
(5665|5686) pub11->wait_match() before write for 01030000.2179fd34.00000014.00000102(a14fbc84)
(5665|5686) pub11<-match found! before write for 01030000.2179fd34.00000014.00000102(a14fbc84)
(5665|5686) pub11  waiting for acks
(5665|5686) pub11  waiting for acks returned
(5665|5686) pub11<-delete_contained_entities
(5665|5700) pub28  writer id: 01030000.2179fd34.0000001f.00000102(d69f8d95)
(5665|5700) pub28->started
(5665|5700) pub28  12% (4 samples sent)
(5665|5700) pub28  21% (7 samples sent)
(5665|5700) pub28  31% (10 samples sent)
(5665|5700) pub28  40% (13 samples sent)
(5665|5700) pub28  50% (16 samples sent)
(5665|5700) pub28  62% (20 samples sent)
(5665|5700) pub28  71% (23 samples sent)
(5665|5700) pub28  81% (26 samples sent)
(5665|5700) pub28  90% (29 samples sent)
(5665|5700) pub28  100% (32 samples sent)
(5665|5700) pub28->wait_match() before write for 01030000.2179fd34.0000001f.00000102(d69f8d95)
(5665|5700) pub28<-match found! before write for 01030000.2179fd34.0000001f.00000102(d69f8d95)
(5665|5700) pub28  waiting for acks
(5665|5700) pub28  waiting for acks returned
(5665|5700) pub28<-delete_contained_entities
(5665|5694) pub20  writer id: 01030000.2179fd34.00000019.00000102(59df7835)
(5665|5694) pub20->started
(5665|5694) pub20  12% (4 samples sent)
(5665|5694) pub20  21% (7 samples sent)
(5665|5694) pub20  31% (10 samples sent)
(5665|5694) pub20  40% (13 samples sent)
(5665|5694) pub20  50% (16 samples sent)
(5665|5694) pub20  62% (20 samples sent)
(5665|5694) pub20  71% (23 samples sent)
(5665|5694) pub20  81% (26 samples sent)
(5665|5694) pub20  90% (29 samples sent)
(5665|5694) pub20  100% (32 samples sent)
(5665|5694) pub20->wait_match() before write for 01030000.2179fd34.00000019.00000102(59df7835)
(5665|5694) pub20<-match found! before write for 01030000.2179fd34.00000019.00000102(59df7835)
(5665|5694) pub20  waiting for acks
(5665|5694) pub20  waiting for acks returned
(5665|5694) pub20<-delete_contained_entities
(5665|5703) pub31  writer id: 01030000.2179fd34.00000022.00000102(8f2ef1a2)
(5665|5703) pub31->started
(5665|5703) pub31  12% (4 samples sent)
(5665|5703) pub31  21% (7 samples sent)
(5665|5703) pub31  31% (10 samples sent)
(5665|5703) pub31  40% (13 samples sent)
(5665|5703) pub31  50% (16 samples sent)
(5665|5703) pub31  62% (20 samples sent)
(5665|5703) pub31  71% (23 samples sent)
(5665|5703) pub31  81% (26 samples sent)
(5665|5703) pub31  90% (29 samples sent)
(5665|5703) pub31  100% (32 samples sent)
(5665|5703) pub31->wait_match() before write for 01030000.2179fd34.00000022.00000102(8f2ef1a2)
(5665|5673) sub condition_.notify_all
(5665|5665) sub condition_.wait returned
(5665|5665) sub check_received
(5665|5665) sub check_received returns 0
(5665|5665) <- PublisherService::end
(5665|5703) pub31<-match found! before write for 01030000.2179fd34.00000022.00000102(8f2ef1a2)
(5665|5703) pub31  waiting for acks
(5665|5703) pub31  waiting for acks returned
(5665|5703) pub31<-delete_contained_entities
(5665|5674) pub0<-delete_participant
(5665|5681) pub1<-delete_participant
(5665|5678) pub2<-delete_participant
(5665|5677) pub4<-delete_participant
(5665|5687) pub12<-delete_participant
(5665|5693) pub19<-delete_participant
(5665|5688) pub14<-delete_participant
(5665|5682) pub9<-delete_participant
(5665|5676) pub5<-delete_participant
(5665|5683) pub6<-delete_participant
(5665|5690) pub17<-delete_participant
(5665|5692) pub18<-delete_participant
(5665|5691) pub16<-delete_participant
(5665|5680) pub7<-delete_participant
(5665|5685) pub10<-delete_participant
(5665|5675) pub8<-delete_participant
(5665|5702) pub27<-delete_participant
(5665|5699) pub25<-delete_participant
(5665|5704) pub29<-delete_participant
(5665|5697) pub24<-delete_participant
(5665|5698) pub22<-delete_participant
(5665|5695) pub23<-delete_participant
(5665|5679) pub3<-delete_participant
(5665|5696) pub21<-delete_participant
(5665|5701) pub26<-delete_participant
(5665|5689) pub15<-delete_participant
(5665|5705) pub30<-delete_participant
(5665|5684) pub13<-delete_participant
(5665|5686) pub11<-delete_participant
(5665|5700) pub28<-delete_participant
(5665|5694) pub20<-delete_participant
(5665|5703) pub31<-delete_participant
(5665|5665) <- PublisherService::~PublisherService
(5665|5665) <- Subscriber delete_contained_entities
(5665|5665) <- Subscriber delete_participant
(5665|5665) <- Subscriber::~Subscriber
(5665|5665) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl high durable Time:2s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl aggressive durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 5707
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 64 -s 16 -n 1024 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 5715 started at 2023-04-26 16:13:27
(5715|5715) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(5715|5715) -> Thrasher started
(5715|5715) -> Subscriber::Subscriber
(5707|5707) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(5715|5715) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(5715|5715)    Subscriber reader id: 01030000.21dcf9cd.00000002.00000507(e97d2c9c)
(5715|5715) -> PublisherService::PublisherService
(5715|5715) -> PublisherService::start (64 threads)
(5715|5715) sub wait_received 0:64
(5715|5725) pub0  writer id: 01030000.21dcf9cd.00000003.00000102(c01b34a7)
(5715|5725) pub0->started
(5715|5725) pub0  12% (2 samples sent)
(5715|5725) pub0  25% (4 samples sent)
(5715|5725) pub0  31% (5 samples sent)
(5715|5725) pub0  43% (7 samples sent)
(5715|5725) pub0  50% (8 samples sent)
(5715|5725) pub0  62% (10 samples sent)
(5715|5725) pub0  75% (12 samples sent)
(5715|5725) pub0  81% (13 samples sent)
(5715|5725) pub0  93% (15 samples sent)
(5715|5725) pub0  100% (16 samples sent)
(5715|5725) pub0->wait_match() before write for 01030000.21dcf9cd.00000003.00000102(c01b34a7)
(5715|5725) pub0<-match found! before write for 01030000.21dcf9cd.00000003.00000102(c01b34a7)
(5715|5725) pub0  waiting for acks
(5715|5725) pub0  waiting for acks returned
(5715|5725) pub0<-delete_contained_entities
(5715|5754) pub30  writer id: 01030000.21dcf9cd.00000014.00000102(12db7f35)
(5715|5754) pub30->started
(5715|5754) pub30  12% (2 samples sent)
(5715|5754) pub30  25% (4 samples sent)
(5715|5754) pub30  31% (5 samples sent)
(5715|5754) pub30  43% (7 samples sent)
(5715|5754) pub30  50% (8 samples sent)
(5715|5754) pub30  62% (10 samples sent)
(5715|5754) pub30  75% (12 samples sent)
(5715|5754) pub30  81% (13 samples sent)
(5715|5754) pub30  93% (15 samples sent)
(5715|5754) pub30  100% (16 samples sent)
(5715|5754) pub30->wait_match() before write for 01030000.21dcf9cd.00000014.00000102(12db7f35)
(5715|5754) pub30<-match found! before write for 01030000.21dcf9cd.00000014.00000102(12db7f35)
(5715|5754) pub30  waiting for acks
(5715|5754) pub30  waiting for acks returned
(5715|5754) pub30<-delete_contained_entities
(5715|5727) pub2  writer id: 01030000.21dcf9cd.00000004.00000102(723be8b7)
(5715|5727) pub2->started
(5715|5727) pub2  12% (2 samples sent)
(5715|5727) pub2  25% (4 samples sent)
(5715|5727) pub2  31% (5 samples sent)
(5715|5727) pub2  43% (7 samples sent)
(5715|5727) pub2  50% (8 samples sent)
(5715|5727) pub2  62% (10 samples sent)
(5715|5727) pub2  75% (12 samples sent)
(5715|5727) pub2  81% (13 samples sent)
(5715|5727) pub2  93% (15 samples sent)
(5715|5727) pub2  100% (16 samples sent)
(5715|5727) pub2->wait_match() before write for 01030000.21dcf9cd.00000004.00000102(723be8b7)
(5715|5727) pub2<-match found! before write for 01030000.21dcf9cd.00000004.00000102(723be8b7)
(5715|5727) pub2  waiting for acks
(5715|5727) pub2  waiting for acks returned
(5715|5727) pub2<-delete_contained_entities
(5715|5724) pub1  writer id: 01030000.21dcf9cd.00000006.00000102(08fbbbd7)
(5715|5724) pub1->started
(5715|5724) pub1  12% (2 samples sent)
(5715|5724) pub1  25% (4 samples sent)
(5715|5724) pub1  31% (5 samples sent)
(5715|5724) pub1  43% (7 samples sent)
(5715|5724) pub1  50% (8 samples sent)
(5715|5724) pub1  62% (10 samples sent)
(5715|5724) pub1  75% (12 samples sent)
(5715|5724) pub1  81% (13 samples sent)
(5715|5724) pub1  93% (15 samples sent)
(5715|5724) pub1  100% (16 samples sent)
(5715|5724) pub1->wait_match() before write for 01030000.21dcf9cd.00000006.00000102(08fbbbd7)
(5715|5724) pub1<-match found! before write for 01030000.21dcf9cd.00000006.00000102(08fbbbd7)
(5715|5724) pub1  waiting for acks
(5715|5724) pub1  waiting for acks returned
(5715|5724) pub1<-delete_contained_entities
(5715|5743) pub19  writer id: 01030000.21dcf9cd.0000000c.00000102(424ba376)
(5715|5743) pub19->started
(5715|5743) pub19  12% (2 samples sent)
(5715|5743) pub19  25% (4 samples sent)
(5715|5743) pub19  31% (5 samples sent)
(5715|5743) pub19  43% (7 samples sent)
(5715|5743) pub19  50% (8 samples sent)
(5715|5743) pub19  62% (10 samples sent)
(5715|5743) pub19  75% (12 samples sent)
(5715|5743) pub19  81% (13 samples sent)
(5715|5743) pub19  93% (15 samples sent)
(5715|5743) pub19  100% (16 samples sent)
(5715|5743) pub19->wait_match() before write for 01030000.21dcf9cd.0000000c.00000102(424ba376)
(5715|5743) pub19<-match found! before write for 01030000.21dcf9cd.0000000c.00000102(424ba376)
(5715|5743) pub19  waiting for acks
(5715|5743) pub19  waiting for acks returned
(5715|5743) pub19<-delete_contained_entities
(5715|5738) pub7  writer id: 01030000.21dcf9cd.00000009.00000102(8aab2c06)
(5715|5738) pub7->started
(5715|5738) pub7  12% (2 samples sent)
(5715|5738) pub7  25% (4 samples sent)
(5715|5738) pub7  31% (5 samples sent)
(5715|5738) pub7  43% (7 samples sent)
(5715|5738) pub7  50% (8 samples sent)
(5715|5738) pub7  62% (10 samples sent)
(5715|5738) pub7  75% (12 samples sent)
(5715|5738) pub7  81% (13 samples sent)
(5715|5738) pub7  93% (15 samples sent)
(5715|5738) pub7  100% (16 samples sent)
(5715|5738) pub7->wait_match() before write for 01030000.21dcf9cd.00000009.00000102(8aab2c06)
(5715|5738) pub7<-match found! before write for 01030000.21dcf9cd.00000009.00000102(8aab2c06)
(5715|5738) pub7  waiting for acks
(5715|5738) pub7  waiting for acks returned
(5715|5738) pub7<-delete_contained_entities
(5715|5737) pub5  writer id: 01030000.21dcf9cd.00000005.00000102(4f5bc107)
(5715|5737) pub5->started
(5715|5737) pub5  12% (2 samples sent)
(5715|5737) pub5  25% (4 samples sent)
(5715|5737) pub5  31% (5 samples sent)
(5715|5737) pub5  43% (7 samples sent)
(5715|5737) pub5  50% (8 samples sent)
(5715|5737) pub5  62% (10 samples sent)
(5715|5737) pub5  75% (12 samples sent)
(5715|5737) pub5  81% (13 samples sent)
(5715|5737) pub5  93% (15 samples sent)
(5715|5737) pub5  100% (16 samples sent)
(5715|5737) pub5->wait_match() before write for 01030000.21dcf9cd.00000005.00000102(4f5bc107)
(5715|5737) pub5<-match found! before write for 01030000.21dcf9cd.00000005.00000102(4f5bc107)
(5715|5737) pub5  waiting for acks
(5715|5737) pub5  waiting for acks returned
(5715|5737) pub5<-delete_contained_entities
(5715|5740) pub16  writer id: 01030000.21dcf9cd.00000011.00000102(da3bf045)
(5715|5740) pub16->started
(5715|5740) pub16  12% (2 samples sent)
(5715|5740) pub16  25% (4 samples sent)
(5715|5740) pub16  31% (5 samples sent)
(5715|5740) pub16  43% (7 samples sent)
(5715|5740) pub16  50% (8 samples sent)
(5715|5740) pub16  62% (10 samples sent)
(5715|5740) pub16  75% (12 samples sent)
(5715|5740) pub16  81% (13 samples sent)
(5715|5740) pub16  93% (15 samples sent)
(5715|5740) pub16  100% (16 samples sent)
(5715|5740) pub16->wait_match() before write for 01030000.21dcf9cd.00000011.00000102(da3bf045)
(5715|5740) pub16<-match found! before write for 01030000.21dcf9cd.00000011.00000102(da3bf045)
(5715|5740) pub16  waiting for acks
(5715|5740) pub16  waiting for acks returned
(5715|5740) pub16<-delete_contained_entities
(5715|5747) pub23  writer id: 01030000.21dcf9cd.00000008.00000102(b7cb05b6)
(5715|5747) pub23->started
(5715|5747) pub23  12% (2 samples sent)
(5715|5747) pub23  25% (4 samples sent)
(5715|5747) pub23  31% (5 samples sent)
(5715|5747) pub23  43% (7 samples sent)
(5715|5747) pub23  50% (8 samples sent)
(5715|5747) pub23  62% (10 samples sent)
(5715|5747) pub23  75% (12 samples sent)
(5715|5747) pub23  81% (13 samples sent)
(5715|5747) pub23  93% (15 samples sent)
(5715|5747) pub23  100% (16 samples sent)
(5715|5747) pub23->wait_match() before write for 01030000.21dcf9cd.00000008.00000102(b7cb05b6)
(5715|5747) pub23<-match found! before write for 01030000.21dcf9cd.00000008.00000102(b7cb05b6)
(5715|5747) pub23  waiting for acks
(5715|5747) pub23  waiting for acks returned
(5715|5747) pub23<-delete_contained_entities
(5715|5735) pub14  writer id: 01030000.21dcf9cd.0000000d.00000102(7f2b8ac6)
(5715|5735) pub14->started
(5715|5735) pub14  12% (2 samples sent)
(5715|5735) pub14  25% (4 samples sent)
(5715|5735) pub14  31% (5 samples sent)
(5715|5735) pub14  43% (7 samples sent)
(5715|5735) pub14  50% (8 samples sent)
(5715|5735) pub14  62% (10 samples sent)
(5715|5735) pub14  75% (12 samples sent)
(5715|5735) pub14  81% (13 samples sent)
(5715|5735) pub14  93% (15 samples sent)
(5715|5735) pub14  100% (16 samples sent)
(5715|5735) pub14->wait_match() before write for 01030000.21dcf9cd.0000000d.00000102(7f2b8ac6)
(5715|5735) pub14<-match found! before write for 01030000.21dcf9cd.0000000d.00000102(7f2b8ac6)
(5715|5735) pub14  waiting for acks
(5715|5735) pub14  waiting for acks returned
(5715|5735) pub14<-delete_contained_entities
(5715|5736) pub8  writer id: 01030000.21dcf9cd.00000007.00000102(359b9267)
(5715|5736) pub8->started
(5715|5736) pub8  12% (2 samples sent)
(5715|5736) pub8  25% (4 samples sent)
(5715|5736) pub8  31% (5 samples sent)
(5715|5736) pub8  43% (7 samples sent)
(5715|5736) pub8  50% (8 samples sent)
(5715|5736) pub8  62% (10 samples sent)
(5715|5736) pub8  75% (12 samples sent)
(5715|5736) pub8  81% (13 samples sent)
(5715|5736) pub8  93% (15 samples sent)
(5715|5736) pub8  100% (16 samples sent)
(5715|5736) pub8->wait_match() before write for 01030000.21dcf9cd.00000007.00000102(359b9267)
(5715|5736) pub8<-match found! before write for 01030000.21dcf9cd.00000007.00000102(359b9267)
(5715|5736) pub8  waiting for acks
(5715|5736) pub8  waiting for acks returned
(5715|5736) pub8<-delete_contained_entities
(5715|5728) pub3  writer id: 01030000.21dcf9cd.0000000a.00000102(cd0b56d6)
(5715|5728) pub3->started
(5715|5728) pub3  12% (2 samples sent)
(5715|5728) pub3  25% (4 samples sent)
(5715|5728) pub3  31% (5 samples sent)
(5715|5728) pub3  43% (7 samples sent)
(5715|5728) pub3  50% (8 samples sent)
(5715|5728) pub3  62% (10 samples sent)
(5715|5728) pub3  75% (12 samples sent)
(5715|5728) pub3  81% (13 samples sent)
(5715|5728) pub3  93% (15 samples sent)
(5715|5728) pub3  100% (16 samples sent)
(5715|5728) pub3->wait_match() before write for 01030000.21dcf9cd.0000000a.00000102(cd0b56d6)
(5715|5728) pub3<-match found! before write for 01030000.21dcf9cd.0000000a.00000102(cd0b56d6)
(5715|5728) pub3  waiting for acks
(5715|5728) pub3  waiting for acks returned
(5715|5728) pub3<-delete_contained_entities
(5715|5752) pub28  writer id: 01030000.21dcf9cd.0000000e.00000102(388bf016)
(5715|5752) pub28->started
(5715|5752) pub28  12% (2 samples sent)
(5715|5752) pub28  25% (4 samples sent)
(5715|5752) pub28  31% (5 samples sent)
(5715|5752) pub28  43% (7 samples sent)
(5715|5752) pub28  50% (8 samples sent)
(5715|5752) pub28  62% (10 samples sent)
(5715|5752) pub28  75% (12 samples sent)
(5715|5752) pub28  81% (13 samples sent)
(5715|5752) pub28  93% (15 samples sent)
(5715|5752) pub28  100% (16 samples sent)
(5715|5752) pub28->wait_match() before write for 01030000.21dcf9cd.0000000e.00000102(388bf016)
(5715|5752) pub28<-match found! before write for 01030000.21dcf9cd.0000000e.00000102(388bf016)
(5715|5752) pub28  waiting for acks
(5715|5752) pub28  waiting for acks returned
(5715|5752) pub28<-delete_contained_entities
(5715|5742) pub18  writer id: 01030000.21dcf9cd.0000001b.00000102(908be8e4)
(5715|5742) pub18->started
(5715|5742) pub18  12% (2 samples sent)
(5715|5742) pub18  25% (4 samples sent)
(5715|5742) pub18  31% (5 samples sent)
(5715|5742) pub18  43% (7 samples sent)
(5715|5742) pub18  50% (8 samples sent)
(5715|5742) pub18  62% (10 samples sent)
(5715|5742) pub18  75% (12 samples sent)
(5715|5742) pub18  81% (13 samples sent)
(5715|5742) pub18  93% (15 samples sent)
(5715|5742) pub18  100% (16 samples sent)
(5715|5742) pub18->wait_match() before write for 01030000.21dcf9cd.0000001b.00000102(908be8e4)
(5715|5742) pub18<-match found! before write for 01030000.21dcf9cd.0000001b.00000102(908be8e4)
(5715|5742) pub18  waiting for acks
(5715|5742) pub18  waiting for acks returned
(5715|5742) pub18<-delete_contained_entities
(5715|5734) pub10  writer id: 01030000.21dcf9cd.0000000b.00000102(f06b7f66)
(5715|5734) pub10->started
(5715|5734) pub10  12% (2 samples sent)
(5715|5734) pub10  25% (4 samples sent)
(5715|5734) pub10  31% (5 samples sent)
(5715|5734) pub10  43% (7 samples sent)
(5715|5734) pub10  50% (8 samples sent)
(5715|5734) pub10  62% (10 samples sent)
(5715|5734) pub10  75% (12 samples sent)
(5715|5734) pub10  81% (13 samples sent)
(5715|5734) pub10  93% (15 samples sent)
(5715|5734) pub10  100% (16 samples sent)
(5715|5734) pub10->wait_match() before write for 01030000.21dcf9cd.0000000b.00000102(f06b7f66)
(5715|5734) pub10<-match found! before write for 01030000.21dcf9cd.0000000b.00000102(f06b7f66)
(5715|5734) pub10  waiting for acks
(5715|5734) pub10  waiting for acks returned
(5715|5734) pub10<-delete_contained_entities
(5715|5733) pub9  writer id: 01030000.21dcf9cd.00000019.00000102(ea4bbb84)
(5715|5733) pub9->started
(5715|5733) pub9  12% (2 samples sent)
(5715|5733) pub9  25% (4 samples sent)
(5715|5733) pub9  31% (5 samples sent)
(5715|5733) pub9  43% (7 samples sent)
(5715|5733) pub9  50% (8 samples sent)
(5715|5733) pub9  62% (10 samples sent)
(5715|5733) pub9  75% (12 samples sent)
(5715|5733) pub9  81% (13 samples sent)
(5715|5733) pub9  93% (15 samples sent)
(5715|5733) pub9  100% (16 samples sent)
(5715|5733) pub9->wait_match() before write for 01030000.21dcf9cd.00000019.00000102(ea4bbb84)
(5715|5733) pub9<-match found! before write for 01030000.21dcf9cd.00000019.00000102(ea4bbb84)
(5715|5733) pub9  waiting for acks
(5715|5733) pub9  waiting for acks returned
(5715|5733) pub9<-delete_contained_entities
(5715|5756) pub42  writer id: 01030000.21dcf9cd.00000020.00000102(467a6173)
(5715|5756) pub42->started
(5715|5756) pub42  12% (2 samples sent)
(5715|5756) pub42  25% (4 samples sent)
(5715|5756) pub42  31% (5 samples sent)
(5715|5756) pub42  43% (7 samples sent)
(5715|5756) pub42  50% (8 samples sent)
(5715|5756) pub42  62% (10 samples sent)
(5715|5756) pub42  75% (12 samples sent)
(5715|5756) pub42  81% (13 samples sent)
(5715|5756) pub42  93% (15 samples sent)
(5715|5756) pub42  100% (16 samples sent)
(5715|5756) pub42->wait_match() before write for 01030000.21dcf9cd.00000020.00000102(467a6173)
(5715|5756) pub42<-match found! before write for 01030000.21dcf9cd.00000020.00000102(467a6173)
(5715|5756) pub42  waiting for acks
(5715|5756) pub42  waiting for acks returned
(5715|5756) pub42<-delete_contained_entities
(5715|5739) pub15  writer id: 01030000.21dcf9cd.0000000f.00000102(05ebd9a6)
(5715|5739) pub15->started
(5715|5739) pub15  12% (2 samples sent)
(5715|5739) pub15  25% (4 samples sent)
(5715|5739) pub15  31% (5 samples sent)
(5715|5739) pub15  43% (7 samples sent)
(5715|5739) pub15  50% (8 samples sent)
(5715|5739) pub15  62% (10 samples sent)
(5715|5739) pub15  75% (12 samples sent)
(5715|5739) pub15  81% (13 samples sent)
(5715|5739) pub15  93% (15 samples sent)
(5715|5739) pub15  100% (16 samples sent)
(5715|5739) pub15->wait_match() before write for 01030000.21dcf9cd.0000000f.00000102(05ebd9a6)
(5715|5739) pub15<-match found! before write for 01030000.21dcf9cd.0000000f.00000102(05ebd9a6)
(5715|5739) pub15  waiting for acks
(5715|5739) pub15  waiting for acks returned
(5715|5739) pub15<-delete_contained_entities
(5715|5732) pub11  writer id: 01030000.21dcf9cd.0000001d.00000102(1fcb1d44)
(5715|5732) pub11->started
(5715|5732) pub11  12% (2 samples sent)
(5715|5732) pub11  25% (4 samples sent)
(5715|5732) pub11  31% (5 samples sent)
(5715|5732) pub11  43% (7 samples sent)
(5715|5732) pub11  50% (8 samples sent)
(5715|5732) pub11  62% (10 samples sent)
(5715|5732) pub11  75% (12 samples sent)
(5715|5732) pub11  81% (13 samples sent)
(5715|5732) pub11  93% (15 samples sent)
(5715|5732) pub11  100% (16 samples sent)
(5715|5732) pub11->wait_match() before write for 01030000.21dcf9cd.0000001d.00000102(1fcb1d44)
(5715|5732) pub11<-match found! before write for 01030000.21dcf9cd.0000001d.00000102(1fcb1d44)
(5715|5732) pub11  waiting for acks
(5715|5732) pub11  waiting for acks returned
(5715|5732) pub11<-delete_contained_entities
(5715|5767) pub36  writer id: 01030000.21dcf9cd.0000001c.00000102(22ab34f4)
(5715|5767) pub36->started
(5715|5767) pub36  12% (2 samples sent)
(5715|5767) pub36  25% (4 samples sent)
(5715|5767) pub36  31% (5 samples sent)
(5715|5767) pub36  43% (7 samples sent)
(5715|5767) pub36  50% (8 samples sent)
(5715|5767) pub36  62% (10 samples sent)
(5715|5767) pub36  75% (12 samples sent)
(5715|5767) pub36  81% (13 samples sent)
(5715|5767) pub36  93% (15 samples sent)
(5715|5767) pub36  100% (16 samples sent)
(5715|5767) pub36->wait_match() before write for 01030000.21dcf9cd.0000001c.00000102(22ab34f4)
(5715|5767) pub36<-match found! before write for 01030000.21dcf9cd.0000001c.00000102(22ab34f4)
(5715|5767) pub36  waiting for acks
(5715|5767) pub36  waiting for acks returned
(5715|5767) pub36<-delete_contained_entities
(5715|5755) pub33  writer id: 01030000.21dcf9cd.00000018.00000102(d72b9234)
(5715|5755) pub33->started
(5715|5755) pub33  12% (2 samples sent)
(5715|5755) pub33  25% (4 samples sent)
(5715|5755) pub33  31% (5 samples sent)
(5715|5755) pub33  43% (7 samples sent)
(5715|5755) pub33  50% (8 samples sent)
(5715|5755) pub33  62% (10 samples sent)
(5715|5755) pub33  75% (12 samples sent)
(5715|5755) pub33  81% (13 samples sent)
(5715|5755) pub33  93% (15 samples sent)
(5715|5755) pub33  100% (16 samples sent)
(5715|5755) pub33->wait_match() before write for 01030000.21dcf9cd.00000018.00000102(d72b9234)
(5715|5755) pub33<-match found! before write for 01030000.21dcf9cd.00000018.00000102(d72b9234)
(5715|5755) pub33  waiting for acks
(5715|5755) pub33  waiting for acks returned
(5715|5755) pub33<-delete_contained_entities
(5715|5729) pub12  writer id: 01030000.21dcf9cd.0000001f.00000102(650b4e24)
(5715|5729) pub12->started
(5715|5729) pub12  12% (2 samples sent)
(5715|5729) pub12  25% (4 samples sent)
(5715|5729) pub12  31% (5 samples sent)
(5715|5729) pub12  43% (7 samples sent)
(5715|5729) pub12  50% (8 samples sent)
(5715|5729) pub12  62% (10 samples sent)
(5715|5729) pub12  75% (12 samples sent)
(5715|5729) pub12  81% (13 samples sent)
(5715|5729) pub12  93% (15 samples sent)
(5715|5729) pub12  100% (16 samples sent)
(5715|5729) pub12->wait_match() before write for 01030000.21dcf9cd.0000001f.00000102(650b4e24)
(5715|5729) pub12<-match found! before write for 01030000.21dcf9cd.0000001f.00000102(650b4e24)
(5715|5729) pub12  waiting for acks
(5715|5729) pub12  waiting for acks returned
(5715|5729) pub12<-delete_contained_entities
(5715|5758) pub32  writer id: 01030000.21dcf9cd.0000001a.00000102(adebc154)
(5715|5758) pub32->started
(5715|5758) pub32  12% (2 samples sent)
(5715|5758) pub32  25% (4 samples sent)
(5715|5758) pub32  31% (5 samples sent)
(5715|5758) pub32  43% (7 samples sent)
(5715|5758) pub32  50% (8 samples sent)
(5715|5758) pub32  62% (10 samples sent)
(5715|5758) pub32  75% (12 samples sent)
(5715|5758) pub32  81% (13 samples sent)
(5715|5758) pub32  93% (15 samples sent)
(5715|5758) pub32  100% (16 samples sent)
(5715|5758) pub32->wait_match() before write for 01030000.21dcf9cd.0000001a.00000102(adebc154)
(5715|5758) pub32<-match found! before write for 01030000.21dcf9cd.0000001a.00000102(adebc154)
(5715|5758) pub32  waiting for acks
(5715|5758) pub32  waiting for acks returned
(5715|5758) pub32<-delete_contained_entities
(5715|5762) pub38  writer id: 01030000.21dcf9cd.0000001e.00000102(586b6794)
(5715|5762) pub38->started
(5715|5762) pub38  12% (2 samples sent)
(5715|5762) pub38  25% (4 samples sent)
(5715|5762) pub38  31% (5 samples sent)
(5715|5762) pub38  43% (7 samples sent)
(5715|5762) pub38  50% (8 samples sent)
(5715|5762) pub38  62% (10 samples sent)
(5715|5762) pub38  75% (12 samples sent)
(5715|5762) pub38  81% (13 samples sent)
(5715|5762) pub38  93% (15 samples sent)
(5715|5762) pub38  100% (16 samples sent)
(5715|5762) pub38->wait_match() before write for 01030000.21dcf9cd.0000001e.00000102(586b6794)
(5715|5762) pub38<-match found! before write for 01030000.21dcf9cd.0000001e.00000102(586b6794)
(5715|5762) pub38  waiting for acks
(5715|5762) pub38  waiting for acks returned
(5715|5762) pub38<-delete_contained_entities
(5715|5759) pub43  writer id: 01030000.21dcf9cd.00000026.00000102(c93a94d3)
(5715|5759) pub43->started
(5715|5759) pub43  12% (2 samples sent)
(5715|5759) pub43  25% (4 samples sent)
(5715|5759) pub43  31% (5 samples sent)
(5715|5759) pub43  43% (7 samples sent)
(5715|5759) pub43  50% (8 samples sent)
(5715|5759) pub43  62% (10 samples sent)
(5715|5759) pub43  75% (12 samples sent)
(5715|5759) pub43  81% (13 samples sent)
(5715|5759) pub43  93% (15 samples sent)
(5715|5759) pub43  100% (16 samples sent)
(5715|5759) pub43->wait_match() before write for 01030000.21dcf9cd.00000026.00000102(c93a94d3)
(5715|5759) pub43<-match found! before write for 01030000.21dcf9cd.00000026.00000102(c93a94d3)
(5715|5759) pub43  waiting for acks
(5715|5759) pub43  waiting for acks returned
(5715|5759) pub43<-delete_contained_entities
(5715|5746) pub22  writer id: 01030000.21dcf9cd.00000023.00000102(01da1ba3)
(5715|5746) pub22->started
(5715|5746) pub22  12% (2 samples sent)
(5715|5746) pub22  25% (4 samples sent)
(5715|5746) pub22  31% (5 samples sent)
(5715|5746) pub22  43% (7 samples sent)
(5715|5746) pub22  50% (8 samples sent)
(5715|5746) pub22  62% (10 samples sent)
(5715|5746) pub22  75% (12 samples sent)
(5715|5746) pub22  81% (13 samples sent)
(5715|5746) pub22  93% (15 samples sent)
(5715|5746) pub22  100% (16 samples sent)
(5715|5746) pub22->wait_match() before write for 01030000.21dcf9cd.00000023.00000102(01da1ba3)
(5715|5746) pub22<-match found! before write for 01030000.21dcf9cd.00000023.00000102(01da1ba3)
(5715|5746) pub22  waiting for acks
(5715|5746) pub22  waiting for acks returned
(5715|5746) pub22<-delete_contained_entities
(5715|5770) pub40  writer id: 01030000.21dcf9cd.00000022.00000102(3cba3213)
(5715|5770) pub40->started
(5715|5770) pub40  12% (2 samples sent)
(5715|5770) pub40  25% (4 samples sent)
(5715|5770) pub40  31% (5 samples sent)
(5715|5770) pub40  43% (7 samples sent)
(5715|5770) pub40  50% (8 samples sent)
(5715|5770) pub40  62% (10 samples sent)
(5715|5770) pub40  75% (12 samples sent)
(5715|5770) pub40  81% (13 samples sent)
(5715|5770) pub40  93% (15 samples sent)
(5715|5770) pub40  100% (16 samples sent)
(5715|5770) pub40->wait_match() before write for 01030000.21dcf9cd.00000022.00000102(3cba3213)
(5715|5770) pub40<-match found! before write for 01030000.21dcf9cd.00000022.00000102(3cba3213)
(5715|5770) pub40  waiting for acks
(5715|5770) pub40  waiting for acks returned
(5715|5770) pub40<-delete_contained_entities
(5715|5745) pub21  writer id: 01030000.21dcf9cd.00000021.00000102(7b1a48c3)
(5715|5745) pub21->started
(5715|5745) pub21  12% (2 samples sent)
(5715|5745) pub21  25% (4 samples sent)
(5715|5745) pub21  31% (5 samples sent)
(5715|5745) pub21  43% (7 samples sent)
(5715|5745) pub21  50% (8 samples sent)
(5715|5745) pub21  62% (10 samples sent)
(5715|5745) pub21  75% (12 samples sent)
(5715|5745) pub21  81% (13 samples sent)
(5715|5745) pub21  93% (15 samples sent)
(5715|5745) pub21  100% (16 samples sent)
(5715|5745) pub21->wait_match() before write for 01030000.21dcf9cd.00000021.00000102(7b1a48c3)
(5715|5745) pub21<-match found! before write for 01030000.21dcf9cd.00000021.00000102(7b1a48c3)
(5715|5745) pub21  waiting for acks
(5715|5745) pub21  waiting for acks returned
(5715|5745) pub21<-delete_contained_entities
(5715|5761) pub41  writer id: 01030000.21dcf9cd.00000024.00000102(b3fac7b3)
(5715|5761) pub41->started
(5715|5761) pub41  12% (2 samples sent)
(5715|5761) pub41  25% (4 samples sent)
(5715|5761) pub41  31% (5 samples sent)
(5715|5761) pub41  43% (7 samples sent)
(5715|5761) pub41  50% (8 samples sent)
(5715|5761) pub41  62% (10 samples sent)
(5715|5761) pub41  75% (12 samples sent)
(5715|5761) pub41  81% (13 samples sent)
(5715|5761) pub41  93% (15 samples sent)
(5715|5761) pub41  100% (16 samples sent)
(5715|5761) pub41->wait_match() before write for 01030000.21dcf9cd.00000024.00000102(b3fac7b3)
(5715|5761) pub41<-match found! before write for 01030000.21dcf9cd.00000024.00000102(b3fac7b3)
(5715|5761) pub41  waiting for acks
(5715|5761) pub41  waiting for acks returned
(5715|5761) pub41<-delete_contained_entities
(5715|5730) pub6  writer id: 01030000.21dcf9cd.00000017.00000102(557b05e5)
(5715|5730) pub6->started
(5715|5730) pub6  12% (2 samples sent)
(5715|5730) pub6  25% (4 samples sent)
(5715|5730) pub6  31% (5 samples sent)
(5715|5730) pub6  43% (7 samples sent)
(5715|5730) pub6  50% (8 samples sent)
(5715|5730) pub6  62% (10 samples sent)
(5715|5730) pub6  75% (12 samples sent)
(5715|5730) pub6  81% (13 samples sent)
(5715|5730) pub6  93% (15 samples sent)
(5715|5730) pub6  100% (16 samples sent)
(5715|5730) pub6->wait_match() before write for 01030000.21dcf9cd.00000017.00000102(557b05e5)
(5715|5730) pub6<-match found! before write for 01030000.21dcf9cd.00000017.00000102(557b05e5)
(5715|5730) pub6  waiting for acks
(5715|5730) pub6  waiting for acks returned
(5715|5730) pub6<-delete_contained_entities
(5715|5749) pub25  writer id: 01030000.21dcf9cd.00000016.00000102(681b2c55)
(5715|5749) pub25->started
(5715|5749) pub25  12% (2 samples sent)
(5715|5749) pub25  25% (4 samples sent)
(5715|5749) pub25  31% (5 samples sent)
(5715|5749) pub25  43% (7 samples sent)
(5715|5749) pub25  50% (8 samples sent)
(5715|5749) pub25  62% (10 samples sent)
(5715|5749) pub25  75% (12 samples sent)
(5715|5749) pub25  81% (13 samples sent)
(5715|5749) pub25  93% (15 samples sent)
(5715|5749) pub25  100% (16 samples sent)
(5715|5749) pub25->wait_match() before write for 01030000.21dcf9cd.00000016.00000102(681b2c55)
(5715|5749) pub25<-match found! before write for 01030000.21dcf9cd.00000016.00000102(681b2c55)
(5715|5749) pub25  waiting for acks
(5715|5749) pub25  waiting for acks returned
(5715|5749) pub25<-delete_contained_entities
(5715|5753) pub29  writer id: 01030000.21dcf9cd.0000002b.00000102(31aa5062)
(5715|5753) pub29->started
(5715|5753) pub29  12% (2 samples sent)
(5715|5753) pub29  25% (4 samples sent)
(5715|5753) pub29  31% (5 samples sent)
(5715|5753) pub29  43% (7 samples sent)
(5715|5753) pub29  50% (8 samples sent)
(5715|5753) pub29  62% (10 samples sent)
(5715|5753) pub29  75% (12 samples sent)
(5715|5753) pub29  81% (13 samples sent)
(5715|5753) pub29  93% (15 samples sent)
(5715|5753) pub29  100% (16 samples sent)
(5715|5753) pub29->wait_match() before write for 01030000.21dcf9cd.0000002b.00000102(31aa5062)
(5715|5753) pub29<-match found! before write for 01030000.21dcf9cd.0000002b.00000102(31aa5062)
(5715|5753) pub29  waiting for acks
(5715|5753) pub29  waiting for acks returned
(5715|5753) pub29<-delete_contained_entities
(5715|5769) pub48  writer id: 01030000.21dcf9cd.00000036.00000102(a9da0351)
(5715|5769) pub48->started
(5715|5769) pub48  12% (2 samples sent)
(5715|5769) pub48  25% (4 samples sent)
(5715|5769) pub48  31% (5 samples sent)
(5715|5769) pub48  43% (7 samples sent)
(5715|5769) pub48  50% (8 samples sent)
(5715|5769) pub48  62% (10 samples sent)
(5715|5769) pub48  75% (12 samples sent)
(5715|5769) pub48  81% (13 samples sent)
(5715|5769) pub48  93% (15 samples sent)
(5715|5769) pub48  100% (16 samples sent)
(5715|5769) pub48->wait_match() before write for 01030000.21dcf9cd.00000036.00000102(a9da0351)
(5715|5769) pub48<-match found! before write for 01030000.21dcf9cd.00000036.00000102(a9da0351)
(5715|5769) pub48  waiting for acks
(5715|5769) pub48  waiting for acks returned
(5715|5769) pub48<-delete_contained_entities
(5715|5771) pub39  writer id: 01030000.21dcf9cd.00000031.00000102(1bfadf41)
(5715|5771) pub39->started
(5715|5771) pub39  12% (2 samples sent)
(5715|5771) pub39  25% (4 samples sent)
(5715|5771) pub39  31% (5 samples sent)
(5715|5771) pub39  43% (7 samples sent)
(5715|5771) pub39  50% (8 samples sent)
(5715|5771) pub39  62% (10 samples sent)
(5715|5771) pub39  75% (12 samples sent)
(5715|5771) pub39  81% (13 samples sent)
(5715|5771) pub39  93% (15 samples sent)
(5715|5771) pub39  100% (16 samples sent)
(5715|5771) pub39->wait_match() before write for 01030000.21dcf9cd.00000031.00000102(1bfadf41)
(5715|5771) pub39<-match found! before write for 01030000.21dcf9cd.00000031.00000102(1bfadf41)
(5715|5771) pub39  waiting for acks
(5715|5771) pub39  waiting for acks returned
(5715|5771) pub39<-delete_contained_entities
(5715|5760) pub34  writer id: 01030000.21dcf9cd.0000002e.00000102(f94adf12)
(5715|5760) pub34->started
(5715|5760) pub34  12% (2 samples sent)
(5715|5760) pub34  25% (4 samples sent)
(5715|5760) pub34  31% (5 samples sent)
(5715|5760) pub34  43% (7 samples sent)
(5715|5760) pub34  50% (8 samples sent)
(5715|5760) pub34  62% (10 samples sent)
(5715|5760) pub34  75% (12 samples sent)
(5715|5760) pub34  81% (13 samples sent)
(5715|5760) pub34  93% (15 samples sent)
(5715|5760) pub34  100% (16 samples sent)
(5715|5760) pub34->wait_match() before write for 01030000.21dcf9cd.0000002e.00000102(f94adf12)
(5715|5760) pub34<-match found! before write for 01030000.21dcf9cd.0000002e.00000102(f94adf12)
(5715|5760) pub34  waiting for acks
(5715|5760) pub34  waiting for acks returned
(5715|5760) pub34<-delete_contained_entities
(5715|5775) pub53  writer id: 01030000.21dcf9cd.0000003a.00000102(6c2aee50)
(5715|5775) pub53->started
(5715|5775) pub53  12% (2 samples sent)
(5715|5775) pub53  25% (4 samples sent)
(5715|5775) pub53  31% (5 samples sent)
(5715|5775) pub53  43% (7 samples sent)
(5715|5775) pub53  50% (8 samples sent)
(5715|5775) pub53  62% (10 samples sent)
(5715|5775) pub53  75% (12 samples sent)
(5715|5775) pub53  81% (13 samples sent)
(5715|5775) pub53  93% (15 samples sent)
(5715|5775) pub53  100% (16 samples sent)
(5715|5775) pub53->wait_match() before write for 01030000.21dcf9cd.0000003a.00000102(6c2aee50)
(5715|5775) pub53<-match found! before write for 01030000.21dcf9cd.0000003a.00000102(6c2aee50)
(5715|5775) pub53  waiting for acks
(5715|5775) pub53  waiting for acks returned
(5715|5775) pub53<-delete_contained_entities
(5715|5773) pub51  writer id: 01030000.21dcf9cd.00000038.00000102(16eabd30)
(5715|5773) pub51->started
(5715|5773) pub51  12% (2 samples sent)
(5715|5773) pub51  25% (4 samples sent)
(5715|5773) pub51  31% (5 samples sent)
(5715|5773) pub51  43% (7 samples sent)
(5715|5773) pub51  50% (8 samples sent)
(5715|5773) pub51  62% (10 samples sent)
(5715|5773) pub51  75% (12 samples sent)
(5715|5773) pub51  81% (13 samples sent)
(5715|5773) pub51  93% (15 samples sent)
(5715|5773) pub51  100% (16 samples sent)
(5715|5773) pub51->wait_match() before write for 01030000.21dcf9cd.00000038.00000102(16eabd30)
(5715|5773) pub51<-match found! before write for 01030000.21dcf9cd.00000038.00000102(16eabd30)
(5715|5773) pub51  waiting for acks
(5715|5773) pub51  waiting for acks returned
(5715|5773) pub51<-delete_contained_entities
(5715|5757) pub31  writer id: 01030000.21dcf9cd.0000002d.00000102(beeaa5c2)
(5715|5757) pub31->started
(5715|5757) pub31  12% (2 samples sent)
(5715|5757) pub31  25% (4 samples sent)
(5715|5757) pub31  31% (5 samples sent)
(5715|5757) pub31  43% (7 samples sent)
(5715|5757) pub31  50% (8 samples sent)
(5715|5757) pub31  62% (10 samples sent)
(5715|5757) pub31  75% (12 samples sent)
(5715|5757) pub31  81% (13 samples sent)
(5715|5757) pub31  93% (15 samples sent)
(5715|5757) pub31  100% (16 samples sent)
(5715|5757) pub31->wait_match() before write for 01030000.21dcf9cd.0000002d.00000102(beeaa5c2)
(5715|5757) pub31<-match found! before write for 01030000.21dcf9cd.0000002d.00000102(beeaa5c2)
(5715|5757) pub31  waiting for acks
(5715|5757) pub31  waiting for acks returned
(5715|5757) pub31<-delete_contained_entities
(5715|5780) pub54  writer id: 01030000.21dcf9cd.0000002a.00000102(0cca79d2)
(5715|5780) pub54->started
(5715|5780) pub54  12% (2 samples sent)
(5715|5780) pub54  25% (4 samples sent)
(5715|5780) pub54  31% (5 samples sent)
(5715|5780) pub54  43% (7 samples sent)
(5715|5780) pub54  50% (8 samples sent)
(5715|5780) pub54  62% (10 samples sent)
(5715|5780) pub54  75% (12 samples sent)
(5715|5780) pub54  81% (13 samples sent)
(5715|5780) pub54  93% (15 samples sent)
(5715|5780) pub54  100% (16 samples sent)
(5715|5780) pub54->wait_match() before write for 01030000.21dcf9cd.0000002a.00000102(0cca79d2)
(5715|5780) pub54<-match found! before write for 01030000.21dcf9cd.0000002a.00000102(0cca79d2)
(5715|5780) pub54  waiting for acks
(5715|5780) pub54  waiting for acks returned
(5715|5780) pub54<-delete_contained_entities
(5715|5778) pub56  writer id: 01030000.21dcf9cd.0000003c.00000102(e36a1bf0)
(5715|5778) pub56->started
(5715|5778) pub56  12% (2 samples sent)
(5715|5778) pub56  25% (4 samples sent)
(5715|5778) pub56  31% (5 samples sent)
(5715|5778) pub56  43% (7 samples sent)
(5715|5778) pub56  50% (8 samples sent)
(5715|5778) pub56  62% (10 samples sent)
(5715|5778) pub56  75% (12 samples sent)
(5715|5778) pub56  81% (13 samples sent)
(5715|5778) pub56  93% (15 samples sent)
(5715|5778) pub56  100% (16 samples sent)
(5715|5778) pub56->wait_match() before write for 01030000.21dcf9cd.0000003c.00000102(e36a1bf0)
(5715|5778) pub56<-match found! before write for 01030000.21dcf9cd.0000003c.00000102(e36a1bf0)
(5715|5778) pub56  waiting for acks
(5715|5778) pub56  waiting for acks returned
(5715|5778) pub56<-delete_contained_entities
(5715|5787) pub49  writer id: 01030000.21dcf9cd.00000028.00000102(760a2ab2)
(5715|5787) pub49->started
(5715|5787) pub49  12% (2 samples sent)
(5715|5787) pub49  25% (4 samples sent)
(5715|5787) pub49  31% (5 samples sent)
(5715|5787) pub49  43% (7 samples sent)
(5715|5787) pub49  50% (8 samples sent)
(5715|5787) pub49  62% (10 samples sent)
(5715|5787) pub49  75% (12 samples sent)
(5715|5787) pub49  81% (13 samples sent)
(5715|5787) pub49  93% (15 samples sent)
(5715|5787) pub49  100% (16 samples sent)
(5715|5787) pub49->wait_match() before write for 01030000.21dcf9cd.00000028.00000102(760a2ab2)
(5715|5787) pub49<-match found! before write for 01030000.21dcf9cd.00000028.00000102(760a2ab2)
(5715|5787) pub49  waiting for acks
(5715|5787) pub49  waiting for acks returned
(5715|5787) pub49<-delete_contained_entities
(5715|5772) pub50  writer id: 01030000.21dcf9cd.00000037.00000102(94ba2ae1)
(5715|5772) pub50->started
(5715|5772) pub50  12% (2 samples sent)
(5715|5772) pub50  25% (4 samples sent)
(5715|5772) pub50  31% (5 samples sent)
(5715|5772) pub50  43% (7 samples sent)
(5715|5772) pub50  50% (8 samples sent)
(5715|5772) pub50  62% (10 samples sent)
(5715|5772) pub50  75% (12 samples sent)
(5715|5772) pub50  81% (13 samples sent)
(5715|5772) pub50  93% (15 samples sent)
(5715|5772) pub50  100% (16 samples sent)
(5715|5772) pub50->wait_match() before write for 01030000.21dcf9cd.00000037.00000102(94ba2ae1)
(5715|5772) pub50<-match found! before write for 01030000.21dcf9cd.00000037.00000102(94ba2ae1)
(5715|5772) pub50  waiting for acks
(5715|5772) pub50  waiting for acks returned
(5715|5772) pub50<-delete_contained_entities
(5715|5784) pub61  writer id: 01030000.21dcf9cd.00000040.00000102(df48163e)
(5715|5784) pub61->started
(5715|5784) pub61  12% (2 samples sent)
(5715|5784) pub61  25% (4 samples sent)
(5715|5784) pub61  31% (5 samples sent)
(5715|5784) pub61  43% (7 samples sent)
(5715|5784) pub61  50% (8 samples sent)
(5715|5784) pub61  62% (10 samples sent)
(5715|5784) pub61  75% (12 samples sent)
(5715|5784) pub61  81% (13 samples sent)
(5715|5784) pub61  93% (15 samples sent)
(5715|5784) pub61  100% (16 samples sent)
(5715|5784) pub61->wait_match() before write for 01030000.21dcf9cd.00000040.00000102(df48163e)
(5715|5784) pub61<-match found! before write for 01030000.21dcf9cd.00000040.00000102(df48163e)
(5715|5784) pub61  waiting for acks
(5715|5784) pub61  waiting for acks returned
(5715|5784) pub61<-delete_contained_entities
(5715|5783) pub60  writer id: 01030000.21dcf9cd.0000003f.00000102(a4ca6120)
(5715|5783) pub60->started
(5715|5783) pub60  12% (2 samples sent)
(5715|5783) pub60  25% (4 samples sent)
(5715|5783) pub60  31% (5 samples sent)
(5715|5783) pub60  43% (7 samples sent)
(5715|5783) pub60  50% (8 samples sent)
(5715|5783) pub60  62% (10 samples sent)
(5715|5783) pub60  75% (12 samples sent)
(5715|5783) pub60  81% (13 samples sent)
(5715|5783) pub60  93% (15 samples sent)
(5715|5783) pub60  100% (16 samples sent)
(5715|5783) pub60->wait_match() before write for 01030000.21dcf9cd.0000003f.00000102(a4ca6120)
(5715|5783) pub60<-match found! before write for 01030000.21dcf9cd.0000003f.00000102(a4ca6120)
(5715|5783) pub60  waiting for acks
(5715|5783) pub60  waiting for acks returned
(5715|5783) pub60<-delete_contained_entities
(5715|5765) pub46  writer id: 01030000.21dcf9cd.00000034.00000102(d31a5031)
(5715|5765) pub46->started
(5715|5765) pub46  12% (2 samples sent)
(5715|5765) pub46  25% (4 samples sent)
(5715|5765) pub46  31% (5 samples sent)
(5715|5765) pub46  43% (7 samples sent)
(5715|5765) pub46  50% (8 samples sent)
(5715|5765) pub46  62% (10 samples sent)
(5715|5765) pub46  75% (12 samples sent)
(5715|5765) pub46  81% (13 samples sent)
(5715|5765) pub46  93% (15 samples sent)
(5715|5765) pub46  100% (16 samples sent)
(5715|5765) pub46->wait_match() before write for 01030000.21dcf9cd.00000034.00000102(d31a5031)
(5715|5765) pub46<-match found! before write for 01030000.21dcf9cd.00000034.00000102(d31a5031)
(5715|5765) pub46  waiting for acks
(5715|5765) pub46  waiting for acks returned
(5715|5765) pub46<-delete_contained_entities
(5715|5782) pub47  writer id: 01030000.21dcf9cd.00000035.00000102(ee7a7981)
(5715|5782) pub47->started
(5715|5782) pub47  12% (2 samples sent)
(5715|5782) pub47  25% (4 samples sent)
(5715|5782) pub47  31% (5 samples sent)
(5715|5782) pub47  43% (7 samples sent)
(5715|5782) pub47  50% (8 samples sent)
(5715|5782) pub47  62% (10 samples sent)
(5715|5782) pub47  75% (12 samples sent)
(5715|5782) pub47  81% (13 samples sent)
(5715|5782) pub47  93% (15 samples sent)
(5715|5782) pub47  100% (16 samples sent)
(5715|5782) pub47->wait_match() before write for 01030000.21dcf9cd.00000035.00000102(ee7a7981)
(5715|5782) pub47<-match found! before write for 01030000.21dcf9cd.00000035.00000102(ee7a7981)
(5715|5782) pub47  waiting for acks
(5715|5782) pub47  waiting for acks returned
(5715|5782) pub47<-delete_contained_entities
(5715|5751) pub27  writer id: 01030000.21dcf9cd.00000027.00000102(f45abd63)
(5715|5751) pub27->started
(5715|5751) pub27  12% (2 samples sent)
(5715|5751) pub27  25% (4 samples sent)
(5715|5751) pub27  31% (5 samples sent)
(5715|5751) pub27  43% (7 samples sent)
(5715|5751) pub27  50% (8 samples sent)
(5715|5751) pub27  62% (10 samples sent)
(5715|5751) pub27  75% (12 samples sent)
(5715|5751) pub27  81% (13 samples sent)
(5715|5751) pub27  93% (15 samples sent)
(5715|5751) pub27  100% (16 samples sent)
(5715|5751) pub27->wait_match() before write for 01030000.21dcf9cd.00000027.00000102(f45abd63)
(5715|5751) pub27<-match found! before write for 01030000.21dcf9cd.00000027.00000102(f45abd63)
(5715|5751) pub27  waiting for acks
(5715|5751) pub27  waiting for acks returned
(5715|5751) pub27<-delete_contained_entities
(5715|5785) pub62  writer id: 01030000.21dcf9cd.00000041.00000102(e2283f8e)
(5715|5785) pub62->started
(5715|5785) pub62  12% (2 samples sent)
(5715|5785) pub62  25% (4 samples sent)
(5715|5785) pub62  31% (5 samples sent)
(5715|5785) pub62  43% (7 samples sent)
(5715|5785) pub62  50% (8 samples sent)
(5715|5785) pub62  62% (10 samples sent)
(5715|5785) pub62  75% (12 samples sent)
(5715|5785) pub62  81% (13 samples sent)
(5715|5785) pub62  93% (15 samples sent)
(5715|5785) pub62  100% (16 samples sent)
(5715|5785) pub62->wait_match() before write for 01030000.21dcf9cd.00000041.00000102(e2283f8e)
(5715|5785) pub62<-match found! before write for 01030000.21dcf9cd.00000041.00000102(e2283f8e)
(5715|5785) pub62  waiting for acks
(5715|5785) pub62  waiting for acks returned
(5715|5785) pub62<-delete_contained_entities
(5715|5768) pub37  writer id: 01030000.21dcf9cd.00000030.00000102(269af6f1)
(5715|5768) pub37->started
(5715|5768) pub37  12% (2 samples sent)
(5715|5768) pub37  25% (4 samples sent)
(5715|5768) pub37  31% (5 samples sent)
(5715|5768) pub37  43% (7 samples sent)
(5715|5768) pub37  50% (8 samples sent)
(5715|5768) pub37  62% (10 samples sent)
(5715|5768) pub37  75% (12 samples sent)
(5715|5768) pub37  81% (13 samples sent)
(5715|5768) pub37  93% (15 samples sent)
(5715|5768) pub37  100% (16 samples sent)
(5715|5768) pub37->wait_match() before write for 01030000.21dcf9cd.00000030.00000102(269af6f1)
(5715|5768) pub37<-match found! before write for 01030000.21dcf9cd.00000030.00000102(269af6f1)
(5715|5768) pub37  waiting for acks
(5715|5768) pub37  waiting for acks returned
(5715|5768) pub37<-delete_contained_entities
(5715|5766) pub45  writer id: 01030000.21dcf9cd.00000033.00000102(613a8c21)
(5715|5766) pub45->started
(5715|5766) pub45  12% (2 samples sent)
(5715|5766) pub45  25% (4 samples sent)
(5715|5766) pub45  31% (5 samples sent)
(5715|5766) pub45  43% (7 samples sent)
(5715|5766) pub45  50% (8 samples sent)
(5715|5766) pub45  62% (10 samples sent)
(5715|5766) pub45  75% (12 samples sent)
(5715|5766) pub45  81% (13 samples sent)
(5715|5766) pub45  93% (15 samples sent)
(5715|5766) pub45  100% (16 samples sent)
(5715|5766) pub45->wait_match() before write for 01030000.21dcf9cd.00000033.00000102(613a8c21)
(5715|5766) pub45<-match found! before write for 01030000.21dcf9cd.00000033.00000102(613a8c21)
(5715|5766) pub45  waiting for acks
(5715|5766) pub45  waiting for acks returned
(5715|5766) pub45<-delete_contained_entities
(5715|5781) pub58  writer id: 01030000.21dcf9cd.0000003d.00000102(de0a3240)
(5715|5781) pub58->started
(5715|5781) pub58  12% (2 samples sent)
(5715|5781) pub58  25% (4 samples sent)
(5715|5781) pub58  31% (5 samples sent)
(5715|5781) pub58  43% (7 samples sent)
(5715|5781) pub58  50% (8 samples sent)
(5715|5781) pub58  62% (10 samples sent)
(5715|5781) pub58  75% (12 samples sent)
(5715|5781) pub58  81% (13 samples sent)
(5715|5781) pub58  93% (15 samples sent)
(5715|5781) pub58  100% (16 samples sent)
(5715|5781) pub58->wait_match() before write for 01030000.21dcf9cd.0000003d.00000102(de0a3240)
(5715|5781) pub58<-match found! before write for 01030000.21dcf9cd.0000003d.00000102(de0a3240)
(5715|5781) pub58  waiting for acks
(5715|5781) pub58  waiting for acks returned
(5715|5781) pub58<-delete_contained_entities
(5715|5750) pub26  writer id: 01030000.21dcf9cd.00000029.00000102(4b6a0302)
(5715|5750) pub26->started
(5715|5750) pub26  12% (2 samples sent)
(5715|5750) pub26  25% (4 samples sent)
(5715|5750) pub26  31% (5 samples sent)
(5715|5750) pub26  43% (7 samples sent)
(5715|5750) pub26  50% (8 samples sent)
(5715|5750) pub26  62% (10 samples sent)
(5715|5750) pub26  75% (12 samples sent)
(5715|5750) pub26  81% (13 samples sent)
(5715|5750) pub26  93% (15 samples sent)
(5715|5750) pub26  100% (16 samples sent)
(5715|5750) pub26->wait_match() before write for 01030000.21dcf9cd.00000029.00000102(4b6a0302)
(5715|5750) pub26<-match found! before write for 01030000.21dcf9cd.00000029.00000102(4b6a0302)
(5715|5750) pub26  waiting for acks
(5715|5750) pub26  waiting for acks returned
(5715|5750) pub26<-delete_contained_entities
(5715|5748) pub24  writer id: 01030000.21dcf9cd.00000025.00000102(8e9aee03)
(5715|5748) pub24->started
(5715|5748) pub24  12% (2 samples sent)
(5715|5748) pub24  25% (4 samples sent)
(5715|5748) pub24  31% (5 samples sent)
(5715|5748) pub24  43% (7 samples sent)
(5715|5748) pub24  50% (8 samples sent)
(5715|5748) pub24  62% (10 samples sent)
(5715|5748) pub24  75% (12 samples sent)
(5715|5748) pub24  81% (13 samples sent)
(5715|5748) pub24  93% (15 samples sent)
(5715|5748) pub24  100% (16 samples sent)
(5715|5748) pub24->wait_match() before write for 01030000.21dcf9cd.00000025.00000102(8e9aee03)
(5715|5748) pub24<-match found! before write for 01030000.21dcf9cd.00000025.00000102(8e9aee03)
(5715|5748) pub24  waiting for acks
(5715|5748) pub24  waiting for acks returned
(5715|5748) pub24<-delete_contained_entities
(5715|5777) pub55  writer id: 01030000.21dcf9cd.0000003b.00000102(514ac7e0)
(5715|5777) pub55->started
(5715|5777) pub55  12% (2 samples sent)
(5715|5777) pub55  25% (4 samples sent)
(5715|5777) pub55  31% (5 samples sent)
(5715|5777) pub55  43% (7 samples sent)
(5715|5777) pub55  50% (8 samples sent)
(5715|5777) pub55  62% (10 samples sent)
(5715|5777) pub55  75% (12 samples sent)
(5715|5777) pub55  81% (13 samples sent)
(5715|5777) pub55  93% (15 samples sent)
(5715|5777) pub55  100% (16 samples sent)
(5715|5777) pub55->wait_match() before write for 01030000.21dcf9cd.0000003b.00000102(514ac7e0)
(5715|5777) pub55<-match found! before write for 01030000.21dcf9cd.0000003b.00000102(514ac7e0)
(5715|5777) pub55  waiting for acks
(5715|5777) pub55  waiting for acks returned
(5715|5777) pub55<-delete_contained_entities
(5715|5726) pub4  writer id: 01030000.21dcf9cd.00000010.00000102(e75bd9f5)
(5715|5726) pub4->started
(5715|5726) pub4  12% (2 samples sent)
(5715|5726) pub4  25% (4 samples sent)
(5715|5726) pub4  31% (5 samples sent)
(5715|5726) pub4  43% (7 samples sent)
(5715|5726) pub4  50% (8 samples sent)
(5715|5726) pub4  62% (10 samples sent)
(5715|5726) pub4  75% (12 samples sent)
(5715|5726) pub4  81% (13 samples sent)
(5715|5726) pub4  93% (15 samples sent)
(5715|5726) pub4  100% (16 samples sent)
(5715|5726) pub4->wait_match() before write for 01030000.21dcf9cd.00000010.00000102(e75bd9f5)
(5715|5726) pub4<-match found! before write for 01030000.21dcf9cd.00000010.00000102(e75bd9f5)
(5715|5726) pub4  waiting for acks
(5715|5726) pub4  waiting for acks returned
(5715|5726) pub4<-delete_contained_entities
(5715|5764) pub35  writer id: 01030000.21dcf9cd.0000002f.00000102(c42af6a2)
(5715|5764) pub35->started
(5715|5764) pub35  12% (2 samples sent)
(5715|5764) pub35  25% (4 samples sent)
(5715|5764) pub35  31% (5 samples sent)
(5715|5764) pub35  43% (7 samples sent)
(5715|5764) pub35  50% (8 samples sent)
(5715|5764) pub35  62% (10 samples sent)
(5715|5764) pub35  75% (12 samples sent)
(5715|5764) pub35  81% (13 samples sent)
(5715|5764) pub35  93% (15 samples sent)
(5715|5764) pub35  100% (16 samples sent)
(5715|5764) pub35->wait_match() before write for 01030000.21dcf9cd.0000002f.00000102(c42af6a2)
(5715|5764) pub35<-match found! before write for 01030000.21dcf9cd.0000002f.00000102(c42af6a2)
(5715|5764) pub35  waiting for acks
(5715|5764) pub35  waiting for acks returned
(5715|5764) pub35<-delete_contained_entities
(5715|5779) pub59  writer id: 01030000.21dcf9cd.0000003e.00000102(99aa4890)
(5715|5779) pub59->started
(5715|5779) pub59  12% (2 samples sent)
(5715|5779) pub59  25% (4 samples sent)
(5715|5779) pub59  31% (5 samples sent)
(5715|5779) pub59  43% (7 samples sent)
(5715|5779) pub59  50% (8 samples sent)
(5715|5779) pub59  62% (10 samples sent)
(5715|5779) pub59  75% (12 samples sent)
(5715|5779) pub59  81% (13 samples sent)
(5715|5779) pub59  93% (15 samples sent)
(5715|5779) pub59  100% (16 samples sent)
(5715|5779) pub59->wait_match() before write for 01030000.21dcf9cd.0000003e.00000102(99aa4890)
(5715|5779) pub59<-match found! before write for 01030000.21dcf9cd.0000003e.00000102(99aa4890)
(5715|5779) pub59  waiting for acks
(5715|5779) pub59  waiting for acks returned
(5715|5779) pub59<-delete_contained_entities
(5715|5744) pub20  writer id: 01030000.21dcf9cd.00000012.00000102(9d9b8a95)
(5715|5744) pub20->started
(5715|5744) pub20  12% (2 samples sent)
(5715|5744) pub20  25% (4 samples sent)
(5715|5744) pub20  31% (5 samples sent)
(5715|5744) pub20  43% (7 samples sent)
(5715|5744) pub20  50% (8 samples sent)
(5715|5744) pub20  62% (10 samples sent)
(5715|5744) pub20  75% (12 samples sent)
(5715|5744) pub20  81% (13 samples sent)
(5715|5744) pub20  93% (15 samples sent)
(5715|5744) pub20  100% (16 samples sent)
(5715|5744) pub20->wait_match() before write for 01030000.21dcf9cd.00000012.00000102(9d9b8a95)
(5715|5744) pub20<-match found! before write for 01030000.21dcf9cd.00000012.00000102(9d9b8a95)
(5715|5744) pub20  waiting for acks
(5715|5744) pub20  waiting for acks returned
(5715|5744) pub20<-delete_contained_entities
(5715|5731) pub13  writer id: 01030000.21dcf9cd.00000015.00000102(2fbb5685)
(5715|5731) pub13->started
(5715|5731) pub13  12% (2 samples sent)
(5715|5731) pub13  25% (4 samples sent)
(5715|5731) pub13  31% (5 samples sent)
(5715|5731) pub13  43% (7 samples sent)
(5715|5731) pub13  50% (8 samples sent)
(5715|5731) pub13  62% (10 samples sent)
(5715|5731) pub13  75% (12 samples sent)
(5715|5731) pub13  81% (13 samples sent)
(5715|5731) pub13  93% (15 samples sent)
(5715|5731) pub13  100% (16 samples sent)
(5715|5731) pub13->wait_match() before write for 01030000.21dcf9cd.00000015.00000102(2fbb5685)
(5715|5731) pub13<-match found! before write for 01030000.21dcf9cd.00000015.00000102(2fbb5685)
(5715|5731) pub13  waiting for acks
(5715|5731) pub13  waiting for acks returned
(5715|5731) pub13<-delete_contained_entities
(5715|5741) pub17  writer id: 01030000.21dcf9cd.00000013.00000102(a0fba325)
(5715|5741) pub17->started
(5715|5741) pub17  12% (2 samples sent)
(5715|5741) pub17  25% (4 samples sent)
(5715|5741) pub17  31% (5 samples sent)
(5715|5741) pub17  43% (7 samples sent)
(5715|5741) pub17  50% (8 samples sent)
(5715|5741) pub17  62% (10 samples sent)
(5715|5741) pub17  75% (12 samples sent)
(5715|5741) pub17  81% (13 samples sent)
(5715|5741) pub17  93% (15 samples sent)
(5715|5741) pub17  100% (16 samples sent)
(5715|5741) pub17->wait_match() before write for 01030000.21dcf9cd.00000013.00000102(a0fba325)
(5715|5741) pub17<-match found! before write for 01030000.21dcf9cd.00000013.00000102(a0fba325)
(5715|5741) pub17  waiting for acks
(5715|5741) pub17  waiting for acks returned
(5715|5741) pub17<-delete_contained_entities
(5715|5776) pub57  writer id: 01030000.21dcf9cd.0000002c.00000102(838a8c72)
(5715|5776) pub57->started
(5715|5776) pub57  12% (2 samples sent)
(5715|5776) pub57  25% (4 samples sent)
(5715|5776) pub57  31% (5 samples sent)
(5715|5776) pub57  43% (7 samples sent)
(5715|5776) pub57  50% (8 samples sent)
(5715|5776) pub57  62% (10 samples sent)
(5715|5776) pub57  75% (12 samples sent)
(5715|5776) pub57  81% (13 samples sent)
(5715|5776) pub57  93% (15 samples sent)
(5715|5776) pub57  100% (16 samples sent)
(5715|5776) pub57->wait_match() before write for 01030000.21dcf9cd.0000002c.00000102(838a8c72)
(5715|5776) pub57<-match found! before write for 01030000.21dcf9cd.0000002c.00000102(838a8c72)
(5715|5776) pub57  waiting for acks
(5715|5776) pub57  waiting for acks returned
(5715|5776) pub57<-delete_contained_entities
(5715|5774) pub52  writer id: 01030000.21dcf9cd.00000039.00000102(2b8a9480)
(5715|5774) pub52->started
(5715|5774) pub52  12% (2 samples sent)
(5715|5774) pub52  25% (4 samples sent)
(5715|5774) pub52  31% (5 samples sent)
(5715|5774) pub52  43% (7 samples sent)
(5715|5774) pub52  50% (8 samples sent)
(5715|5774) pub52  62% (10 samples sent)
(5715|5774) pub52  75% (12 samples sent)
(5715|5774) pub52  81% (13 samples sent)
(5715|5774) pub52  93% (15 samples sent)
(5715|5774) pub52  100% (16 samples sent)
(5715|5774) pub52->wait_match() before write for 01030000.21dcf9cd.00000039.00000102(2b8a9480)
(5715|5774) pub52<-match found! before write for 01030000.21dcf9cd.00000039.00000102(2b8a9480)
(5715|5774) pub52  waiting for acks
(5715|5774) pub52  waiting for acks returned
(5715|5774) pub52<-delete_contained_entities
(5715|5786) pub63  writer id: 01030000.21dcf9cd.00000042.00000102(a588455e)
(5715|5786) pub63->started
(5715|5786) pub63  12% (2 samples sent)
(5715|5786) pub63  25% (4 samples sent)
(5715|5786) pub63  31% (5 samples sent)
(5715|5786) pub63  43% (7 samples sent)
(5715|5786) pub63  50% (8 samples sent)
(5715|5786) pub63  62% (10 samples sent)
(5715|5786) pub63  75% (12 samples sent)
(5715|5786) pub63  81% (13 samples sent)
(5715|5786) pub63  93% (15 samples sent)
(5715|5786) pub63  100% (16 samples sent)
(5715|5786) pub63->wait_match() before write for 01030000.21dcf9cd.00000042.00000102(a588455e)
(5715|5786) pub63<-match found! before write for 01030000.21dcf9cd.00000042.00000102(a588455e)
(5715|5786) pub63  waiting for acks
(5715|5786) pub63  waiting for acks returned
(5715|5786) pub63<-delete_contained_entities
(5715|5763) pub44  writer id: 01030000.21dcf9cd.00000032.00000102(5c5aa591)
(5715|5763) pub44->started
(5715|5763) pub44  12% (2 samples sent)
(5715|5763) pub44  25% (4 samples sent)
(5715|5763) pub44  31% (5 samples sent)
(5715|5763) pub44  43% (7 samples sent)
(5715|5763) pub44  50% (8 samples sent)
(5715|5763) pub44  62% (10 samples sent)
(5715|5763) pub44  75% (12 samples sent)
(5715|5763) pub44  81% (13 samples sent)
(5715|5763) pub44  93% (15 samples sent)
(5715|5763) pub44  100% (16 samples sent)
(5715|5763) pub44->wait_match() before write for 01030000.21dcf9cd.00000032.00000102(5c5aa591)
(5715|5723) sub condition_.notify_all
(5715|5763) pub44<-match found! before write for 01030000.21dcf9cd.00000032.00000102(5c5aa591)
(5715|5763) pub44  waiting for acks
(5715|5715) sub condition_.wait returned
(5715|5715) sub check_received
(5715|5715) sub check_received returns 0
(5715|5715) <- PublisherService::end
(5715|5763) pub44  waiting for acks returned
(5715|5763) pub44<-delete_contained_entities
(5715|5725) pub0<-delete_participant
(5715|5754) pub30<-delete_participant
(5715|5727) pub2<-delete_participant
(5715|5724) pub1<-delete_participant
(5715|5743) pub19<-delete_participant
(5715|5738) pub7<-delete_participant
(5715|5737) pub5<-delete_participant
(5715|5740) pub16<-delete_participant
(5715|5747) pub23<-delete_participant
(5715|5735) pub14<-delete_participant
(5715|5736) pub8<-delete_participant
(5715|5728) pub3<-delete_participant
(5715|5752) pub28<-delete_participant
(5715|5742) pub18<-delete_participant
(5715|5734) pub10<-delete_participant
(5715|5733) pub9<-delete_participant
(5715|5756) pub42<-delete_participant
(5715|5739) pub15<-delete_participant
(5715|5732) pub11<-delete_participant
(5715|5767) pub36<-delete_participant
(5715|5755) pub33<-delete_participant
(5715|5729) pub12<-delete_participant
(5715|5758) pub32<-delete_participant
(5715|5762) pub38<-delete_participant
(5715|5759) pub43<-delete_participant
(5715|5746) pub22<-delete_participant
(5715|5770) pub40<-delete_participant
(5715|5745) pub21<-delete_participant
(5715|5761) pub41<-delete_participant
(5715|5730) pub6<-delete_participant
(5715|5749) pub25<-delete_participant
(5715|5753) pub29<-delete_participant
(5715|5769) pub48<-delete_participant
(5715|5771) pub39<-delete_participant
(5715|5760) pub34<-delete_participant
(5715|5775) pub53<-delete_participant
(5715|5773) pub51<-delete_participant
(5715|5757) pub31<-delete_participant
(5715|5780) pub54<-delete_participant
(5715|5778) pub56<-delete_participant
(5715|5787) pub49<-delete_participant
(5715|5772) pub50<-delete_participant
(5715|5784) pub61<-delete_participant
(5715|5783) pub60<-delete_participant
(5715|5765) pub46<-delete_participant
(5715|5782) pub47<-delete_participant
(5715|5751) pub27<-delete_participant
(5715|5785) pub62<-delete_participant
(5715|5768) pub37<-delete_participant
(5715|5766) pub45<-delete_participant
(5715|5781) pub58<-delete_participant
(5715|5750) pub26<-delete_participant
(5715|5748) pub24<-delete_participant
(5715|5777) pub55<-delete_participant
(5715|5726) pub4<-delete_participant
(5715|5764) pub35<-delete_participant
(5715|5779) pub59<-delete_participant
(5715|5744) pub20<-delete_participant
(5715|5731) pub13<-delete_participant
(5715|5741) pub17<-delete_participant
(5715|5776) pub57<-delete_participant
(5715|5774) pub52<-delete_participant
(5715|5786) pub63<-delete_participant
(5715|5763) pub44<-delete_participant
(5715|5715) <- PublisherService::~PublisherService
(5715|5715) <- Subscriber delete_contained_entities
(5715|5715) <- Subscriber delete_participant
(5715|5721) WARNING: DataReaderImpl::accept_sample_processing - subscription 01030000.21dcf9cd.00000002.000001c7(16752b28) failed to find publication data for 01030000.21dcf9cd.00000001.000001c2(21bfa577).
(5715|5715) <- Subscriber::~Subscriber
(5715|5715) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl aggressive durable Time:3s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl single rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1 -n 1 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 5789 started at 2023-04-26 16:13:30
(5789|5789) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(5789|5789) -> Thrasher started
(5789|5789) -> Subscriber::Subscriber
(5789|5789)    Subscriber reader id: 0103000c.297a35f2.169d8a59.00000007(465c60cf)
(5789|5789) -> PublisherService::PublisherService
(5789|5789) -> PublisherService::start (1 threads)
(5789|5789) sub wait_received 0:1
(5789|5795) pub0->transport cfg_0
(5789|5795) pub0  writer id: 0103000c.297a35f2.169d8a5a.00000002(7196ee90)
(5789|5795) pub0->started
(5789|5795) pub0  100% (1 samples sent)
(5789|5795) pub0->wait_match() before write for 0103000c.297a35f2.169d8a5a.00000002(7196ee90)
(5789|5795) pub0<-match found! before write for 0103000c.297a35f2.169d8a5a.00000002(7196ee90)
(5789|5795) pub0  waiting for acks
(5789|5794)  sub 100% (1 samples received)
(5789|5794) sub condition_.notify_all
(5789|5789) sub condition_.wait returned
(5789|5789) sub check_received
(5789|5789) sub check_received returns 0
(5789|5789) <- PublisherService::end
(5789|5795) pub0  waiting for acks returned
(5789|5795) pub0<-delete_contained_entities
(5789|5795) pub0<-delete_participant
(5789|5789) <- PublisherService::~PublisherService
(5789|5789) <- Subscriber delete_contained_entities
(5789|5789) <- Subscriber delete_participant
(5789|5789) <- Subscriber::~Subscriber
(5789|5789) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl single rtps durable Time:2s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl double rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 2 -s 1 -n 2 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 5809 started at 2023-04-26 16:13:32
(5809|5809) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(5809|5809) -> Thrasher started
(5809|5809) -> Subscriber::Subscriber
(5809|5809)    Subscriber reader id: 0103000c.297a35f2.16b14307.00000007(ff850df1)
(5809|5809) -> PublisherService::PublisherService
(5809|5809) -> PublisherService::start (2 threads)
(5809|5809) sub wait_received 0:2
(5809|5815) pub0->transport cfg_0
(5809|5816) pub1->transport cfg_1
(5809|5815) pub0  writer id: 0103000c.297a35f2.16b14308.00000002(0dbf6eaf)
(5809|5815) pub0->started
(5809|5815) pub0  100% (1 samples sent)
(5809|5815) pub0->wait_match() before write for 0103000c.297a35f2.16b14308.00000002(0dbf6eaf)
(5809|5816) pub1  writer id: 0103000c.297a35f2.16b14309.00000002(30df471f)
(5809|5816) pub1->started
(5809|5816) pub1  100% (1 samples sent)
(5809|5816) pub1->wait_match() before write for 0103000c.297a35f2.16b14309.00000002(30df471f)
(5809|5815) pub0<-match found! before write for 0103000c.297a35f2.16b14308.00000002(0dbf6eaf)
(5809|5815) pub0  waiting for acks
(5809|5816) pub1<-match found! before write for 0103000c.297a35f2.16b14309.00000002(30df471f)
(5809|5816) pub1  waiting for acks
(5809|5814)  sub 50% (1 samples received)
(5809|5814)  sub 100% (2 samples received)
(5809|5814) sub condition_.notify_all
(5809|5809) sub condition_.wait returned
(5809|5809) sub check_received
(5809|5809) sub check_received returns 0
(5809|5809) <- PublisherService::end
(5809|5815) pub0  waiting for acks returned
(5809|5815) pub0<-delete_contained_entities
(5809|5816) pub1  waiting for acks returned
(5809|5816) pub1<-delete_contained_entities
(5809|5815) pub0<-delete_participant
(5809|5816) pub1<-delete_participant
(5809|5809) <- PublisherService::~PublisherService
(5809|5809) <- Subscriber delete_contained_entities
(5809|5809) <- Subscriber delete_participant
(5809|5809) <- Subscriber::~Subscriber
(5809|5809) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl double rtps durable Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl triangle rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 3 -s 3 -n 9 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 5826 started at 2023-04-26 16:13:33
(5826|5826) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(5826|5826) -> Thrasher started
(5826|5826) -> Subscriber::Subscriber
(5826|5826)    Subscriber reader id: 0103000c.297a35f2.16c2f9a7.00000007(e97368c2)
(5826|5826) -> PublisherService::PublisherService
(5826|5826) -> PublisherService::start (3 threads)
(5826|5826) sub wait_received 0:3
(5826|5834) pub1->transport cfg_1
(5826|5832) pub0->transport cfg_0
(5826|5833) pub2->transport cfg_2
(5826|5833) pub2  writer id: 0103000c.297a35f2.16c2f9aa.00000002(618958fc)
(5826|5833) pub2->started
(5826|5832) pub0  writer id: 0103000c.297a35f2.16c2f9a8.00000002(1b490b9c)
(5826|5832) pub0->started
(5826|5833) pub2  33% (1 samples sent)
(5826|5833) pub2  66% (2 samples sent)
(5826|5832) pub0  33% (1 samples sent)
(5826|5833) pub2  100% (3 samples sent)
(5826|5832) pub0  66% (2 samples sent)
(5826|5833) pub2->wait_match() before write for 0103000c.297a35f2.16c2f9aa.00000002(618958fc)
(5826|5832) pub0  100% (3 samples sent)
(5826|5832) pub0->wait_match() before write for 0103000c.297a35f2.16c2f9a8.00000002(1b490b9c)
(5826|5834) pub1  writer id: 0103000c.297a35f2.16c2f9a9.00000002(2629222c)
(5826|5834) pub1->started
(5826|5834) pub1  33% (1 samples sent)
(5826|5834) pub1  66% (2 samples sent)
(5826|5834) pub1  100% (3 samples sent)
(5826|5834) pub1->wait_match() before write for 0103000c.297a35f2.16c2f9a9.00000002(2629222c)
(5826|5833) pub2<-match found! before write for 0103000c.297a35f2.16c2f9aa.00000002(618958fc)
(5826|5833) pub2  waiting for acks
(5826|5834) pub1<-match found! before write for 0103000c.297a35f2.16c2f9a9.00000002(2629222c)
(5826|5834) pub1  waiting for acks
(5826|5832) pub0<-match found! before write for 0103000c.297a35f2.16c2f9a8.00000002(1b490b9c)
(5826|5832) pub0  waiting for acks
(5826|5831)  sub 11% (1 samples received)
(5826|5831)  sub 22% (2 samples received)
(5826|5831)  sub 33% (3 samples received)
(5826|5831) sub condition_.notify_all
(5826|5826) sub condition_.wait returned
(5826|5826) sub check_received
(5826|5826) sub check_received returns 0
(5826|5826) <- PublisherService::end
(5826|5833) pub2  waiting for acks returned
(5826|5833) pub2<-delete_contained_entities
(5826|5832) pub0  waiting for acks returned
(5826|5832) pub0<-delete_contained_entities
(5826|5834) pub1  waiting for acks returned
(5826|5834) pub1<-delete_contained_entities
(5826|5833) pub2<-delete_participant
(5826|5832) pub0<-delete_participant
(5826|5834) pub1<-delete_participant
(5826|5826) <- PublisherService::~PublisherService
(5826|5826) <- Subscriber delete_contained_entities
(5826|5826) <- Subscriber delete_participant
(5826|5826) <- Subscriber::~Subscriber
(5826|5826) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl triangle rtps durable Time:2s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl default rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1024 -n 1024 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 5848 started at 2023-04-26 16:13:35
(5848|5848) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(5848|5848) -> Thrasher started
(5848|5848) -> Subscriber::Subscriber
(5848|5848)    Subscriber reader id: 0103000c.297a35f2.16d86d2f.00000007(2dbc0444)
(5848|5848) -> PublisherService::PublisherService
(5848|5848) -> PublisherService::start (1 threads)
(5848|5848) sub wait_received 0:1
(5848|5854) pub0->transport cfg_0
(5848|5854) pub0  writer id: 0103000c.297a35f2.16d86d30.00000002(bf66f098)
(5848|5854) pub0->started
(5848|5854) pub0  10% (103 samples sent)
(5848|5854) pub0  20% (205 samples sent)
(5848|5854) pub0  30% (308 samples sent)
(5848|5854) pub0  40% (410 samples sent)
(5848|5854) pub0  50% (512 samples sent)
(5848|5854) pub0  60% (615 samples sent)
(5848|5854) pub0  70% (717 samples sent)
(5848|5854) pub0  80% (820 samples sent)
(5848|5854) pub0  90% (922 samples sent)
(5848|5854) pub0  100% (1024 samples sent)
(5848|5854) pub0->wait_match() before write for 0103000c.297a35f2.16d86d30.00000002(bf66f098)
(5848|5854) pub0<-match found! before write for 0103000c.297a35f2.16d86d30.00000002(bf66f098)
(5848|5854) pub0  waiting for acks
(5848|5853) sub condition_.notify_all
(5848|5848) sub condition_.wait returned
(5848|5848) sub check_received
(5848|5848) sub check_received returns 0
(5848|5848) <- PublisherService::end
(5848|5854) pub0  waiting for acks returned
(5848|5854) pub0<-delete_contained_entities
(5848|5854) pub0<-delete_participant
(5848|5848) <- PublisherService::~PublisherService
(5848|5848) <- Subscriber delete_contained_entities
(5848|5848) <- Subscriber delete_participant
(5848|5848) <- Subscriber::~Subscriber
(5848|5848) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl default rtps durable Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl low rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 8 -s 128 -n 1024 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 5860 started at 2023-04-26 16:13:36
(5860|5860) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(5860|5860) -> Thrasher started
(5860|5860) -> Subscriber::Subscriber
(5860|5860)    Subscriber reader id: 0103000c.297a35f2.16e43e50.00000007(b3e7c625)
(5860|5860) -> PublisherService::PublisherService
(5860|5860) -> PublisherService::start (8 threads)
(5860|5860) sub wait_received 0:8
(5860|5872) pub1->transport cfg_1
(5860|5870) pub4->transport cfg_4
(5860|5867) pub2->transport cfg_2
(5860|5868) pub5->transport cfg_5
(5860|5866) pub0->transport cfg_0
(5860|5871) pub3->transport cfg_3
(5860|5869) pub7->transport cfg_7
(5860|5873) pub6->transport cfg_6
(5860|5873) pub6  writer id: 0103000c.297a35f2.16e43e57.00000002(71adeeba)
(5860|5871) pub3  writer id: 0103000c.297a35f2.16e43e55.00000002(0b6dbdda)
(5860|5866) pub0  writer id: 0103000c.297a35f2.16e43e51.00000002(feed1b1a)
(5860|5866) pub0->started
(5860|5873) pub6->started
(5860|5873) pub6  10% (13 samples sent)
(5860|5871) pub3->started
(5860|5871) pub3  10% (13 samples sent)
(5860|5871) pub3  20% (26 samples sent)
(5860|5871) pub3  30% (39 samples sent)
(5860|5872) pub1  writer id: 0103000c.297a35f2.16e43e53.00000002(842d487a)
(5860|5872) pub1->started
(5860|5867) pub2  writer id: 0103000c.297a35f2.16e43e52.00000002(b94d61ca)
(5860|5867) pub2->started
(5860|5873) pub6  20% (26 samples sent)
(5860|5867) pub2  10% (13 samples sent)
(5860|5873) pub6  30% (39 samples sent)
(5860|5867) pub2  20% (26 samples sent)
(5860|5873) pub6  40% (52 samples sent)
(5860|5867) pub2  30% (39 samples sent)
(5860|5873) pub6  50% (64 samples sent)
(5860|5867) pub2  40% (52 samples sent)
(5860|5873) pub6  60% (77 samples sent)
(5860|5867) pub2  50% (64 samples sent)
(5860|5871) pub3  40% (52 samples sent)
(5860|5871) pub3  50% (64 samples sent)
(5860|5871) pub3  60% (77 samples sent)
(5860|5871) pub3  70% (90 samples sent)
(5860|5871) pub3  80% (103 samples sent)
(5860|5868) pub5  writer id: 0103000c.297a35f2.16e43e56.00000002(4ccdc70a)
(5860|5868) pub5->started
(5860|5866) pub0  10% (13 samples sent)
(5860|5868) pub5  10% (13 samples sent)
(5860|5866) pub0  20% (26 samples sent)
(5860|5872) pub1  10% (13 samples sent)
(5860|5866) pub0  30% (39 samples sent)
(5860|5872) pub1  20% (26 samples sent)
(5860|5872) pub1  30% (39 samples sent)
(5860|5866) pub0  40% (52 samples sent)
(5860|5868) pub5  20% (26 samples sent)
(5860|5866) pub0  50% (64 samples sent)
(5860|5868) pub5  30% (39 samples sent)
(5860|5868) pub5  40% (52 samples sent)
(5860|5872) pub1  40% (52 samples sent)
(5860|5866) pub0  60% (77 samples sent)
(5860|5872) pub1  50% (64 samples sent)
(5860|5866) pub0  70% (90 samples sent)
(5860|5872) pub1  60% (77 samples sent)
(5860|5866) pub0  80% (103 samples sent)
(5860|5868) pub5  50% (64 samples sent)
(5860|5868) pub5  60% (77 samples sent)
(5860|5868) pub5  70% (90 samples sent)
(5860|5869) pub7  writer id: 0103000c.297a35f2.16e43e58.00000002(f3fd796b)
(5860|5869) pub7->started
(5860|5869) pub7  10% (13 samples sent)
(5860|5870) pub4  writer id: 0103000c.297a35f2.16e43e54.00000002(360d946a)
(5860|5870) pub4->started
(5860|5869) pub7  20% (26 samples sent)
(5860|5870) pub4  10% (13 samples sent)
(5860|5869) pub7  30% (39 samples sent)
(5860|5870) pub4  20% (26 samples sent)
(5860|5870) pub4  30% (39 samples sent)
(5860|5867) pub2  60% (77 samples sent)
(5860|5867) pub2  70% (90 samples sent)
(5860|5869) pub7  40% (52 samples sent)
(5860|5873) pub6  70% (90 samples sent)
(5860|5869) pub7  50% (64 samples sent)
(5860|5873) pub6  80% (103 samples sent)
(5860|5869) pub7  60% (77 samples sent)
(5860|5873) pub6  90% (116 samples sent)
(5860|5870) pub4  40% (52 samples sent)
(5860|5870) pub4  50% (64 samples sent)
(5860|5870) pub4  60% (77 samples sent)
(5860|5867) pub2  80% (103 samples sent)
(5860|5867) pub2  90% (116 samples sent)
(5860|5871) pub3  90% (116 samples sent)
(5860|5872) pub1  70% (90 samples sent)
(5860|5872) pub1  80% (103 samples sent)
(5860|5872) pub1  90% (116 samples sent)
(5860|5867) pub2  100% (128 samples sent)
(5860|5867) pub2->wait_match() before write for 0103000c.297a35f2.16e43e52.00000002(b94d61ca)
(5860|5868) pub5  80% (103 samples sent)
(5860|5873) pub6  100% (128 samples sent)
(5860|5873) pub6->wait_match() before write for 0103000c.297a35f2.16e43e57.00000002(71adeeba)
(5860|5869) pub7  70% (90 samples sent)
(5860|5871) pub3  100% (128 samples sent)
(5860|5871) pub3->wait_match() before write for 0103000c.297a35f2.16e43e55.00000002(0b6dbdda)
(5860|5872) pub1  100% (128 samples sent)
(5860|5872) pub1->wait_match() before write for 0103000c.297a35f2.16e43e53.00000002(842d487a)
(5860|5868) pub5  90% (116 samples sent)
(5860|5869) pub7  80% (103 samples sent)
(5860|5868) pub5  100% (128 samples sent)
(5860|5868) pub5->wait_match() before write for 0103000c.297a35f2.16e43e56.00000002(4ccdc70a)
(5860|5870) pub4  70% (90 samples sent)
(5860|5869) pub7  90% (116 samples sent)
(5860|5870) pub4  80% (103 samples sent)
(5860|5870) pub4  90% (116 samples sent)
(5860|5869) pub7  100% (128 samples sent)
(5860|5869) pub7->wait_match() before write for 0103000c.297a35f2.16e43e58.00000002(f3fd796b)
(5860|5870) pub4  100% (128 samples sent)
(5860|5870) pub4->wait_match() before write for 0103000c.297a35f2.16e43e54.00000002(360d946a)
(5860|5866) pub0  90% (116 samples sent)
(5860|5866) pub0  100% (128 samples sent)
(5860|5866) pub0->wait_match() before write for 0103000c.297a35f2.16e43e51.00000002(feed1b1a)
(5860|5867) pub2<-match found! before write for 0103000c.297a35f2.16e43e52.00000002(b94d61ca)
(5860|5867) pub2  waiting for acks
(5860|5868) pub5<-match found! before write for 0103000c.297a35f2.16e43e56.00000002(4ccdc70a)
(5860|5868) pub5  waiting for acks
(5860|5870) pub4<-match found! before write for 0103000c.297a35f2.16e43e54.00000002(360d946a)
(5860|5870) pub4  waiting for acks
(5860|5871) pub3<-match found! before write for 0103000c.297a35f2.16e43e55.00000002(0b6dbdda)
(5860|5871) pub3  waiting for acks
(5860|5872) pub1<-match found! before write for 0103000c.297a35f2.16e43e53.00000002(842d487a)
(5860|5872) pub1  waiting for acks
(5860|5866) pub0<-match found! before write for 0103000c.297a35f2.16e43e51.00000002(feed1b1a)
(5860|5866) pub0  waiting for acks
(5860|5873) pub6<-match found! before write for 0103000c.297a35f2.16e43e57.00000002(71adeeba)
(5860|5873) pub6  waiting for acks
(5860|5869) pub7<-match found! before write for 0103000c.297a35f2.16e43e58.00000002(f3fd796b)
(5860|5869) pub7  waiting for acks
(5860|5865) sub condition_.notify_all
(5860|5860) sub condition_.wait returned
(5860|5860) sub check_received
(5860|5860) sub check_received returns 0
(5860|5860) <- PublisherService::end
(5860|5868) pub5  waiting for acks returned
(5860|5868) pub5<-delete_contained_entities
(5860|5867) pub2  waiting for acks returned
(5860|5867) pub2<-delete_contained_entities
(5860|5870) pub4  waiting for acks returned
(5860|5870) pub4<-delete_contained_entities
(5860|5871) pub3  waiting for acks returned
(5860|5871) pub3<-delete_contained_entities
(5860|5872) pub1  waiting for acks returned
(5860|5872) pub1<-delete_contained_entities
(5860|5866) pub0  waiting for acks returned
(5860|5866) pub0<-delete_contained_entities
(5860|5873) pub6  waiting for acks returned
(5860|5873) pub6<-delete_contained_entities
(5860|5869) pub7  waiting for acks returned
(5860|5869) pub7<-delete_contained_entities
(5860|5867) pub2<-delete_participant
(5860|5868) pub5<-delete_participant
(5860|5870) pub4<-delete_participant
(5860|5871) pub3<-delete_participant
(5860|5872) pub1<-delete_participant
(5860|5866) pub0<-delete_participant
(5860|5873) pub6<-delete_participant
(5860|5869) pub7<-delete_participant
(5860|5860) <- PublisherService::~PublisherService
(5860|5860) <- Subscriber delete_contained_entities
(5860|5860) <- Subscriber delete_participant
(5860|5860) <- Subscriber::~Subscriber
(5860|5860) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl low rtps durable Time:2s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl medium rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 16 -s 64 -n 1024 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 5907 started at 2023-04-26 16:13:38
(5907|5907) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(5907|5907) -> Thrasher started
(5907|5907) -> Subscriber::Subscriber
(5907|5907)    Subscriber reader id: 0103000c.297a35f2.17135cea.00000007(c1df7c08)
(5907|5907) -> PublisherService::PublisherService
(5907|5907) -> PublisherService::start (16 threads)
(5907|5907) sub wait_received 0:16
(5907|5922) pub10->transport cfg_10
(5907|5917) pub3->transport cfg_3
(5907|5913) pub0->transport cfg_0
(5907|5923) pub9->transport cfg_9
(5907|5920) pub4->transport cfg_4
(5907|5916) pub7->transport cfg_7
(5907|5926) pub13->transport cfg_13
(5907|5914) pub1->transport cfg_1
(5907|5925) pub12->transport cfg_12
(5907|5928) pub15->transport cfg_15
(5907|5919) pub5->transport cfg_5
(5907|5915) pub2->transport cfg_2
(5907|5927) pub14->transport cfg_14
(5907|5918) pub6->transport cfg_6
(5907|5924) pub11->transport cfg_11
(5907|5921) pub8->transport cfg_8
(5907|5917) pub3  writer id: 0103000c.297a35f2.17135cee.00000002(44352e47)
(5907|5917) pub3->started
(5907|5921) pub8  writer id: 0103000c.297a35f2.17135cf3.00000002(dc457d74)
(5907|5928) pub15  writer id: 0103000c.297a35f2.17135cfa.00000002(d1551f05)
(5907|5917) pub3  10% (7 samples sent)
(5907|5922) pub10  writer id: 0103000c.297a35f2.17135cf5.00000002(530588d4)
(5907|5922) pub10->started
(5907|5922) pub10  10% (7 samples sent)
(5907|5922) pub10  20% (13 samples sent)
(5907|5922) pub10  31% (20 samples sent)
(5907|5922) pub10  40% (26 samples sent)
(5907|5922) pub10  50% (32 samples sent)
(5907|5928) pub15->started
(5907|5928) pub15  10% (7 samples sent)
(5907|5927) pub14  writer id: 0103000c.297a35f2.17135cf9.00000002(96f565d5)
(5907|5927) pub14->started
(5907|5927) pub14  10% (7 samples sent)
(5907|5927) pub14  20% (13 samples sent)
(5907|5921) pub8->started
(5907|5921) pub8  10% (7 samples sent)
(5907|5928) pub15  20% (13 samples sent)
(5907|5928) pub15  31% (20 samples sent)
(5907|5928) pub15  40% (26 samples sent)
(5907|5928) pub15  50% (32 samples sent)
(5907|5917) pub3  20% (13 samples sent)
(5907|5917) pub3  31% (20 samples sent)
(5907|5917) pub3  40% (26 samples sent)
(5907|5917) pub3  50% (32 samples sent)
(5907|5917) pub3  60% (39 samples sent)
(5907|5917) pub3  70% (45 samples sent)
(5907|5922) pub10  60% (39 samples sent)
(5907|5922) pub10  70% (45 samples sent)
(5907|5921) pub8  20% (13 samples sent)
(5907|5921) pub8  31% (20 samples sent)
(5907|5921) pub8  40% (26 samples sent)
(5907|5921) pub8  50% (32 samples sent)
(5907|5913) pub0  writer id: 0103000c.297a35f2.17135ceb.00000002(8cd5a137)
(5907|5915) pub2  writer id: 0103000c.297a35f2.17135ced.00000002(03955497)
(5907|5915) pub2->started
(5907|5915) pub2  10% (7 samples sent)
(5907|5915) pub2  20% (13 samples sent)
(5907|5915) pub2  31% (20 samples sent)
(5907|5915) pub2  40% (26 samples sent)
(5907|5915) pub2  50% (32 samples sent)
(5907|5924) pub11  writer id: 0103000c.297a35f2.17135cf6.00000002(14a5f204)
(5907|5924) pub11->started
(5907|5927) pub14  31% (20 samples sent)
(5907|5927) pub14  40% (26 samples sent)
(5907|5927) pub14  50% (32 samples sent)
(5907|5927) pub14  60% (39 samples sent)
(5907|5927) pub14  70% (45 samples sent)
(5907|5917) pub3  81% (52 samples sent)
(5907|5917) pub3  90% (58 samples sent)
(5907|5922) pub10  81% (52 samples sent)
(5907|5922) pub10  90% (58 samples sent)
(5907|5922) pub10  100% (64 samples sent)
(5907|5922) pub10->wait_match() before write for 0103000c.297a35f2.17135cf5.00000002(530588d4)
(5907|5921) pub8  60% (39 samples sent)
(5907|5921) pub8  70% (45 samples sent)
(5907|5921) pub8  81% (52 samples sent)
(5907|5921) pub8  90% (58 samples sent)
(5907|5921) pub8  100% (64 samples sent)
(5907|5921) pub8->wait_match() before write for 0103000c.297a35f2.17135cf3.00000002(dc457d74)
(5907|5913) pub0->started
(5907|5913) pub0  10% (7 samples sent)
(5907|5913) pub0  20% (13 samples sent)
(5907|5913) pub0  31% (20 samples sent)
(5907|5913) pub0  40% (26 samples sent)
(5907|5928) pub15  60% (39 samples sent)
(5907|5928) pub15  70% (45 samples sent)
(5907|5928) pub15  81% (52 samples sent)
(5907|5928) pub15  90% (58 samples sent)
(5907|5928) pub15  100% (64 samples sent)
(5907|5928) pub15->wait_match() before write for 0103000c.297a35f2.17135cfa.00000002(d1551f05)
(5907|5924) pub11  10% (7 samples sent)
(5907|5924) pub11  20% (13 samples sent)
(5907|5927) pub14  81% (52 samples sent)
(5907|5917) pub3  100% (64 samples sent)
(5907|5917) pub3->wait_match() before write for 0103000c.297a35f2.17135cee.00000002(44352e47)
(5907|5915) pub2  60% (39 samples sent)
(5907|5915) pub2  70% (45 samples sent)
(5907|5915) pub2  81% (52 samples sent)
(5907|5915) pub2  90% (58 samples sent)
(5907|5919) pub5  writer id: 0103000c.297a35f2.17135cef.00000002(795507f7)
(5907|5919) pub5->started
(5907|5913) pub0  50% (32 samples sent)
(5907|5916) pub7  writer id: 0103000c.297a35f2.17135cf2.00000002(e12554c4)
(5907|5916) pub7->started
(5907|5924) pub11  31% (20 samples sent)
(5907|5924) pub11  40% (26 samples sent)
(5907|5916) pub7  10% (7 samples sent)
(5907|5926) pub13  writer id: 0103000c.297a35f2.17135cf7.00000002(29c5dbb4)
(5907|5926) pub13->started
(5907|5926) pub13  10% (7 samples sent)
(5907|5926) pub13  20% (13 samples sent)
(5907|5926) pub13  31% (20 samples sent)
(5907|5920) pub4  writer id: 0103000c.297a35f2.17135cf0.00000002(9be507a4)
(5907|5920) pub4->started
(5907|5920) pub4  10% (7 samples sent)
(5907|5920) pub4  20% (13 samples sent)
(5907|5920) pub4  31% (20 samples sent)
(5907|5920) pub4  40% (26 samples sent)
(5907|5920) pub4  50% (32 samples sent)
(5907|5915) pub2  100% (64 samples sent)
(5907|5915) pub2->wait_match() before write for 0103000c.297a35f2.17135ced.00000002(03955497)
(5907|5925) pub12  writer id: 0103000c.297a35f2.17135cf8.00000002(ab954c65)
(5907|5919) pub5  10% (7 samples sent)
(5907|5913) pub0  60% (39 samples sent)
(5907|5924) pub11  50% (32 samples sent)
(5907|5913) pub0  70% (45 samples sent)
(5907|5924) pub11  60% (39 samples sent)
(5907|5913) pub0  81% (52 samples sent)
(5907|5924) pub11  70% (45 samples sent)
(5907|5913) pub0  90% (58 samples sent)
(5907|5924) pub11  81% (52 samples sent)
(5907|5913) pub0  100% (64 samples sent)
(5907|5913) pub0->wait_match() before write for 0103000c.297a35f2.17135ceb.00000002(8cd5a137)
(5907|5924) pub11  90% (58 samples sent)
(5907|5918) pub6  writer id: 0103000c.297a35f2.17135cf1.00000002(a6852e14)
(5907|5916) pub7  20% (13 samples sent)
(5907|5916) pub7  31% (20 samples sent)
(5907|5916) pub7  40% (26 samples sent)
(5907|5927) pub14  90% (58 samples sent)
(5907|5914) pub1  writer id: 0103000c.297a35f2.17135cec.00000002(3ef57d27)
(5907|5914) pub1->started
(5907|5920) pub4  60% (39 samples sent)
(5907|5920) pub4  70% (45 samples sent)
(5907|5920) pub4  81% (52 samples sent)
(5907|5920) pub4  90% (58 samples sent)
(5907|5920) pub4  100% (64 samples sent)
(5907|5920) pub4->wait_match() before write for 0103000c.297a35f2.17135cf0.00000002(9be507a4)
(5907|5919) pub5  20% (13 samples sent)
(5907|5919) pub5  31% (20 samples sent)
(5907|5919) pub5  40% (26 samples sent)
(5907|5919) pub5  50% (32 samples sent)
(5907|5919) pub5  60% (39 samples sent)
(5907|5919) pub5  70% (45 samples sent)
(5907|5919) pub5  81% (52 samples sent)
(5907|5919) pub5  90% (58 samples sent)
(5907|5924) pub11  100% (64 samples sent)
(5907|5924) pub11->wait_match() before write for 0103000c.297a35f2.17135cf6.00000002(14a5f204)
(5907|5918) pub6->started
(5907|5918) pub6  10% (7 samples sent)
(5907|5918) pub6  20% (13 samples sent)
(5907|5918) pub6  31% (20 samples sent)
(5907|5918) pub6  40% (26 samples sent)
(5907|5918) pub6  50% (32 samples sent)
(5907|5918) pub6  60% (39 samples sent)
(5907|5927) pub14  100% (64 samples sent)
(5907|5927) pub14->wait_match() before write for 0103000c.297a35f2.17135cf9.00000002(96f565d5)
(5907|5916) pub7  50% (32 samples sent)
(5907|5916) pub7  60% (39 samples sent)
(5907|5916) pub7  70% (45 samples sent)
(5907|5916) pub7  81% (52 samples sent)
(5907|5916) pub7  90% (58 samples sent)
(5907|5916) pub7  100% (64 samples sent)
(5907|5916) pub7->wait_match() before write for 0103000c.297a35f2.17135cf2.00000002(e12554c4)
(5907|5926) pub13  40% (26 samples sent)
(5907|5926) pub13  50% (32 samples sent)
(5907|5926) pub13  60% (39 samples sent)
(5907|5926) pub13  70% (45 samples sent)
(5907|5926) pub13  81% (52 samples sent)
(5907|5926) pub13  90% (58 samples sent)
(5907|5925) pub12->started
(5907|5926) pub13  100% (64 samples sent)
(5907|5926) pub13->wait_match() before write for 0103000c.297a35f2.17135cf7.00000002(29c5dbb4)
(5907|5925) pub12  10% (7 samples sent)
(5907|5914) pub1  10% (7 samples sent)
(5907|5914) pub1  20% (13 samples sent)
(5907|5914) pub1  31% (20 samples sent)
(5907|5914) pub1  40% (26 samples sent)
(5907|5914) pub1  50% (32 samples sent)
(5907|5914) pub1  60% (39 samples sent)
(5907|5914) pub1  70% (45 samples sent)
(5907|5914) pub1  81% (52 samples sent)
(5907|5914) pub1  90% (58 samples sent)
(5907|5914) pub1  100% (64 samples sent)
(5907|5914) pub1->wait_match() before write for 0103000c.297a35f2.17135cec.00000002(3ef57d27)
(5907|5923) pub9  writer id: 0103000c.297a35f2.17135cf4.00000002(6e65a164)
(5907|5923) pub9->started
(5907|5923) pub9  10% (7 samples sent)
(5907|5923) pub9  20% (13 samples sent)
(5907|5923) pub9  31% (20 samples sent)
(5907|5923) pub9  40% (26 samples sent)
(5907|5923) pub9  50% (32 samples sent)
(5907|5923) pub9  60% (39 samples sent)
(5907|5925) pub12  20% (13 samples sent)
(5907|5925) pub12  31% (20 samples sent)
(5907|5925) pub12  40% (26 samples sent)
(5907|5925) pub12  50% (32 samples sent)
(5907|5925) pub12  60% (39 samples sent)
(5907|5925) pub12  70% (45 samples sent)
(5907|5925) pub12  81% (52 samples sent)
(5907|5925) pub12  90% (58 samples sent)
(5907|5925) pub12  100% (64 samples sent)
(5907|5925) pub12->wait_match() before write for 0103000c.297a35f2.17135cf8.00000002(ab954c65)
(5907|5918) pub6  70% (45 samples sent)
(5907|5918) pub6  81% (52 samples sent)
(5907|5918) pub6  90% (58 samples sent)
(5907|5923) pub9  70% (45 samples sent)
(5907|5923) pub9  81% (52 samples sent)
(5907|5923) pub9  90% (58 samples sent)
(5907|5923) pub9  100% (64 samples sent)
(5907|5923) pub9->wait_match() before write for 0103000c.297a35f2.17135cf4.00000002(6e65a164)
(5907|5919) pub5  100% (64 samples sent)
(5907|5918) pub6  100% (64 samples sent)
(5907|5919) pub5->wait_match() before write for 0103000c.297a35f2.17135cef.00000002(795507f7)
(5907|5918) pub6->wait_match() before write for 0103000c.297a35f2.17135cf1.00000002(a6852e14)
(5907|5922) pub10<-match found! before write for 0103000c.297a35f2.17135cf5.00000002(530588d4)
(5907|5922) pub10  waiting for acks
(5907|5921) pub8<-match found! before write for 0103000c.297a35f2.17135cf3.00000002(dc457d74)
(5907|5921) pub8  waiting for acks
(5907|5918) pub6<-match found! before write for 0103000c.297a35f2.17135cf1.00000002(a6852e14)
(5907|5918) pub6  waiting for acks
(5907|5920) pub4<-match found! before write for 0103000c.297a35f2.17135cf0.00000002(9be507a4)
(5907|5920) pub4  waiting for acks
(5907|5914) pub1<-match found! before write for 0103000c.297a35f2.17135cec.00000002(3ef57d27)
(5907|5914) pub1  waiting for acks
(5907|5927) pub14<-match found! before write for 0103000c.297a35f2.17135cf9.00000002(96f565d5)
(5907|5927) pub14  waiting for acks
(5907|5916) pub7<-match found! before write for 0103000c.297a35f2.17135cf2.00000002(e12554c4)
(5907|5916) pub7  waiting for acks
(5907|5923) pub9<-match found! before write for 0103000c.297a35f2.17135cf4.00000002(6e65a164)
(5907|5923) pub9  waiting for acks
(5907|5926) pub13<-match found! before write for 0103000c.297a35f2.17135cf7.00000002(29c5dbb4)
(5907|5926) pub13  waiting for acks
(5907|5915) pub2<-match found! before write for 0103000c.297a35f2.17135ced.00000002(03955497)
(5907|5915) pub2  waiting for acks
(5907|5928) pub15<-match found! before write for 0103000c.297a35f2.17135cfa.00000002(d1551f05)
(5907|5928) pub15  waiting for acks
(5907|5917) pub3<-match found! before write for 0103000c.297a35f2.17135cee.00000002(44352e47)
(5907|5917) pub3  waiting for acks
(5907|5919) pub5<-match found! before write for 0103000c.297a35f2.17135cef.00000002(795507f7)
(5907|5919) pub5  waiting for acks
(5907|5922) pub10  waiting for acks returned
(5907|5922) pub10<-delete_contained_entities
(5907|5924) pub11<-match found! before write for 0103000c.297a35f2.17135cf6.00000002(14a5f204)
(5907|5924) pub11  waiting for acks
(5907|5913) pub0<-match found! before write for 0103000c.297a35f2.17135ceb.00000002(8cd5a137)
(5907|5913) pub0  waiting for acks
(5907|5921) pub8  waiting for acks returned
(5907|5921) pub8<-delete_contained_entities
(5907|5925) pub12<-match found! before write for 0103000c.297a35f2.17135cf8.00000002(ab954c65)
(5907|5925) pub12  waiting for acks
(5907|5918) pub6  waiting for acks returned
(5907|5918) pub6<-delete_contained_entities
(5907|5920) pub4  waiting for acks returned
(5907|5920) pub4<-delete_contained_entities
(5907|5914) pub1  waiting for acks returned
(5907|5914) pub1<-delete_contained_entities
(5907|5916) pub7  waiting for acks returned
(5907|5916) pub7<-delete_contained_entities
(5907|5927) pub14  waiting for acks returned
(5907|5927) pub14<-delete_contained_entities
(5907|5926) pub13  waiting for acks returned
(5907|5926) pub13<-delete_contained_entities
(5907|5923) pub9  waiting for acks returned
(5907|5923) pub9<-delete_contained_entities
(5907|5915) pub2  waiting for acks returned
(5907|5915) pub2<-delete_contained_entities
(5907|5928) pub15  waiting for acks returned
(5907|5928) pub15<-delete_contained_entities
(5907|5912) sub condition_.notify_all
(5907|5907) sub condition_.wait returned
(5907|5907) sub check_received
(5907|5907) sub check_received returns 0
(5907|5907) <- PublisherService::end
(5907|5917) pub3  waiting for acks returned
(5907|5917) pub3<-delete_contained_entities
(5907|5919) pub5  waiting for acks returned
(5907|5919) pub5<-delete_contained_entities
(5907|5924) pub11  waiting for acks returned
(5907|5924) pub11<-delete_contained_entities
(5907|5913) pub0  waiting for acks returned
(5907|5913) pub0<-delete_contained_entities
(5907|5925) pub12  waiting for acks returned
(5907|5925) pub12<-delete_contained_entities
(5907|5922) pub10<-delete_participant
(5907|5921) pub8<-delete_participant
(5907|5918) pub6<-delete_participant
(5907|5920) pub4<-delete_participant
(5907|5914) pub1<-delete_participant
(5907|5916) pub7<-delete_participant
(5907|5927) pub14<-delete_participant
(5907|5926) pub13<-delete_participant
(5907|5923) pub9<-delete_participant
(5907|5915) pub2<-delete_participant
(5907|5928) pub15<-delete_participant
(5907|5917) pub3<-delete_participant
(5907|5919) pub5<-delete_participant
(5907|5924) pub11<-delete_participant
(5907|5913) pub0<-delete_participant
(5907|5925) pub12<-delete_participant
(5907|5907) <- PublisherService::~PublisherService
(5907|5907) <- Subscriber delete_contained_entities
(5907|5907) <- Subscriber delete_participant
(5907|5907) <- Subscriber::~Subscriber
(5907|5907) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl medium rtps durable Time:4s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl high rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 32 -s 32 -n 1024 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 5994 started at 2023-04-26 16:13:42
(5994|5994) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(5994|5994) -> Thrasher started
(5994|5994) -> Subscriber::Subscriber
(5994|5994)    Subscriber reader id: 0103000c.297a35f2.176a337c.00000007(1fcb86cf)
(5994|5994) -> PublisherService::PublisherService
(5994|5994) -> PublisherService::start (32 threads)
(5994|5994) sub wait_received 0:32
(5994|6003) pub3->transport cfg_3
(5994|6002) pub2->transport cfg_2
(5994|6000) pub0->transport cfg_0
(5994|6007) pub7->transport cfg_7
(5994|6001) pub1->transport cfg_1
(5994|6010) pub9->transport cfg_9
(5994|6008) pub8->transport cfg_8
(5994|6004) pub5->transport cfg_5
(5994|6006) pub6->transport cfg_6
(5994|6005) pub4->transport cfg_4
(5994|6011) pub11->transport cfg_11
(5994|6012) pub12->transport cfg_12
(5994|6002) pub2  writer id: 0103000c.297a35f2.176a337f.00000002(28010890)
(5994|6002) pub2->started
(5994|6002) pub2  12% (4 samples sent)
(5994|6009) pub10->transport cfg_10
(5994|6010) pub9  writer id: 0103000c.297a35f2.176a3386.00000002(6d253abc)
(5994|6007) pub7  writer id: 0103000c.297a35f2.176a3384.00000002(17e569dc)
(5994|6002) pub2  21% (7 samples sent)
(5994|6002) pub2  31% (10 samples sent)
(5994|6006) pub6  writer id: 0103000c.297a35f2.176a3383.00000002(a5c5b5cc)
(5994|6006) pub6->started
(5994|6006) pub6  12% (4 samples sent)
(5994|6006) pub6  21% (7 samples sent)
(5994|6006) pub6  31% (10 samples sent)
(5994|6006) pub6  40% (13 samples sent)
(5994|6006) pub6  50% (16 samples sent)
(5994|6006) pub6  62% (20 samples sent)
(5994|6006) pub6  71% (23 samples sent)
(5994|6006) pub6  81% (26 samples sent)
(5994|6006) pub6  90% (29 samples sent)
(5994|6006) pub6  100% (32 samples sent)
(5994|6006) pub6->wait_match() before write for 0103000c.297a35f2.176a3383.00000002(a5c5b5cc)
(5994|6010) pub9->started
(5994|6020) pub21->transport cfg_21
(5994|6003) pub3  writer id: 0103000c.297a35f2.176a3380.00000002(e265cf1c)
(5994|6003) pub3->started
(5994|6003) pub3  12% (4 samples sent)
(5994|6003) pub3  21% (7 samples sent)
(5994|6003) pub3  31% (10 samples sent)
(5994|6003) pub3  40% (13 samples sent)
(5994|6003) pub3  50% (16 samples sent)
(5994|6003) pub3  62% (20 samples sent)
(5994|6003) pub3  71% (23 samples sent)
(5994|6003) pub3  81% (26 samples sent)
(5994|6003) pub3  90% (29 samples sent)
(5994|6003) pub3  100% (32 samples sent)
(5994|6003) pub3->wait_match() before write for 0103000c.297a35f2.176a3380.00000002(e265cf1c)
(5994|6007) pub7->started
(5994|6007) pub7  12% (4 samples sent)
(5994|6007) pub7  21% (7 samples sent)
(5994|6002) pub2  40% (13 samples sent)
(5994|6002) pub2  50% (16 samples sent)
(5994|6002) pub2  62% (20 samples sent)
(5994|6002) pub2  71% (23 samples sent)
(5994|6002) pub2  81% (26 samples sent)
(5994|6002) pub2  90% (29 samples sent)
(5994|6002) pub2  100% (32 samples sent)
(5994|6002) pub2->wait_match() before write for 0103000c.297a35f2.176a337f.00000002(28010890)
(5994|6010) pub9  12% (4 samples sent)
(5994|6010) pub9  21% (7 samples sent)
(5994|6010) pub9  31% (10 samples sent)
(5994|6010) pub9  40% (13 samples sent)
(5994|6010) pub9  50% (16 samples sent)
(5994|6010) pub9  62% (20 samples sent)
(5994|6010) pub9  71% (23 samples sent)
(5994|6010) pub9  81% (26 samples sent)
(5994|6010) pub9  90% (29 samples sent)
(5994|6000) pub0  writer id: 0103000c.297a35f2.176a337d.00000002(52c15bf0)
(5994|6000) pub0->started
(5994|6000) pub0  12% (4 samples sent)
(5994|6000) pub0  21% (7 samples sent)
(5994|6000) pub0  31% (10 samples sent)
(5994|6000) pub0  40% (13 samples sent)
(5994|6000) pub0  50% (16 samples sent)
(5994|6000) pub0  62% (20 samples sent)
(5994|6000) pub0  71% (23 samples sent)
(5994|6000) pub0  81% (26 samples sent)
(5994|6022) pub22->transport cfg_22
(5994|6001) pub1  writer id: 0103000c.297a35f2.176a337e.00000002(15612120)
(5994|6001) pub1->started
(5994|6007) pub7  31% (10 samples sent)
(5994|6007) pub7  40% (13 samples sent)
(5994|6004) pub5  writer id: 0103000c.297a35f2.176a3381.00000002(df05e6ac)
(5994|6004) pub5->started
(5994|6004) pub5  12% (4 samples sent)
(5994|6004) pub5  21% (7 samples sent)
(5994|6014) pub14->transport cfg_14
(5994|6011) pub11  writer id: 0103000c.297a35f2.176a338e.00000002(5d55717d)
(5994|6011) pub11->started
(5994|6011) pub11  12% (4 samples sent)
(5994|6011) pub11  21% (7 samples sent)
(5994|6011) pub11  31% (10 samples sent)
(5994|6011) pub11  40% (13 samples sent)
(5994|6011) pub11  50% (16 samples sent)
(5994|6011) pub11  62% (20 samples sent)
(5994|6011) pub11  71% (23 samples sent)
(5994|6011) pub11  81% (26 samples sent)
(5994|6010) pub9  100% (32 samples sent)
(5994|6010) pub9->wait_match() before write for 0103000c.297a35f2.176a3386.00000002(6d253abc)
(5994|6000) pub0  90% (29 samples sent)
(5994|6000) pub0  100% (32 samples sent)
(5994|6000) pub0->wait_match() before write for 0103000c.297a35f2.176a337d.00000002(52c15bf0)
(5994|6005) pub4  writer id: 0103000c.297a35f2.176a3382.00000002(98a59c7c)
(5994|6005) pub4->started
(5994|6005) pub4  12% (4 samples sent)
(5994|6005) pub4  21% (7 samples sent)
(5994|6001) pub1  12% (4 samples sent)
(5994|6017) pub17->transport cfg_17
(5994|6007) pub7  50% (16 samples sent)
(5994|6007) pub7  62% (20 samples sent)
(5994|6007) pub7  71% (23 samples sent)
(5994|6007) pub7  81% (26 samples sent)
(5994|6007) pub7  90% (29 samples sent)
(5994|6007) pub7  100% (32 samples sent)
(5994|6007) pub7->wait_match() before write for 0103000c.297a35f2.176a3384.00000002(17e569dc)
(5994|6004) pub5  31% (10 samples sent)
(5994|6004) pub5  40% (13 samples sent)
(5994|6004) pub5  50% (16 samples sent)
(5994|6004) pub5  62% (20 samples sent)
(5994|6004) pub5  71% (23 samples sent)
(5994|6004) pub5  81% (26 samples sent)
(5994|6004) pub5  90% (29 samples sent)
(5994|6004) pub5  100% (32 samples sent)
(5994|6004) pub5->wait_match() before write for 0103000c.297a35f2.176a3381.00000002(df05e6ac)
(5994|6008) pub8  writer id: 0103000c.297a35f2.176a3385.00000002(2a85406c)
(5994|6008) pub8->started
(5994|6008) pub8  12% (4 samples sent)
(5994|6008) pub8  21% (7 samples sent)
(5994|6008) pub8  31% (10 samples sent)
(5994|6008) pub8  40% (13 samples sent)
(5994|6008) pub8  50% (16 samples sent)
(5994|6008) pub8  62% (20 samples sent)
(5994|6008) pub8  71% (23 samples sent)
(5994|6008) pub8  81% (26 samples sent)
(5994|6008) pub8  90% (29 samples sent)
(5994|6011) pub11  90% (29 samples sent)
(5994|6011) pub11  100% (32 samples sent)
(5994|6011) pub11->wait_match() before write for 0103000c.297a35f2.176a338e.00000002(5d55717d)
(5994|6005) pub4  31% (10 samples sent)
(5994|6001) pub1  21% (7 samples sent)
(5994|6001) pub1  31% (10 samples sent)
(5994|6001) pub1  40% (13 samples sent)
(5994|6001) pub1  50% (16 samples sent)
(5994|6001) pub1  62% (20 samples sent)
(5994|6001) pub1  71% (23 samples sent)
(5994|6001) pub1  81% (26 samples sent)
(5994|6001) pub1  90% (29 samples sent)
(5994|6001) pub1  100% (32 samples sent)
(5994|6001) pub1->wait_match() before write for 0103000c.297a35f2.176a337e.00000002(15612120)
(5994|6016) pub15->transport cfg_15
(5994|6005) pub4  40% (13 samples sent)
(5994|6008) pub8  100% (32 samples sent)
(5994|6015) pub16->transport cfg_16
(5994|6005) pub4  50% (16 samples sent)
(5994|6005) pub4  62% (20 samples sent)
(5994|6005) pub4  71% (23 samples sent)
(5994|6005) pub4  81% (26 samples sent)
(5994|6005) pub4  90% (29 samples sent)
(5994|6005) pub4  100% (32 samples sent)
(5994|6005) pub4->wait_match() before write for 0103000c.297a35f2.176a3382.00000002(98a59c7c)
(5994|6008) pub8->wait_match() before write for 0103000c.297a35f2.176a3385.00000002(2a85406c)
(5994|6020) pub21  writer id: 0103000c.297a35f2.176a3392.00000002(f8450bfe)
(5994|6020) pub21->started
(5994|6020) pub21  12% (4 samples sent)
(5994|6020) pub21  21% (7 samples sent)
(5994|6020) pub21  31% (10 samples sent)
(5994|6020) pub21  40% (13 samples sent)
(5994|6020) pub21  50% (16 samples sent)
(5994|6020) pub21  62% (20 samples sent)
(5994|6020) pub21  71% (23 samples sent)
(5994|6020) pub21  81% (26 samples sent)
(5994|6020) pub21  90% (29 samples sent)
(5994|6020) pub21  100% (32 samples sent)
(5994|6020) pub21->wait_match() before write for 0103000c.297a35f2.176a3392.00000002(f8450bfe)
(5994|6012) pub12  writer id: 0103000c.297a35f2.176a3388.00000002(d21584dd)
(5994|6012) pub12->started
(5994|6012) pub12  12% (4 samples sent)
(5994|6012) pub12  21% (7 samples sent)
(5994|6012) pub12  31% (10 samples sent)
(5994|6012) pub12  40% (13 samples sent)
(5994|6012) pub12  50% (16 samples sent)
(5994|6012) pub12  62% (20 samples sent)
(5994|6012) pub12  71% (23 samples sent)
(5994|6012) pub12  81% (26 samples sent)
(5994|6012) pub12  90% (29 samples sent)
(5994|6012) pub12  100% (32 samples sent)
(5994|6012) pub12->wait_match() before write for 0103000c.297a35f2.176a3388.00000002(d21584dd)
(5994|6009) pub10  writer id: 0103000c.297a35f2.176a3387.00000002(5045130c)
(5994|6009) pub10->started
(5994|6009) pub10  12% (4 samples sent)
(5994|6021) pub20->transport cfg_20
(5994|6027) pub27->transport cfg_27
(5994|6013) pub13->transport cfg_13
(5994|6017) pub17  writer id: 0103000c.297a35f2.176a338d.00000002(1af50bad)
(5994|6017) pub17->started
(5994|6017) pub17  12% (4 samples sent)
(5994|6017) pub17  21% (7 samples sent)
(5994|6017) pub17  31% (10 samples sent)
(5994|6017) pub17  40% (13 samples sent)
(5994|6017) pub17  50% (16 samples sent)
(5994|6017) pub17  62% (20 samples sent)
(5994|6017) pub17  71% (23 samples sent)
(5994|6017) pub17  81% (26 samples sent)
(5994|6017) pub17  90% (29 samples sent)
(5994|6017) pub17  100% (32 samples sent)
(5994|6017) pub17->wait_match() before write for 0103000c.297a35f2.176a338d.00000002(1af50bad)
(5994|6030) pub30->transport cfg_30
(5994|6009) pub10  21% (7 samples sent)
(5994|6029) pub29->transport cfg_29
(5994|6023) pub23->transport cfg_23
(5994|6025) pub25->transport cfg_25
(5994|6024) pub24->transport cfg_24
(5994|6014) pub14  writer id: 0103000c.297a35f2.176a338a.00000002(a8d5d7bd)
(5994|6014) pub14->started
(5994|6014) pub14  12% (4 samples sent)
(5994|6014) pub14  21% (7 samples sent)
(5994|6014) pub14  31% (10 samples sent)
(5994|6014) pub14  40% (13 samples sent)
(5994|6014) pub14  50% (16 samples sent)
(5994|6028) pub28->transport cfg_28
(5994|6022) pub22  writer id: 0103000c.297a35f2.176a3391.00000002(bfe5712e)
(5994|6026) pub26->transport cfg_26
(5994|6018) pub18->transport cfg_18
(5994|6019) pub19->transport cfg_19
(5994|6009) pub10  31% (10 samples sent)
(5994|6031) pub31->transport cfg_31
(5994|6014) pub14  62% (20 samples sent)
(5994|6014) pub14  71% (23 samples sent)
(5994|6014) pub14  81% (26 samples sent)
(5994|6014) pub14  90% (29 samples sent)
(5994|6014) pub14  100% (32 samples sent)
(5994|6014) pub14->wait_match() before write for 0103000c.297a35f2.176a338a.00000002(a8d5d7bd)
(5994|6022) pub22->started
(5994|6022) pub22  12% (4 samples sent)
(5994|6022) pub22  21% (7 samples sent)
(5994|6022) pub22  31% (10 samples sent)
(5994|6022) pub22  40% (13 samples sent)
(5994|6022) pub22  50% (16 samples sent)
(5994|6022) pub22  62% (20 samples sent)
(5994|6022) pub22  71% (23 samples sent)
(5994|6022) pub22  81% (26 samples sent)
(5994|6009) pub10  40% (13 samples sent)
(5994|6009) pub10  50% (16 samples sent)
(5994|6009) pub10  62% (20 samples sent)
(5994|6009) pub10  71% (23 samples sent)
(5994|6009) pub10  81% (26 samples sent)
(5994|6009) pub10  90% (29 samples sent)
(5994|6009) pub10  100% (32 samples sent)
(5994|6009) pub10->wait_match() before write for 0103000c.297a35f2.176a3387.00000002(5045130c)
(5994|6022) pub22  90% (29 samples sent)
(5994|6022) pub22  100% (32 samples sent)
(5994|6022) pub22->wait_match() before write for 0103000c.297a35f2.176a3391.00000002(bfe5712e)
(5994|6015) pub16  writer id: 0103000c.297a35f2.176a338b.00000002(95b5fe0d)
(5994|6015) pub16->started
(5994|6015) pub16  12% (4 samples sent)
(5994|6015) pub16  21% (7 samples sent)
(5994|6015) pub16  31% (10 samples sent)
(5994|6015) pub16  40% (13 samples sent)
(5994|6015) pub16  50% (16 samples sent)
(5994|6015) pub16  62% (20 samples sent)
(5994|6015) pub16  71% (23 samples sent)
(5994|6015) pub16  81% (26 samples sent)
(5994|6015) pub16  90% (29 samples sent)
(5994|6023) pub23  writer id: 0103000c.297a35f2.176a3394.00000002(7705fe5e)
(5994|6023) pub23->started
(5994|6023) pub23  12% (4 samples sent)
(5994|6023) pub23  21% (7 samples sent)
(5994|6023) pub23  31% (10 samples sent)
(5994|6023) pub23  40% (13 samples sent)
(5994|6023) pub23  50% (16 samples sent)
(5994|6023) pub23  62% (20 samples sent)
(5994|6023) pub23  71% (23 samples sent)
(5994|6023) pub23  81% (26 samples sent)
(5994|6023) pub23  90% (29 samples sent)
(5994|6023) pub23  100% (32 samples sent)
(5994|6023) pub23->wait_match() before write for 0103000c.297a35f2.176a3394.00000002(7705fe5e)
(5994|6015) pub16  100% (32 samples sent)
(5994|6015) pub16->wait_match() before write for 0103000c.297a35f2.176a338b.00000002(95b5fe0d)
(5994|6027) pub27  writer id: 0103000c.297a35f2.176a3398.00000002(b2f5135f)
(5994|6027) pub27->started
(5994|6027) pub27  12% (4 samples sent)
(5994|6027) pub27  21% (7 samples sent)
(5994|6027) pub27  31% (10 samples sent)
(5994|6027) pub27  40% (13 samples sent)
(5994|6027) pub27  50% (16 samples sent)
(5994|6016) pub15  writer id: 0103000c.297a35f2.176a338c.00000002(2795221d)
(5994|6016) pub15->started
(5994|6025) pub25  writer id: 0103000c.297a35f2.176a3396.00000002(0dc5ad3e)
(5994|6025) pub25->started
(5994|6016) pub15  12% (4 samples sent)
(5994|6025) pub25  12% (4 samples sent)
(5994|6016) pub15  21% (7 samples sent)
(5994|6016) pub15  31% (10 samples sent)
(5994|6027) pub27  62% (20 samples sent)
(5994|6016) pub15  40% (13 samples sent)
(5994|6027) pub27  71% (23 samples sent)
(5994|6016) pub15  50% (16 samples sent)
(5994|6027) pub27  81% (26 samples sent)
(5994|6027) pub27  90% (29 samples sent)
(5994|6016) pub15  62% (20 samples sent)
(5994|6027) pub27  100% (32 samples sent)
(5994|6027) pub27->wait_match() before write for 0103000c.297a35f2.176a3398.00000002(b2f5135f)
(5994|6024) pub24  writer id: 0103000c.297a35f2.176a3395.00000002(4a65d7ee)
(5994|6024) pub24->started
(5994|6024) pub24  12% (4 samples sent)
(5994|6024) pub24  21% (7 samples sent)
(5994|6024) pub24  31% (10 samples sent)
(5994|6024) pub24  40% (13 samples sent)
(5994|6024) pub24  50% (16 samples sent)
(5994|6024) pub24  62% (20 samples sent)
(5994|6024) pub24  71% (23 samples sent)
(5994|6024) pub24  81% (26 samples sent)
(5994|6024) pub24  90% (29 samples sent)
(5994|6024) pub24  100% (32 samples sent)
(5994|6024) pub24->wait_match() before write for 0103000c.297a35f2.176a3395.00000002(4a65d7ee)
(5994|6025) pub25  21% (7 samples sent)
(5994|6025) pub25  31% (10 samples sent)
(5994|6025) pub25  40% (13 samples sent)
(5994|6025) pub25  50% (16 samples sent)
(5994|6025) pub25  62% (20 samples sent)
(5994|6025) pub25  71% (23 samples sent)
(5994|6025) pub25  81% (26 samples sent)
(5994|6025) pub25  90% (29 samples sent)
(5994|6025) pub25  100% (32 samples sent)
(5994|6025) pub25->wait_match() before write for 0103000c.297a35f2.176a3396.00000002(0dc5ad3e)
(5994|6016) pub15  71% (23 samples sent)
(5994|6016) pub15  81% (26 samples sent)
(5994|6016) pub15  90% (29 samples sent)
(5994|6016) pub15  100% (32 samples sent)
(5994|6016) pub15->wait_match() before write for 0103000c.297a35f2.176a338c.00000002(2795221d)
(5994|6026) pub26  writer id: 0103000c.297a35f2.176a3397.00000002(30a5848e)
(5994|6026) pub26->started
(5994|6026) pub26  12% (4 samples sent)
(5994|6026) pub26  21% (7 samples sent)
(5994|6026) pub26  31% (10 samples sent)
(5994|6026) pub26  40% (13 samples sent)
(5994|6026) pub26  50% (16 samples sent)
(5994|6026) pub26  62% (20 samples sent)
(5994|6026) pub26  71% (23 samples sent)
(5994|6026) pub26  81% (26 samples sent)
(5994|6029) pub29  writer id: 0103000c.297a35f2.176a339b.00000002(f555698f)
(5994|6029) pub29->started
(5994|6030) pub30  writer id: 0103000c.297a35f2.176a3399.00000002(8f953aef)
(5994|6030) pub30->started
(5994|6018) pub18  writer id: 0103000c.297a35f2.176a338f.00000002(603558cd)
(5994|6018) pub18->started
(5994|6018) pub18  12% (4 samples sent)
(5994|6018) pub18  21% (7 samples sent)
(5994|6018) pub18  31% (10 samples sent)
(5994|6018) pub18  40% (13 samples sent)
(5994|6018) pub18  50% (16 samples sent)
(5994|6018) pub18  62% (20 samples sent)
(5994|6018) pub18  71% (23 samples sent)
(5994|6029) pub29  12% (4 samples sent)
(5994|6013) pub13  writer id: 0103000c.297a35f2.176a3389.00000002(ef75ad6d)
(5994|6030) pub30  12% (4 samples sent)
(5994|6030) pub30  21% (7 samples sent)
(5994|6030) pub30  31% (10 samples sent)
(5994|6030) pub30  40% (13 samples sent)
(5994|6030) pub30  50% (16 samples sent)
(5994|6030) pub30  62% (20 samples sent)
(5994|6030) pub30  71% (23 samples sent)
(5994|6030) pub30  81% (26 samples sent)
(5994|6031) pub31  writer id: 0103000c.297a35f2.176a339c.00000002(4775b59f)
(5994|6031) pub31->started
(5994|6031) pub31  12% (4 samples sent)
(5994|6031) pub31  21% (7 samples sent)
(5994|6031) pub31  31% (10 samples sent)
(5994|6031) pub31  40% (13 samples sent)
(5994|6031) pub31  50% (16 samples sent)
(5994|6031) pub31  62% (20 samples sent)
(5994|6031) pub31  71% (23 samples sent)
(5994|6031) pub31  81% (26 samples sent)
(5994|6031) pub31  90% (29 samples sent)
(5994|6031) pub31  100% (32 samples sent)
(5994|6031) pub31->wait_match() before write for 0103000c.297a35f2.176a339c.00000002(4775b59f)
(5994|6026) pub26  90% (29 samples sent)
(5994|6026) pub26  100% (32 samples sent)
(5994|6026) pub26->wait_match() before write for 0103000c.297a35f2.176a3397.00000002(30a5848e)
(5994|6029) pub29  21% (7 samples sent)
(5994|6029) pub29  31% (10 samples sent)
(5994|6029) pub29  40% (13 samples sent)
(5994|6013) pub13->started
(5994|6029) pub29  50% (16 samples sent)
(5994|6029) pub29  62% (20 samples sent)
(5994|6013) pub13  12% (4 samples sent)
(5994|6029) pub29  71% (23 samples sent)
(5994|6013) pub13  21% (7 samples sent)
(5994|6029) pub29  81% (26 samples sent)
(5994|6013) pub13  31% (10 samples sent)
(5994|6029) pub29  90% (29 samples sent)
(5994|6013) pub13  40% (13 samples sent)
(5994|6029) pub29  100% (32 samples sent)
(5994|6029) pub29->wait_match() before write for 0103000c.297a35f2.176a339b.00000002(f555698f)
(5994|6028) pub28  writer id: 0103000c.297a35f2.176a339a.00000002(c835403f)
(5994|6028) pub28->started
(5994|6028) pub28  12% (4 samples sent)
(5994|6028) pub28  21% (7 samples sent)
(5994|6028) pub28  31% (10 samples sent)
(5994|6028) pub28  40% (13 samples sent)
(5994|6018) pub18  81% (26 samples sent)
(5994|6028) pub28  50% (16 samples sent)
(5994|6018) pub18  90% (29 samples sent)
(5994|6028) pub28  62% (20 samples sent)
(5994|6018) pub18  100% (32 samples sent)
(5994|6018) pub18->wait_match() before write for 0103000c.297a35f2.176a338f.00000002(603558cd)
(5994|6013) pub13  50% (16 samples sent)
(5994|6013) pub13  62% (20 samples sent)
(5994|6013) pub13  71% (23 samples sent)
(5994|6013) pub13  81% (26 samples sent)
(5994|6013) pub13  90% (29 samples sent)
(5994|6013) pub13  100% (32 samples sent)
(5994|6013) pub13->wait_match() before write for 0103000c.297a35f2.176a3389.00000002(ef75ad6d)
(5994|6030) pub30  90% (29 samples sent)
(5994|6030) pub30  100% (32 samples sent)
(5994|6030) pub30->wait_match() before write for 0103000c.297a35f2.176a3399.00000002(8f953aef)
(5994|6028) pub28  71% (23 samples sent)
(5994|6028) pub28  81% (26 samples sent)
(5994|6028) pub28  90% (29 samples sent)
(5994|6028) pub28  100% (32 samples sent)
(5994|6028) pub28->wait_match() before write for 0103000c.297a35f2.176a339a.00000002(c835403f)
(5994|6019) pub19  writer id: 0103000c.297a35f2.176a3390.00000002(8285589e)
(5994|6019) pub19->started
(5994|6019) pub19  12% (4 samples sent)
(5994|6019) pub19  21% (7 samples sent)
(5994|6019) pub19  31% (10 samples sent)
(5994|6019) pub19  40% (13 samples sent)
(5994|6019) pub19  50% (16 samples sent)
(5994|6019) pub19  62% (20 samples sent)
(5994|6019) pub19  71% (23 samples sent)
(5994|6019) pub19  81% (26 samples sent)
(5994|6019) pub19  90% (29 samples sent)
(5994|6019) pub19  100% (32 samples sent)
(5994|6019) pub19->wait_match() before write for 0103000c.297a35f2.176a3390.00000002(8285589e)
(5994|6021) pub20  writer id: 0103000c.297a35f2.176a3393.00000002(c525224e)
(5994|6021) pub20->started
(5994|6021) pub20  12% (4 samples sent)
(5994|6021) pub20  21% (7 samples sent)
(5994|6021) pub20  31% (10 samples sent)
(5994|6021) pub20  40% (13 samples sent)
(5994|6021) pub20  50% (16 samples sent)
(5994|6021) pub20  62% (20 samples sent)
(5994|6021) pub20  71% (23 samples sent)
(5994|6021) pub20  81% (26 samples sent)
(5994|6021) pub20  90% (29 samples sent)
(5994|6021) pub20  100% (32 samples sent)
(5994|6021) pub20->wait_match() before write for 0103000c.297a35f2.176a3393.00000002(c525224e)
(5994|6000) pub0<-match found! before write for 0103000c.297a35f2.176a337d.00000002(52c15bf0)
(5994|6000) pub0  waiting for acks
(5994|6027) pub27<-match found! before write for 0103000c.297a35f2.176a3398.00000002(b2f5135f)
(5994|6027) pub27  waiting for acks
(5994|6012) pub12<-match found! before write for 0103000c.297a35f2.176a3388.00000002(d21584dd)
(5994|6012) pub12  waiting for acks
(5994|6013) pub13<-match found! before write for 0103000c.297a35f2.176a3389.00000002(ef75ad6d)
(5994|6013) pub13  waiting for acks
(5994|6012) pub12  waiting for acks returned
(5994|6012) pub12<-delete_contained_entities
(5994|6027) pub27  waiting for acks returned
(5994|6027) pub27<-delete_contained_entities
(5994|6001) pub1<-match found! before write for 0103000c.297a35f2.176a337e.00000002(15612120)
(5994|6001) pub1  waiting for acks
(5994|6012) pub12<-delete_participant
(5994|6027) pub27<-delete_participant
(5994|6001) pub1  waiting for acks returned
(5994|6001) pub1<-delete_contained_entities
(5994|6002) pub2<-match found! before write for 0103000c.297a35f2.176a337f.00000002(28010890)
(5994|6002) pub2  waiting for acks
(5994|6020) pub21<-match found! before write for 0103000c.297a35f2.176a3392.00000002(f8450bfe)
(5994|6020) pub21  waiting for acks
(5994|6001) pub1<-delete_participant
(5994|6000) pub0  waiting for acks returned
(5994|6000) pub0<-delete_contained_entities
(5994|6018) pub18<-match found! before write for 0103000c.297a35f2.176a338f.00000002(603558cd)
(5994|6018) pub18  waiting for acks
(5994|6020) pub21  waiting for acks returned
(5994|6020) pub21<-delete_contained_entities
(5994|6022) pub22<-match found! before write for 0103000c.297a35f2.176a3391.00000002(bfe5712e)
(5994|6022) pub22  waiting for acks
(5994|6008) pub8<-match found! before write for 0103000c.297a35f2.176a3385.00000002(2a85406c)
(5994|6008) pub8  waiting for acks
(5994|6019) pub19<-match found! before write for 0103000c.297a35f2.176a3390.00000002(8285589e)
(5994|6019) pub19  waiting for acks
(5994|6010) pub9<-match found! before write for 0103000c.297a35f2.176a3386.00000002(6d253abc)
(5994|6010) pub9  waiting for acks
(5994|6023) pub23<-match found! before write for 0103000c.297a35f2.176a3394.00000002(7705fe5e)
(5994|6023) pub23  waiting for acks
(5994|6018) pub18  waiting for acks returned
(5994|6018) pub18<-delete_contained_entities
(5994|6000) pub0<-delete_participant
(5994|6007) pub7<-match found! before write for 0103000c.297a35f2.176a3384.00000002(17e569dc)
(5994|6007) pub7  waiting for acks
(5994|6013) pub13  waiting for acks returned
(5994|6013) pub13<-delete_contained_entities
(5994|6020) pub21<-delete_participant
(5994|6002) pub2  waiting for acks returned
(5994|6002) pub2<-delete_contained_entities
(5994|6021) pub20<-match found! before write for 0103000c.297a35f2.176a3393.00000002(c525224e)
(5994|6021) pub20  waiting for acks
(5994|6010) pub9  waiting for acks returned
(5994|6010) pub9<-delete_contained_entities
(5994|6019) pub19  waiting for acks returned
(5994|6019) pub19<-delete_contained_entities
(5994|6003) pub3<-match found! before write for 0103000c.297a35f2.176a3380.00000002(e265cf1c)
(5994|6003) pub3  waiting for acks
(5994|6005) pub4<-match found! before write for 0103000c.297a35f2.176a3382.00000002(98a59c7c)
(5994|6005) pub4  waiting for acks
(5994|6007) pub7  waiting for acks returned
(5994|6007) pub7<-delete_contained_entities
(5994|6008) pub8  waiting for acks returned
(5994|6008) pub8<-delete_contained_entities
(5994|6018) pub18<-delete_participant
(5994|6025) pub25<-match found! before write for 0103000c.297a35f2.176a3396.00000002(0dc5ad3e)
(5994|6025) pub25  waiting for acks
(5994|6021) pub20  waiting for acks returned
(5994|6021) pub20<-delete_contained_entities
(5994|6023) pub23  waiting for acks returned
(5994|6023) pub23<-delete_contained_entities
(5994|6013) pub13<-delete_participant
(5994|6011) pub11<-match found! before write for 0103000c.297a35f2.176a338e.00000002(5d55717d)
(5994|6011) pub11  waiting for acks
(5994|6002) pub2<-delete_participant
(5994|6003) pub3  waiting for acks returned
(5994|6003) pub3<-delete_contained_entities
(5994|6006) pub6<-match found! before write for 0103000c.297a35f2.176a3383.00000002(a5c5b5cc)
(5994|6006) pub6  waiting for acks
(5994|6005) pub4  waiting for acks returned
(5994|6005) pub4<-delete_contained_entities
(5994|6010) pub9<-delete_participant
(5994|6019) pub19<-delete_participant
(5994|6007) pub7<-delete_participant
(5994|6008) pub8<-delete_participant
(5994|6025) pub25  waiting for acks returned
(5994|6025) pub25<-delete_contained_entities
(5994|6017) pub17<-match found! before write for 0103000c.297a35f2.176a338d.00000002(1af50bad)
(5994|6017) pub17  waiting for acks
(5994|6009) pub10<-match found! before write for 0103000c.297a35f2.176a3387.00000002(5045130c)
(5994|6009) pub10  waiting for acks
(5994|6021) pub20<-delete_participant
(5994|6016) pub15<-match found! before write for 0103000c.297a35f2.176a338c.00000002(2795221d)
(5994|6016) pub15  waiting for acks
(5994|6011) pub11  waiting for acks returned
(5994|6011) pub11<-delete_contained_entities
(5994|6023) pub23<-delete_participant
(5994|6003) pub3<-delete_participant
(5994|6026) pub26<-match found! before write for 0103000c.297a35f2.176a3397.00000002(30a5848e)
(5994|6026) pub26  waiting for acks
(5994|6022) pub22  waiting for acks returned
(5994|6022) pub22<-delete_contained_entities
(5994|6029) pub29<-match found! before write for 0103000c.297a35f2.176a339b.00000002(f555698f)
(5994|6029) pub29  waiting for acks
(5994|6005) pub4<-delete_participant
(5994|6014) pub14<-match found! before write for 0103000c.297a35f2.176a338a.00000002(a8d5d7bd)
(5994|6014) pub14  waiting for acks
(5994|6024) pub24<-match found! before write for 0103000c.297a35f2.176a3395.00000002(4a65d7ee)
(5994|6024) pub24  waiting for acks
(5994|6031) pub31<-match found! before write for 0103000c.297a35f2.176a339c.00000002(4775b59f)
(5994|6031) pub31  waiting for acks
(5994|6004) pub5<-match found! before write for 0103000c.297a35f2.176a3381.00000002(df05e6ac)
(5994|6004) pub5  waiting for acks
(5994|6025) pub25<-delete_participant
(5994|6017) pub17  waiting for acks returned
(5994|6017) pub17<-delete_contained_entities
(5994|6030) pub30<-match found! before write for 0103000c.297a35f2.176a3399.00000002(8f953aef)
(5994|6030) pub30  waiting for acks
(5994|6009) pub10  waiting for acks returned
(5994|6009) pub10<-delete_contained_entities
(5994|6028) pub28<-match found! before write for 0103000c.297a35f2.176a339a.00000002(c835403f)
(5994|6028) pub28  waiting for acks
(5994|6011) pub11<-delete_participant
(5994|6016) pub15  waiting for acks returned
(5994|6016) pub15<-delete_contained_entities
(5994|6015) pub16<-match found! before write for 0103000c.297a35f2.176a338b.00000002(95b5fe0d)
(5994|6015) pub16  waiting for acks
(5994|6006) pub6  waiting for acks returned
(5994|6006) pub6<-delete_contained_entities
(5994|6026) pub26  waiting for acks returned
(5994|6026) pub26<-delete_contained_entities
(5994|6022) pub22<-delete_participant
(5994|6029) pub29  waiting for acks returned
(5994|6029) pub29<-delete_contained_entities
(5994|6014) pub14  waiting for acks returned
(5994|6014) pub14<-delete_contained_entities
(5994|6024) pub24  waiting for acks returned
(5994|6024) pub24<-delete_contained_entities
(5994|5999) sub condition_.notify_all
(5994|5994) sub condition_.wait returned
(5994|5994) sub check_received
(5994|5994) sub check_received returns 0
(5994|5994) <- PublisherService::end
(5994|6031) pub31  waiting for acks returned
(5994|6031) pub31<-delete_contained_entities
(5994|6004) pub5  waiting for acks returned
(5994|6004) pub5<-delete_contained_entities
(5994|6030) pub30  waiting for acks returned
(5994|6030) pub30<-delete_contained_entities
(5994|6028) pub28  waiting for acks returned
(5994|6028) pub28<-delete_contained_entities
(5994|6015) pub16  waiting for acks returned
(5994|6015) pub16<-delete_contained_entities
(5994|6017) pub17<-delete_participant
(5994|6009) pub10<-delete_participant
(5994|6016) pub15<-delete_participant
(5994|6006) pub6<-delete_participant
(5994|6026) pub26<-delete_participant
(5994|6029) pub29<-delete_participant
(5994|6014) pub14<-delete_participant
(5994|6024) pub24<-delete_participant
(5994|6031) pub31<-delete_participant
(5994|6004) pub5<-delete_participant
(5994|6030) pub30<-delete_participant
(5994|6028) pub28<-delete_participant
(5994|6015) pub16<-delete_participant
(5994|5994) <- PublisherService::~PublisherService
(5994|5994) <- Subscriber delete_contained_entities
(5994|5994) <- Subscriber delete_participant
(5994|5994) <- Subscriber::~Subscriber
(5994|5994) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl high rtps durable Time:16s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl aggressive rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 64 -s 16 -n 1024 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 6162 started at 2023-04-26 16:13:58
(6162|6162) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(6162|6162) -> Thrasher started
(6162|6162) -> Subscriber::Subscriber
(6162|6162)    Subscriber reader id: 0103000c.297a35f2.18128f22.00000007(f347a88b)
(6162|6162) -> PublisherService::PublisherService
(6162|6162) -> PublisherService::start (64 threads)
(6162|6162) sub wait_received 0:64
(6162|6168) pub0->transport cfg_0
(6162|6172) pub7->transport cfg_7
(6162|6182) pub14->transport cfg_14
(6162|6174) pub6->transport cfg_6
(6162|6169) pub1->transport cfg_1
(6162|6170) pub3->transport cfg_3
(6162|6178) pub9->transport cfg_9
(6162|6175) pub2->transport cfg_2
(6162|6173) pub5->transport cfg_5
(6162|6171) pub4->transport cfg_4
(6162|6168) pub0  writer id: 0103000c.297a35f2.18128f23.00000002(be4d75b4)
(6162|6168) pub0->started
(6162|6182) pub14  writer id: 0103000c.297a35f2.18128f31.00000002(a46db156)
(6162|6182) pub14->started
(6162|6178) pub9  writer id: 0103000c.297a35f2.18128f2b.00000002(8e3d3e75)
(6162|6178) pub9->started
(6162|6168) pub0  12% (2 samples sent)
(6162|6168) pub0  25% (4 samples sent)
(6162|6178) pub9  12% (2 samples sent)
(6162|6168) pub0  31% (5 samples sent)
(6162|6178) pub9  25% (4 samples sent)
(6162|6168) pub0  43% (7 samples sent)
(6162|6178) pub9  31% (5 samples sent)
(6162|6168) pub0  50% (8 samples sent)
(6162|6178) pub9  43% (7 samples sent)
(6162|6168) pub0  62% (10 samples sent)
(6162|6178) pub9  50% (8 samples sent)
(6162|6168) pub0  75% (12 samples sent)
(6162|6178) pub9  62% (10 samples sent)
(6162|6168) pub0  81% (13 samples sent)
(6162|6178) pub9  75% (12 samples sent)
(6162|6168) pub0  93% (15 samples sent)
(6162|6178) pub9  81% (13 samples sent)
(6162|6168) pub0  100% (16 samples sent)
(6162|6168) pub0->wait_match() before write for 0103000c.297a35f2.18128f23.00000002(be4d75b4)
(6162|6182) pub14  12% (2 samples sent)
(6162|6182) pub14  25% (4 samples sent)
(6162|6182) pub14  31% (5 samples sent)
(6162|6182) pub14  43% (7 samples sent)
(6162|6182) pub14  50% (8 samples sent)
(6162|6182) pub14  62% (10 samples sent)
(6162|6182) pub14  75% (12 samples sent)
(6162|6182) pub14  81% (13 samples sent)
(6162|6182) pub14  93% (15 samples sent)
(6162|6182) pub14  100% (16 samples sent)
(6162|6182) pub14->wait_match() before write for 0103000c.297a35f2.18128f31.00000002(a46db156)
(6162|6172) pub7  writer id: 0103000c.297a35f2.18128f2a.00000002(b35d17c5)
(6162|6178) pub9  93% (15 samples sent)
(6162|6178) pub9  100% (16 samples sent)
(6162|6178) pub9->wait_match() before write for 0103000c.297a35f2.18128f2b.00000002(8e3d3e75)
(6162|6172) pub7->started
(6162|6172) pub7  12% (2 samples sent)
(6162|6172) pub7  25% (4 samples sent)
(6162|6172) pub7  31% (5 samples sent)
(6162|6172) pub7  43% (7 samples sent)
(6162|6172) pub7  50% (8 samples sent)
(6162|6172) pub7  62% (10 samples sent)
(6162|6172) pub7  75% (12 samples sent)
(6162|6172) pub7  81% (13 samples sent)
(6162|6172) pub7  93% (15 samples sent)
(6162|6172) pub7  100% (16 samples sent)
(6162|6172) pub7->wait_match() before write for 0103000c.297a35f2.18128f2a.00000002(b35d17c5)
(6162|6170) pub3  writer id: 0103000c.297a35f2.18128f25.00000002(310d8014)
(6162|6170) pub3->started
(6162|6173) pub5  writer id: 0103000c.297a35f2.18128f29.00000002(f4fd6d15)
(6162|6173) pub5->started
(6162|6173) pub5  12% (2 samples sent)
(6162|6173) pub5  25% (4 samples sent)
(6162|6173) pub5  31% (5 samples sent)
(6162|6173) pub5  43% (7 samples sent)
(6162|6173) pub5  50% (8 samples sent)
(6162|6173) pub5  62% (10 samples sent)
(6162|6173) pub5  75% (12 samples sent)
(6162|6173) pub5  81% (13 samples sent)
(6162|6173) pub5  93% (15 samples sent)
(6162|6173) pub5  100% (16 samples sent)
(6162|6173) pub5->wait_match() before write for 0103000c.297a35f2.18128f29.00000002(f4fd6d15)
(6162|6185) pub17->transport cfg_17
(6162|6170) pub3  12% (2 samples sent)
(6162|6175) pub2  writer id: 0103000c.297a35f2.18128f27.00000002(4bcdd374)
(6162|6175) pub2->started
(6162|6175) pub2  12% (2 samples sent)
(6162|6175) pub2  25% (4 samples sent)
(6162|6175) pub2  31% (5 samples sent)
(6162|6175) pub2  43% (7 samples sent)
(6162|6175) pub2  50% (8 samples sent)
(6162|6175) pub2  62% (10 samples sent)
(6162|6175) pub2  75% (12 samples sent)
(6162|6175) pub2  81% (13 samples sent)
(6162|6175) pub2  93% (15 samples sent)
(6162|6175) pub2  100% (16 samples sent)
(6162|6175) pub2->wait_match() before write for 0103000c.297a35f2.18128f27.00000002(4bcdd374)
(6162|6170) pub3  25% (4 samples sent)
(6162|6170) pub3  31% (5 samples sent)
(6162|6170) pub3  43% (7 samples sent)
(6162|6170) pub3  50% (8 samples sent)
(6162|6170) pub3  62% (10 samples sent)
(6162|6170) pub3  75% (12 samples sent)
(6162|6170) pub3  81% (13 samples sent)
(6162|6170) pub3  93% (15 samples sent)
(6162|6170) pub3  100% (16 samples sent)
(6162|6170) pub3->wait_match() before write for 0103000c.297a35f2.18128f25.00000002(310d8014)
(6162|6176) pub10->transport cfg_10
(6162|6169) pub1  writer id: 0103000c.297a35f2.18128f24.00000002(0c6da9a4)
(6162|6169) pub1->started
(6162|6169) pub1  12% (2 samples sent)
(6162|6169) pub1  25% (4 samples sent)
(6162|6169) pub1  31% (5 samples sent)
(6162|6169) pub1  43% (7 samples sent)
(6162|6169) pub1  50% (8 samples sent)
(6162|6169) pub1  62% (10 samples sent)
(6162|6169) pub1  75% (12 samples sent)
(6162|6169) pub1  81% (13 samples sent)
(6162|6169) pub1  93% (15 samples sent)
(6162|6169) pub1  100% (16 samples sent)
(6162|6169) pub1->wait_match() before write for 0103000c.297a35f2.18128f24.00000002(0c6da9a4)
(6162|6174) pub6  writer id: 0103000c.297a35f2.18128f26.00000002(76adfac4)
(6162|6174) pub6->started
(6162|6174) pub6  12% (2 samples sent)
(6162|6174) pub6  25% (4 samples sent)
(6162|6174) pub6  31% (5 samples sent)
(6162|6174) pub6  43% (7 samples sent)
(6162|6174) pub6  50% (8 samples sent)
(6162|6174) pub6  62% (10 samples sent)
(6162|6174) pub6  75% (12 samples sent)
(6162|6174) pub6  81% (13 samples sent)
(6162|6174) pub6  93% (15 samples sent)
(6162|6174) pub6  100% (16 samples sent)
(6162|6174) pub6->wait_match() before write for 0103000c.297a35f2.18128f26.00000002(76adfac4)
(6162|6171) pub4  writer id: 0103000c.297a35f2.18128f28.00000002(c99d44a5)
(6162|6171) pub4->started
(6162|6171) pub4  12% (2 samples sent)
(6162|6171) pub4  25% (4 samples sent)
(6162|6171) pub4  31% (5 samples sent)
(6162|6171) pub4  43% (7 samples sent)
(6162|6171) pub4  50% (8 samples sent)
(6162|6171) pub4  62% (10 samples sent)
(6162|6171) pub4  75% (12 samples sent)
(6162|6171) pub4  81% (13 samples sent)
(6162|6171) pub4  93% (15 samples sent)
(6162|6171) pub4  100% (16 samples sent)
(6162|6171) pub4->wait_match() before write for 0103000c.297a35f2.18128f28.00000002(c99d44a5)
(6162|6193) pub24->transport cfg_24
(6162|6185) pub17  writer id: 0103000c.297a35f2.18128f34.00000002(6c8d3e26)
(6162|6185) pub17->started
(6162|6185) pub17  12% (2 samples sent)
(6162|6185) pub17  25% (4 samples sent)
(6162|6185) pub17  31% (5 samples sent)
(6162|6185) pub17  43% (7 samples sent)
(6162|6185) pub17  50% (8 samples sent)
(6162|6185) pub17  62% (10 samples sent)
(6162|6185) pub17  75% (12 samples sent)
(6162|6185) pub17  81% (13 samples sent)
(6162|6185) pub17  93% (15 samples sent)
(6162|6185) pub17  100% (16 samples sent)
(6162|6185) pub17->wait_match() before write for 0103000c.297a35f2.18128f34.00000002(6c8d3e26)
(6162|6196) pub28->transport cfg_28
(6162|6179) pub11->transport cfg_11
(6162|6184) pub16->transport cfg_16
(6162|6181) pub13->transport cfg_13
(6162|6183) pub15->transport cfg_15
(6162|6180) pub12->transport cfg_12
(6162|6207) pub41->transport cfg_41
(6162|6193) pub24  writer id: 0103000c.297a35f2.18128f3a.00000002(d3bd8047)
(6162|6193) pub24->started
(6162|6193) pub24  12% (2 samples sent)
(6162|6193) pub24  25% (4 samples sent)
(6162|6193) pub24  31% (5 samples sent)
(6162|6193) pub24  43% (7 samples sent)
(6162|6193) pub24  50% (8 samples sent)
(6162|6193) pub24  62% (10 samples sent)
(6162|6193) pub24  75% (12 samples sent)
(6162|6193) pub24  81% (13 samples sent)
(6162|6193) pub24  93% (15 samples sent)
(6162|6193) pub24  100% (16 samples sent)
(6162|6193) pub24->wait_match() before write for 0103000c.297a35f2.18128f3a.00000002(d3bd8047)
(6162|6188) pub20->transport cfg_20
(6162|6186) pub18->transport cfg_18
(6162|6176) pub10  writer id: 0103000c.297a35f2.18128f2d.00000002(017dcbd5)
(6162|6189) pub21->transport cfg_21
(6162|6204) pub36->transport cfg_36
(6162|6200) pub31->transport cfg_31
(6162|6176) pub10->started
(6162|6176) pub10  12% (2 samples sent)
(6162|6195) pub27->transport cfg_27
(6162|6177) pub8->transport cfg_8
(6162|6176) pub10  25% (4 samples sent)
(6162|6176) pub10  31% (5 samples sent)
(6162|6176) pub10  43% (7 samples sent)
(6162|6176) pub10  50% (8 samples sent)
(6162|6176) pub10  62% (10 samples sent)
(6162|6176) pub10  75% (12 samples sent)
(6162|6176) pub10  81% (13 samples sent)
(6162|6176) pub10  93% (15 samples sent)
(6162|6176) pub10  100% (16 samples sent)
(6162|6176) pub10->wait_match() before write for 0103000c.297a35f2.18128f2d.00000002(017dcbd5)
(6162|6227) pub52->transport cfg_52
(6162|6192) pub25->transport cfg_25
(6162|6197) pub29->transport cfg_29
(6162|6209) pub37->transport cfg_37
(6162|6194) pub26->transport cfg_26
(6162|6179) pub11  writer id: 0103000c.297a35f2.18128f2c.00000002(3c1de265)
(6162|6206) pub48->transport cfg_48
(6162|6196) pub28  writer id: 0103000c.297a35f2.18128f3e.00000002(263d2687)
(6162|6196) pub28->started
(6162|6196) pub28  12% (2 samples sent)
(6162|6196) pub28  25% (4 samples sent)
(6162|6196) pub28  31% (5 samples sent)
(6162|6196) pub28  43% (7 samples sent)
(6162|6196) pub28  50% (8 samples sent)
(6162|6196) pub28  62% (10 samples sent)
(6162|6196) pub28  75% (12 samples sent)
(6162|6196) pub28  81% (13 samples sent)
(6162|6196) pub28  93% (15 samples sent)
(6162|6196) pub28  100% (16 samples sent)
(6162|6196) pub28->wait_match() before write for 0103000c.297a35f2.18128f3e.00000002(263d2687)
(6162|6207) pub41  writer id: 0103000c.297a35f2.18128f4b.00000002(170f4938)
(6162|6179) pub11->started
(6162|6179) pub11  12% (2 samples sent)
(6162|6179) pub11  25% (4 samples sent)
(6162|6179) pub11  31% (5 samples sent)
(6162|6179) pub11  43% (7 samples sent)
(6162|6179) pub11  50% (8 samples sent)
(6162|6179) pub11  62% (10 samples sent)
(6162|6179) pub11  75% (12 samples sent)
(6162|6179) pub11  81% (13 samples sent)
(6162|6179) pub11  93% (15 samples sent)
(6162|6179) pub11  100% (16 samples sent)
(6162|6179) pub11->wait_match() before write for 0103000c.297a35f2.18128f2c.00000002(3c1de265)
(6162|6183) pub15  writer id: 0103000c.297a35f2.18128f33.00000002(deade236)
(6162|6183) pub15->started
(6162|6183) pub15  12% (2 samples sent)
(6162|6183) pub15  25% (4 samples sent)
(6162|6183) pub15  31% (5 samples sent)
(6162|6183) pub15  43% (7 samples sent)
(6162|6183) pub15  50% (8 samples sent)
(6162|6223) pub50->transport cfg_50
(6162|6207) pub41->started
(6162|6207) pub41  12% (2 samples sent)
(6162|6207) pub41  25% (4 samples sent)
(6162|6207) pub41  31% (5 samples sent)
(6162|6207) pub41  43% (7 samples sent)
(6162|6207) pub41  50% (8 samples sent)
(6162|6207) pub41  62% (10 samples sent)
(6162|6207) pub41  75% (12 samples sent)
(6162|6207) pub41  81% (13 samples sent)
(6162|6207) pub41  93% (15 samples sent)
(6162|6207) pub41  100% (16 samples sent)
(6162|6207) pub41->wait_match() before write for 0103000c.297a35f2.18128f4b.00000002(170f4938)
(6162|6198) pub30->transport cfg_30
(6162|6180) pub12  writer id: 0103000c.297a35f2.18128f2f.00000002(7bbd98b5)
(6162|6180) pub12->started
(6162|6180) pub12  12% (2 samples sent)
(6162|6180) pub12  25% (4 samples sent)
(6162|6180) pub12  31% (5 samples sent)
(6162|6180) pub12  43% (7 samples sent)
(6162|6180) pub12  50% (8 samples sent)
(6162|6180) pub12  62% (10 samples sent)
(6162|6180) pub12  75% (12 samples sent)
(6162|6180) pub12  81% (13 samples sent)
(6162|6180) pub12  93% (15 samples sent)
(6162|6180) pub12  100% (16 samples sent)
(6162|6180) pub12->wait_match() before write for 0103000c.297a35f2.18128f2f.00000002(7bbd98b5)
(6162|6221) pub55->transport cfg_55
(6162|6201) pub33->transport cfg_33
(6162|6183) pub15  62% (10 samples sent)
(6162|6187) pub19->transport cfg_19
(6162|6188) pub20  writer id: 0103000c.297a35f2.18128f35.00000002(51ed1796)
(6162|6188) pub20->started
(6162|6188) pub20  12% (2 samples sent)
(6162|6188) pub20  25% (4 samples sent)
(6162|6188) pub20  31% (5 samples sent)
(6162|6188) pub20  43% (7 samples sent)
(6162|6188) pub20  50% (8 samples sent)
(6162|6188) pub20  62% (10 samples sent)
(6162|6188) pub20  75% (12 samples sent)
(6162|6188) pub20  81% (13 samples sent)
(6162|6188) pub20  93% (15 samples sent)
(6162|6188) pub20  100% (16 samples sent)
(6162|6188) pub20->wait_match() before write for 0103000c.297a35f2.18128f35.00000002(51ed1796)
(6162|6200) pub31  writer id: 0103000c.297a35f2.18128f41.00000002(5dbf5199)
(6162|6200) pub31->started
(6162|6200) pub31  12% (2 samples sent)
(6162|6200) pub31  25% (4 samples sent)
(6162|6200) pub31  31% (5 samples sent)
(6162|6200) pub31  43% (7 samples sent)
(6162|6200) pub31  50% (8 samples sent)
(6162|6200) pub31  62% (10 samples sent)
(6162|6200) pub31  75% (12 samples sent)
(6162|6200) pub31  81% (13 samples sent)
(6162|6200) pub31  93% (15 samples sent)
(6162|6200) pub31  100% (16 samples sent)
(6162|6200) pub31->wait_match() before write for 0103000c.297a35f2.18128f41.00000002(5dbf5199)
(6162|6184) pub16  writer id: 0103000c.297a35f2.18128f30.00000002(990d98e6)
(6162|6184) pub16->started
(6162|6184) pub16  12% (2 samples sent)
(6162|6218) pub63->transport cfg_63
(6162|6181) pub13  writer id: 0103000c.297a35f2.18128f32.00000002(e3cdcb86)
(6162|6181) pub13->started
(6162|6181) pub13  12% (2 samples sent)
(6162|6181) pub13  25% (4 samples sent)
(6162|6181) pub13  31% (5 samples sent)
(6162|6181) pub13  43% (7 samples sent)
(6162|6181) pub13  50% (8 samples sent)
(6162|6181) pub13  62% (10 samples sent)
(6162|6181) pub13  75% (12 samples sent)
(6162|6181) pub13  81% (13 samples sent)
(6162|6181) pub13  93% (15 samples sent)
(6162|6181) pub13  100% (16 samples sent)
(6162|6181) pub13->wait_match() before write for 0103000c.297a35f2.18128f32.00000002(e3cdcb86)
(6162|6229) pub53->transport cfg_53
(6162|6217) pub40->transport cfg_40
(6162|6216) pub45->transport cfg_45
(6162|6190) pub22->transport cfg_22
(6162|6183) pub15  75% (12 samples sent)
(6162|6183) pub15  81% (13 samples sent)
(6162|6183) pub15  93% (15 samples sent)
(6162|6183) pub15  100% (16 samples sent)
(6162|6183) pub15->wait_match() before write for 0103000c.297a35f2.18128f33.00000002(deade236)
(6162|6204) pub36  writer id: 0103000c.297a35f2.18128f47.00000002(d2ffa439)
(6162|6184) pub16  25% (4 samples sent)
(6162|6219) pub56->transport cfg_56
(6162|6215) pub39->transport cfg_39
(6162|6213) pub38->transport cfg_38
(6162|6210) pub44->transport cfg_44
(6162|6212) pub42->transport cfg_42
(6162|6203) pub35->transport cfg_35
(6162|6199) pub32->transport cfg_32
(6162|6204) pub36->started
(6162|6184) pub16  31% (5 samples sent)
(6162|6184) pub16  43% (7 samples sent)
(6162|6184) pub16  50% (8 samples sent)
(6162|6184) pub16  62% (10 samples sent)
(6162|6184) pub16  75% (12 samples sent)
(6162|6184) pub16  81% (13 samples sent)
(6162|6184) pub16  93% (15 samples sent)
(6162|6184) pub16  100% (16 samples sent)
(6162|6184) pub16->wait_match() before write for 0103000c.297a35f2.18128f30.00000002(990d98e6)
(6162|6191) pub23->transport cfg_23
(6162|6189) pub21  writer id: 0103000c.297a35f2.18128f38.00000002(a97dd327)
(6162|6189) pub21->started
(6162|6189) pub21  12% (2 samples sent)
(6162|6189) pub21  25% (4 samples sent)
(6162|6189) pub21  31% (5 samples sent)
(6162|6189) pub21  43% (7 samples sent)
(6162|6189) pub21  50% (8 samples sent)
(6162|6189) pub21  62% (10 samples sent)
(6162|6189) pub21  75% (12 samples sent)
(6162|6189) pub21  81% (13 samples sent)
(6162|6189) pub21  93% (15 samples sent)
(6162|6189) pub21  100% (16 samples sent)
(6162|6189) pub21->wait_match() before write for 0103000c.297a35f2.18128f38.00000002(a97dd327)
(6162|6224) pub61->transport cfg_61
(6162|6222) pub57->transport cfg_57
(6162|6230) pub58->transport cfg_58
(6162|6214) pub46->transport cfg_46
(6162|6205) pub49->transport cfg_49
(6162|6231) pub54->transport cfg_54
(6162|6211) pub43->transport cfg_43
(6162|6186) pub18  writer id: 0103000c.297a35f2.18128f36.00000002(164d6d46)
(6162|6202) pub34->transport cfg_34
(6162|6192) pub25  writer id: 0103000c.297a35f2.18128f45.00000002(a83ff759)
(6162|6192) pub25->started
(6162|6192) pub25  12% (2 samples sent)
(6162|6192) pub25  25% (4 samples sent)
(6162|6192) pub25  31% (5 samples sent)
(6162|6192) pub25  43% (7 samples sent)
(6162|6192) pub25  50% (8 samples sent)
(6162|6192) pub25  62% (10 samples sent)
(6162|6192) pub25  75% (12 samples sent)
(6162|6192) pub25  81% (13 samples sent)
(6162|6192) pub25  93% (15 samples sent)
(6162|6192) pub25  100% (16 samples sent)
(6162|6192) pub25->wait_match() before write for 0103000c.297a35f2.18128f45.00000002(a83ff759)
(6162|6204) pub36  12% (2 samples sent)
(6162|6204) pub36  25% (4 samples sent)
(6162|6204) pub36  31% (5 samples sent)
(6162|6204) pub36  43% (7 samples sent)
(6162|6204) pub36  50% (8 samples sent)
(6162|6204) pub36  62% (10 samples sent)
(6162|6204) pub36  75% (12 samples sent)
(6162|6204) pub36  81% (13 samples sent)
(6162|6204) pub36  93% (15 samples sent)
(6162|6204) pub36  100% (16 samples sent)
(6162|6204) pub36->wait_match() before write for 0103000c.297a35f2.18128f47.00000002(d2ffa439)
(6162|6198) pub30  writer id: 0103000c.297a35f2.18128f40.00000002(60df7829)
(6162|6198) pub30->started
(6162|6198) pub30  12% (2 samples sent)
(6162|6198) pub30  25% (4 samples sent)
(6162|6198) pub30  31% (5 samples sent)
(6162|6198) pub30  43% (7 samples sent)
(6162|6198) pub30  50% (8 samples sent)
(6162|6198) pub30  62% (10 samples sent)
(6162|6198) pub30  75% (12 samples sent)
(6162|6198) pub30  81% (13 samples sent)
(6162|6198) pub30  93% (15 samples sent)
(6162|6198) pub30  100% (16 samples sent)
(6162|6198) pub30->wait_match() before write for 0103000c.297a35f2.18128f40.00000002(60df7829)
(6162|6209) pub37  writer id: 0103000c.297a35f2.18128f48.00000002(50af33e8)
(6162|6209) pub37->started
(6162|6209) pub37  12% (2 samples sent)
(6162|6209) pub37  25% (4 samples sent)
(6162|6209) pub37  31% (5 samples sent)
(6162|6197) pub29  writer id: 0103000c.297a35f2.18128f3f.00000002(1b5d0f37)
(6162|6197) pub29->started
(6162|6197) pub29  12% (2 samples sent)
(6162|6177) pub8  writer id: 0103000c.297a35f2.18128f2e.00000002(46ddb105)
(6162|6177) pub8->started
(6162|6197) pub29  25% (4 samples sent)
(6162|6197) pub29  31% (5 samples sent)
(6162|6177) pub8  12% (2 samples sent)
(6162|6197) pub29  43% (7 samples sent)
(6162|6177) pub8  25% (4 samples sent)
(6162|6197) pub29  50% (8 samples sent)
(6162|6177) pub8  31% (5 samples sent)
(6162|6197) pub29  62% (10 samples sent)
(6162|6177) pub8  43% (7 samples sent)
(6162|6177) pub8  50% (8 samples sent)
(6162|6197) pub29  75% (12 samples sent)
(6162|6197) pub29  81% (13 samples sent)
(6162|6177) pub8  62% (10 samples sent)
(6162|6197) pub29  93% (15 samples sent)
(6162|6177) pub8  75% (12 samples sent)
(6162|6197) pub29  100% (16 samples sent)
(6162|6197) pub29->wait_match() before write for 0103000c.297a35f2.18128f3f.00000002(1b5d0f37)
(6162|6177) pub8  81% (13 samples sent)
(6162|6195) pub27  writer id: 0103000c.297a35f2.18128f3d.00000002(619d5c57)
(6162|6195) pub27->started
(6162|6195) pub27  12% (2 samples sent)
(6162|6195) pub27  25% (4 samples sent)
(6162|6195) pub27  31% (5 samples sent)
(6162|6228) pub59->transport cfg_59
(6162|6223) pub50  writer id: 0103000c.297a35f2.18128f55.00000002(c8df60db)
(6162|6223) pub50->started
(6162|6223) pub50  12% (2 samples sent)
(6162|6223) pub50  25% (4 samples sent)
(6162|6223) pub50  31% (5 samples sent)
(6162|6223) pub50  43% (7 samples sent)
(6162|6223) pub50  50% (8 samples sent)
(6162|6223) pub50  62% (10 samples sent)
(6162|6223) pub50  75% (12 samples sent)
(6162|6223) pub50  81% (13 samples sent)
(6162|6223) pub50  93% (15 samples sent)
(6162|6223) pub50  100% (16 samples sent)
(6162|6223) pub50->wait_match() before write for 0103000c.297a35f2.18128f55.00000002(c8df60db)
(6162|6226) pub60->transport cfg_60
(6162|6187) pub19  writer id: 0103000c.297a35f2.18128f37.00000002(2b2d44f6)
(6162|6187) pub19->started
(6162|6187) pub19  12% (2 samples sent)
(6162|6187) pub19  25% (4 samples sent)
(6162|6187) pub19  31% (5 samples sent)
(6162|6187) pub19  43% (7 samples sent)
(6162|6187) pub19  50% (8 samples sent)
(6162|6187) pub19  62% (10 samples sent)
(6162|6187) pub19  75% (12 samples sent)
(6162|6187) pub19  81% (13 samples sent)
(6162|6187) pub19  93% (15 samples sent)
(6162|6187) pub19  100% (16 samples sent)
(6162|6225) pub51->transport cfg_51
(6162|6217) pub40  writer id: 0103000c.297a35f2.18128f4a.00000002(2a6f6088)
(6162|6217) pub40->started
(6162|6217) pub40  12% (2 samples sent)
(6162|6217) pub40  25% (4 samples sent)
(6162|6217) pub40  31% (5 samples sent)
(6162|6217) pub40  43% (7 samples sent)
(6162|6217) pub40  50% (8 samples sent)
(6162|6217) pub40  62% (10 samples sent)
(6162|6217) pub40  75% (12 samples sent)
(6162|6217) pub40  81% (13 samples sent)
(6162|6217) pub40  93% (15 samples sent)
(6162|6217) pub40  100% (16 samples sent)
(6162|6217) pub40->wait_match() before write for 0103000c.297a35f2.18128f4a.00000002(2a6f6088)
(6162|6201) pub33  writer id: 0103000c.297a35f2.18128f43.00000002(277f02f9)
(6162|6201) pub33->started
(6162|6201) pub33  12% (2 samples sent)
(6162|6201) pub33  25% (4 samples sent)
(6162|6201) pub33  31% (5 samples sent)
(6162|6201) pub33  43% (7 samples sent)
(6162|6201) pub33  50% (8 samples sent)
(6162|6201) pub33  62% (10 samples sent)
(6162|6201) pub33  75% (12 samples sent)
(6162|6201) pub33  81% (13 samples sent)
(6162|6201) pub33  93% (15 samples sent)
(6162|6201) pub33  100% (16 samples sent)
(6162|6201) pub33->wait_match() before write for 0103000c.297a35f2.18128f43.00000002(277f02f9)
(6162|6216) pub45  writer id: 0103000c.297a35f2.18128f4f.00000002(e28feff8)
(6162|6209) pub37  43% (7 samples sent)
(6162|6209) pub37  50% (8 samples sent)
(6162|6209) pub37  62% (10 samples sent)
(6162|6209) pub37  75% (12 samples sent)
(6162|6209) pub37  81% (13 samples sent)
(6162|6209) pub37  93% (15 samples sent)
(6162|6209) pub37  100% (16 samples sent)
(6162|6209) pub37->wait_match() before write for 0103000c.297a35f2.18128f48.00000002(50af33e8)
(6162|6227) pub52  writer id: 0103000c.297a35f2.18128f57.00000002(b21f33bb)
(6162|6227) pub52->started
(6162|6227) pub52  12% (2 samples sent)
(6162|6227) pub52  25% (4 samples sent)
(6162|6227) pub52  31% (5 samples sent)
(6162|6227) pub52  43% (7 samples sent)
(6162|6227) pub52  50% (8 samples sent)
(6162|6227) pub52  62% (10 samples sent)
(6162|6227) pub52  75% (12 samples sent)
(6162|6227) pub52  81% (13 samples sent)
(6162|6227) pub52  93% (15 samples sent)
(6162|6227) pub52  100% (16 samples sent)
(6162|6227) pub52->wait_match() before write for 0103000c.297a35f2.18128f57.00000002(b21f33bb)
(6162|6206) pub48  writer id: 0103000c.297a35f2.18128f52.00000002(7affbccb)
(6162|6206) pub48->started
(6162|6206) pub48  12% (2 samples sent)
(6162|6206) pub48  25% (4 samples sent)
(6162|6206) pub48  31% (5 samples sent)
(6162|6206) pub48  43% (7 samples sent)
(6162|6206) pub48  50% (8 samples sent)
(6162|6206) pub48  62% (10 samples sent)
(6162|6206) pub48  75% (12 samples sent)
(6162|6206) pub48  81% (13 samples sent)
(6162|6206) pub48  93% (15 samples sent)
(6162|6206) pub48  100% (16 samples sent)
(6162|6206) pub48->wait_match() before write for 0103000c.297a35f2.18128f52.00000002(7affbccb)
(6162|6195) pub27  43% (7 samples sent)
(6162|6195) pub27  50% (8 samples sent)
(6162|6195) pub27  62% (10 samples sent)
(6162|6195) pub27  75% (12 samples sent)
(6162|6195) pub27  81% (13 samples sent)
(6162|6195) pub27  93% (15 samples sent)
(6162|6195) pub27  100% (16 samples sent)
(6162|6195) pub27->wait_match() before write for 0103000c.297a35f2.18128f3d.00000002(619d5c57)
(6162|6229) pub53  writer id: 0103000c.297a35f2.18128f5c.00000002(c5cf02aa)
(6162|6212) pub42  writer id: 0103000c.297a35f2.18128f4c.00000002(a52f9528)
(6162|6212) pub42->started
(6162|6212) pub42  12% (2 samples sent)
(6162|6212) pub42  25% (4 samples sent)
(6162|6208) pub47->transport cfg_47
(6162|6215) pub39  writer id: 0103000c.297a35f2.18128f49.00000002(6dcf1a58)
(6162|6215) pub39->started
(6162|6215) pub39  12% (2 samples sent)
(6162|6215) pub39  25% (4 samples sent)
(6162|6215) pub39  31% (5 samples sent)
(6162|6215) pub39  43% (7 samples sent)
(6162|6215) pub39  50% (8 samples sent)
(6162|6215) pub39  62% (10 samples sent)
(6162|6215) pub39  75% (12 samples sent)
(6162|6215) pub39  81% (13 samples sent)
(6162|6215) pub39  93% (15 samples sent)
(6162|6215) pub39  100% (16 samples sent)
(6162|6215) pub39->wait_match() before write for 0103000c.297a35f2.18128f49.00000002(6dcf1a58)
(6162|6194) pub26  writer id: 0103000c.297a35f2.18128f3c.00000002(5cfd75e7)
(6162|6194) pub26->started
(6162|6194) pub26  12% (2 samples sent)
(6162|6194) pub26  25% (4 samples sent)
(6162|6194) pub26  31% (5 samples sent)
(6162|6194) pub26  43% (7 samples sent)
(6162|6194) pub26  50% (8 samples sent)
(6162|6194) pub26  62% (10 samples sent)
(6162|6194) pub26  75% (12 samples sent)
(6162|6194) pub26  81% (13 samples sent)
(6162|6194) pub26  93% (15 samples sent)
(6162|6194) pub26  100% (16 samples sent)
(6162|6194) pub26->wait_match() before write for 0103000c.297a35f2.18128f3c.00000002(5cfd75e7)
(6162|6219) pub56  writer id: 0103000c.297a35f2.18128f5b.00000002(77efdeba)
(6162|6219) pub56->started
(6162|6219) pub56  12% (2 samples sent)
(6162|6219) pub56  25% (4 samples sent)
(6162|6219) pub56  31% (5 samples sent)
(6162|6219) pub56  43% (7 samples sent)
(6162|6219) pub56  50% (8 samples sent)
(6162|6219) pub56  62% (10 samples sent)
(6162|6219) pub56  75% (12 samples sent)
(6162|6219) pub56  81% (13 samples sent)
(6162|6219) pub56  93% (15 samples sent)
(6162|6219) pub56  100% (16 samples sent)
(6162|6219) pub56->wait_match() before write for 0103000c.297a35f2.18128f5b.00000002(77efdeba)
(6162|6203) pub35  writer id: 0103000c.297a35f2.18128f46.00000002(ef9f8d89)
(6162|6203) pub35->started
(6162|6203) pub35  12% (2 samples sent)
(6162|6203) pub35  25% (4 samples sent)
(6162|6203) pub35  31% (5 samples sent)
(6162|6203) pub35  43% (7 samples sent)
(6162|6203) pub35  50% (8 samples sent)
(6162|6203) pub35  62% (10 samples sent)
(6162|6203) pub35  75% (12 samples sent)
(6162|6203) pub35  81% (13 samples sent)
(6162|6203) pub35  93% (15 samples sent)
(6162|6203) pub35  100% (16 samples sent)
(6162|6203) pub35->wait_match() before write for 0103000c.297a35f2.18128f46.00000002(ef9f8d89)
(6162|6186) pub18->started
(6162|6186) pub18  12% (2 samples sent)
(6162|6186) pub18  25% (4 samples sent)
(6162|6186) pub18  31% (5 samples sent)
(6162|6186) pub18  43% (7 samples sent)
(6162|6186) pub18  50% (8 samples sent)
(6162|6186) pub18  62% (10 samples sent)
(6162|6186) pub18  75% (12 samples sent)
(6162|6186) pub18  81% (13 samples sent)
(6162|6186) pub18  93% (15 samples sent)
(6162|6186) pub18  100% (16 samples sent)
(6162|6186) pub18->wait_match() before write for 0103000c.297a35f2.18128f36.00000002(164d6d46)
(6162|6187) pub19->wait_match() before write for 0103000c.297a35f2.18128f37.00000002(2b2d44f6)
(6162|6213) pub38  writer id: 0103000c.297a35f2.18128f53.00000002(479f957b)
(6162|6213) pub38->started
(6162|6213) pub38  12% (2 samples sent)
(6162|6213) pub38  25% (4 samples sent)
(6162|6213) pub38  31% (5 samples sent)
(6162|6213) pub38  43% (7 samples sent)
(6162|6213) pub38  50% (8 samples sent)
(6162|6213) pub38  62% (10 samples sent)
(6162|6213) pub38  75% (12 samples sent)
(6162|6213) pub38  81% (13 samples sent)
(6162|6213) pub38  93% (15 samples sent)
(6162|6213) pub38  100% (16 samples sent)
(6162|6213) pub38->wait_match() before write for 0103000c.297a35f2.18128f53.00000002(479f957b)
(6162|6221) pub55  writer id: 0103000c.297a35f2.18128f5a.00000002(4a8ff70a)
(6162|6177) pub8  93% (15 samples sent)
(6162|6177) pub8  100% (16 samples sent)
(6162|6177) pub8->wait_match() before write for 0103000c.297a35f2.18128f2e.00000002(46ddb105)
(6162|6218) pub63  writer id: 0103000c.297a35f2.18128f59.00000002(0d2f8dda)
(6162|6218) pub63->started
(6162|6218) pub63  12% (2 samples sent)
(6162|6218) pub63  25% (4 samples sent)
(6162|6218) pub63  31% (5 samples sent)
(6162|6218) pub63  43% (7 samples sent)
(6162|6218) pub63  50% (8 samples sent)
(6162|6218) pub63  62% (10 samples sent)
(6162|6218) pub63  75% (12 samples sent)
(6162|6218) pub63  81% (13 samples sent)
(6162|6218) pub63  93% (15 samples sent)
(6162|6218) pub63  100% (16 samples sent)
(6162|6218) pub63->wait_match() before write for 0103000c.297a35f2.18128f59.00000002(0d2f8dda)
(6162|6216) pub45->started
(6162|6216) pub45  12% (2 samples sent)
(6162|6216) pub45  25% (4 samples sent)
(6162|6216) pub45  31% (5 samples sent)
(6162|6216) pub45  43% (7 samples sent)
(6162|6216) pub45  50% (8 samples sent)
(6162|6216) pub45  62% (10 samples sent)
(6162|6216) pub45  75% (12 samples sent)
(6162|6216) pub45  81% (13 samples sent)
(6162|6216) pub45  93% (15 samples sent)
(6162|6216) pub45  100% (16 samples sent)
(6162|6216) pub45->wait_match() before write for 0103000c.297a35f2.18128f4f.00000002(e28feff8)
(6162|6210) pub44  writer id: 0103000c.297a35f2.18128f4e.00000002(dfefc648)
(6162|6210) pub44->started
(6162|6210) pub44  12% (2 samples sent)
(6162|6210) pub44  25% (4 samples sent)
(6162|6210) pub44  31% (5 samples sent)
(6162|6210) pub44  43% (7 samples sent)
(6162|6210) pub44  50% (8 samples sent)
(6162|6210) pub44  62% (10 samples sent)
(6162|6210) pub44  75% (12 samples sent)
(6162|6210) pub44  81% (13 samples sent)
(6162|6210) pub44  93% (15 samples sent)
(6162|6210) pub44  100% (16 samples sent)
(6162|6210) pub44->wait_match() before write for 0103000c.297a35f2.18128f4e.00000002(dfefc648)
(6162|6229) pub53->started
(6162|6229) pub53  12% (2 samples sent)
(6162|6229) pub53  25% (4 samples sent)
(6162|6229) pub53  31% (5 samples sent)
(6162|6229) pub53  43% (7 samples sent)
(6162|6229) pub53  50% (8 samples sent)
(6162|6229) pub53  62% (10 samples sent)
(6162|6229) pub53  75% (12 samples sent)
(6162|6229) pub53  81% (13 samples sent)
(6162|6229) pub53  93% (15 samples sent)
(6162|6229) pub53  100% (16 samples sent)
(6162|6229) pub53->wait_match() before write for 0103000c.297a35f2.18128f5c.00000002(c5cf02aa)
(6162|6199) pub32  writer id: 0103000c.297a35f2.18128f42.00000002(1a1f2b49)
(6162|6212) pub42  31% (5 samples sent)
(6162|6190) pub22  writer id: 0103000c.297a35f2.18128f39.00000002(941dfa97)
(6162|6190) pub22->started
(6162|6190) pub22  12% (2 samples sent)
(6162|6190) pub22  25% (4 samples sent)
(6162|6190) pub22  31% (5 samples sent)
(6162|6190) pub22  43% (7 samples sent)
(6162|6190) pub22  50% (8 samples sent)
(6162|6220) pub62->transport cfg_62
(6162|6214) pub46  writer id: 0103000c.297a35f2.18128f50.00000002(003fefab)
(6162|6214) pub46->started
(6162|6214) pub46  12% (2 samples sent)
(6162|6214) pub46  25% (4 samples sent)
(6162|6214) pub46  31% (5 samples sent)
(6162|6214) pub46  43% (7 samples sent)
(6162|6214) pub46  50% (8 samples sent)
(6162|6214) pub46  62% (10 samples sent)
(6162|6214) pub46  75% (12 samples sent)
(6162|6214) pub46  81% (13 samples sent)
(6162|6214) pub46  93% (15 samples sent)
(6162|6214) pub46  100% (16 samples sent)
(6162|6214) pub46->wait_match() before write for 0103000c.297a35f2.18128f50.00000002(003fefab)
(6162|6221) pub55->started
(6162|6221) pub55  12% (2 samples sent)
(6162|6221) pub55  25% (4 samples sent)
(6162|6221) pub55  31% (5 samples sent)
(6162|6221) pub55  43% (7 samples sent)
(6162|6221) pub55  50% (8 samples sent)
(6162|6221) pub55  62% (10 samples sent)
(6162|6221) pub55  75% (12 samples sent)
(6162|6221) pub55  81% (13 samples sent)
(6162|6221) pub55  93% (15 samples sent)
(6162|6221) pub55  100% (16 samples sent)
(6162|6221) pub55->wait_match() before write for 0103000c.297a35f2.18128f5a.00000002(4a8ff70a)
(6162|6222) pub57  writer id: 0103000c.297a35f2.18128f61.00000002(9c7e7e9d)
(6162|6222) pub57->started
(6162|6222) pub57  12% (2 samples sent)
(6162|6222) pub57  25% (4 samples sent)
(6162|6222) pub57  31% (5 samples sent)
(6162|6222) pub57  43% (7 samples sent)
(6162|6222) pub57  50% (8 samples sent)
(6162|6222) pub57  62% (10 samples sent)
(6162|6222) pub57  75% (12 samples sent)
(6162|6222) pub57  81% (13 samples sent)
(6162|6222) pub57  93% (15 samples sent)
(6162|6222) pub57  100% (16 samples sent)
(6162|6222) pub57->wait_match() before write for 0103000c.297a35f2.18128f61.00000002(9c7e7e9d)
(6162|6199) pub32->started
(6162|6199) pub32  12% (2 samples sent)
(6162|6199) pub32  25% (4 samples sent)
(6162|6199) pub32  31% (5 samples sent)
(6162|6199) pub32  43% (7 samples sent)
(6162|6199) pub32  50% (8 samples sent)
(6162|6230) pub58  writer id: 0103000c.297a35f2.18128f5f.00000002(826f787a)
(6162|6230) pub58->started
(6162|6199) pub32  62% (10 samples sent)
(6162|6199) pub32  75% (12 samples sent)
(6162|6230) pub58  12% (2 samples sent)
(6162|6199) pub32  81% (13 samples sent)
(6162|6230) pub58  25% (4 samples sent)
(6162|6199) pub32  93% (15 samples sent)
(6162|6230) pub58  31% (5 samples sent)
(6162|6199) pub32  100% (16 samples sent)
(6162|6199) pub32->wait_match() before write for 0103000c.297a35f2.18128f42.00000002(1a1f2b49)
(6162|6230) pub58  43% (7 samples sent)
(6162|6230) pub58  50% (8 samples sent)
(6162|6230) pub58  62% (10 samples sent)
(6162|6230) pub58  75% (12 samples sent)
(6162|6230) pub58  81% (13 samples sent)
(6162|6230) pub58  93% (15 samples sent)
(6162|6230) pub58  100% (16 samples sent)
(6162|6230) pub58->wait_match() before write for 0103000c.297a35f2.18128f5f.00000002(826f787a)
(6162|6190) pub22  62% (10 samples sent)
(6162|6190) pub22  75% (12 samples sent)
(6162|6190) pub22  81% (13 samples sent)
(6162|6190) pub22  93% (15 samples sent)
(6162|6190) pub22  100% (16 samples sent)
(6162|6190) pub22->wait_match() before write for 0103000c.297a35f2.18128f39.00000002(941dfa97)
(6162|6231) pub54  writer id: 0103000c.297a35f2.18128f58.00000002(304fa46a)
(6162|6231) pub54->started
(6162|6231) pub54  12% (2 samples sent)
(6162|6231) pub54  25% (4 samples sent)
(6162|6231) pub54  31% (5 samples sent)
(6162|6231) pub54  43% (7 samples sent)
(6162|6231) pub54  50% (8 samples sent)
(6162|6231) pub54  62% (10 samples sent)
(6162|6231) pub54  75% (12 samples sent)
(6162|6191) pub23  writer id: 0103000c.297a35f2.18128f3b.00000002(eedda9f7)
(6162|6191) pub23->started
(6162|6191) pub23  12% (2 samples sent)
(6162|6191) pub23  25% (4 samples sent)
(6162|6191) pub23  31% (5 samples sent)
(6162|6191) pub23  43% (7 samples sent)
(6162|6191) pub23  50% (8 samples sent)
(6162|6191) pub23  62% (10 samples sent)
(6162|6191) pub23  75% (12 samples sent)
(6162|6191) pub23  81% (13 samples sent)
(6162|6191) pub23  93% (15 samples sent)
(6162|6191) pub23  100% (16 samples sent)
(6162|6191) pub23->wait_match() before write for 0103000c.297a35f2.18128f3b.00000002(eedda9f7)
(6162|6224) pub61  writer id: 0103000c.297a35f2.18128f62.00000002(dbde044d)
(6162|6202) pub34  writer id: 0103000c.297a35f2.18128f44.00000002(955fdee9)
(6162|6202) pub34->started
(6162|6205) pub49  writer id: 0103000c.297a35f2.18128f5d.00000002(f8af2b1a)
(6162|6205) pub49->started
(6162|6205) pub49  12% (2 samples sent)
(6162|6205) pub49  25% (4 samples sent)
(6162|6205) pub49  31% (5 samples sent)
(6162|6205) pub49  43% (7 samples sent)
(6162|6205) pub49  50% (8 samples sent)
(6162|6205) pub49  62% (10 samples sent)
(6162|6205) pub49  75% (12 samples sent)
(6162|6205) pub49  81% (13 samples sent)
(6162|6211) pub43  writer id: 0103000c.297a35f2.18128f4d.00000002(984fbc98)
(6162|6211) pub43->started
(6162|6211) pub43  12% (2 samples sent)
(6162|6211) pub43  25% (4 samples sent)
(6162|6211) pub43  31% (5 samples sent)
(6162|6211) pub43  43% (7 samples sent)
(6162|6211) pub43  50% (8 samples sent)
(6162|6211) pub43  62% (10 samples sent)
(6162|6211) pub43  75% (12 samples sent)
(6162|6211) pub43  81% (13 samples sent)
(6162|6211) pub43  93% (15 samples sent)
(6162|6211) pub43  100% (16 samples sent)
(6162|6211) pub43->wait_match() before write for 0103000c.297a35f2.18128f4d.00000002(984fbc98)
(6162|6212) pub42  43% (7 samples sent)
(6162|6212) pub42  50% (8 samples sent)
(6162|6212) pub42  62% (10 samples sent)
(6162|6212) pub42  75% (12 samples sent)
(6162|6212) pub42  81% (13 samples sent)
(6162|6212) pub42  93% (15 samples sent)
(6162|6212) pub42  100% (16 samples sent)
(6162|6212) pub42->wait_match() before write for 0103000c.297a35f2.18128f4c.00000002(a52f9528)
(6162|6231) pub54  81% (13 samples sent)
(6162|6228) pub59  writer id: 0103000c.297a35f2.18128f5e.00000002(bf0f51ca)
(6162|6228) pub59->started
(6162|6228) pub59  12% (2 samples sent)
(6162|6228) pub59  25% (4 samples sent)
(6162|6228) pub59  31% (5 samples sent)
(6162|6228) pub59  43% (7 samples sent)
(6162|6228) pub59  50% (8 samples sent)
(6162|6228) pub59  62% (10 samples sent)
(6162|6228) pub59  75% (12 samples sent)
(6162|6228) pub59  81% (13 samples sent)
(6162|6228) pub59  93% (15 samples sent)
(6162|6228) pub59  100% (16 samples sent)
(6162|6228) pub59->wait_match() before write for 0103000c.297a35f2.18128f5e.00000002(bf0f51ca)
(6162|6226) pub60  writer id: 0103000c.297a35f2.18128f56.00000002(8f7f1a0b)
(6162|6226) pub60->started
(6162|6226) pub60  12% (2 samples sent)
(6162|6226) pub60  25% (4 samples sent)
(6162|6226) pub60  31% (5 samples sent)
(6162|6226) pub60  43% (7 samples sent)
(6162|6226) pub60  50% (8 samples sent)
(6162|6226) pub60  62% (10 samples sent)
(6162|6226) pub60  75% (12 samples sent)
(6162|6226) pub60  81% (13 samples sent)
(6162|6226) pub60  93% (15 samples sent)
(6162|6226) pub60  100% (16 samples sent)
(6162|6226) pub60->wait_match() before write for 0103000c.297a35f2.18128f56.00000002(8f7f1a0b)
(6162|6224) pub61->started
(6162|6224) pub61  12% (2 samples sent)
(6162|6224) pub61  25% (4 samples sent)
(6162|6224) pub61  31% (5 samples sent)
(6162|6224) pub61  43% (7 samples sent)
(6162|6224) pub61  50% (8 samples sent)
(6162|6224) pub61  62% (10 samples sent)
(6162|6224) pub61  75% (12 samples sent)
(6162|6224) pub61  81% (13 samples sent)
(6162|6224) pub61  93% (15 samples sent)
(6162|6224) pub61  100% (16 samples sent)
(6162|6224) pub61->wait_match() before write for 0103000c.297a35f2.18128f62.00000002(dbde044d)
(6162|6202) pub34  12% (2 samples sent)
(6162|6202) pub34  25% (4 samples sent)
(6162|6202) pub34  31% (5 samples sent)
(6162|6202) pub34  43% (7 samples sent)
(6162|6202) pub34  50% (8 samples sent)
(6162|6202) pub34  62% (10 samples sent)
(6162|6202) pub34  75% (12 samples sent)
(6162|6202) pub34  81% (13 samples sent)
(6162|6202) pub34  93% (15 samples sent)
(6162|6202) pub34  100% (16 samples sent)
(6162|6202) pub34->wait_match() before write for 0103000c.297a35f2.18128f44.00000002(955fdee9)
(6162|6225) pub51  writer id: 0103000c.297a35f2.18128f54.00000002(f5bf496b)
(6162|6225) pub51->started
(6162|6225) pub51  12% (2 samples sent)
(6162|6225) pub51  25% (4 samples sent)
(6162|6225) pub51  31% (5 samples sent)
(6162|6225) pub51  43% (7 samples sent)
(6162|6225) pub51  50% (8 samples sent)
(6162|6225) pub51  62% (10 samples sent)
(6162|6225) pub51  75% (12 samples sent)
(6162|6225) pub51  81% (13 samples sent)
(6162|6225) pub51  93% (15 samples sent)
(6162|6225) pub51  100% (16 samples sent)
(6162|6225) pub51->wait_match() before write for 0103000c.297a35f2.18128f54.00000002(f5bf496b)
(6162|6205) pub49  93% (15 samples sent)
(6162|6205) pub49  100% (16 samples sent)
(6162|6205) pub49->wait_match() before write for 0103000c.297a35f2.18128f5d.00000002(f8af2b1a)
(6162|6231) pub54  93% (15 samples sent)
(6162|6231) pub54  100% (16 samples sent)
(6162|6231) pub54->wait_match() before write for 0103000c.297a35f2.18128f58.00000002(304fa46a)
(6162|6208) pub47  writer id: 0103000c.297a35f2.18128f51.00000002(3d5fc61b)
(6162|6208) pub47->started
(6162|6208) pub47  12% (2 samples sent)
(6162|6208) pub47  25% (4 samples sent)
(6162|6208) pub47  31% (5 samples sent)
(6162|6208) pub47  43% (7 samples sent)
(6162|6208) pub47  50% (8 samples sent)
(6162|6208) pub47  62% (10 samples sent)
(6162|6208) pub47  75% (12 samples sent)
(6162|6208) pub47  81% (13 samples sent)
(6162|6208) pub47  93% (15 samples sent)
(6162|6208) pub47  100% (16 samples sent)
(6162|6208) pub47->wait_match() before write for 0103000c.297a35f2.18128f51.00000002(3d5fc61b)
(6162|6220) pub62  writer id: 0103000c.297a35f2.18128f60.00000002(a11e572d)
(6162|6220) pub62->started
(6162|6220) pub62  12% (2 samples sent)
(6162|6220) pub62  25% (4 samples sent)
(6162|6220) pub62  31% (5 samples sent)
(6162|6220) pub62  43% (7 samples sent)
(6162|6220) pub62  50% (8 samples sent)
(6162|6220) pub62  62% (10 samples sent)
(6162|6220) pub62  75% (12 samples sent)
(6162|6220) pub62  81% (13 samples sent)
(6162|6220) pub62  93% (15 samples sent)
(6162|6220) pub62  100% (16 samples sent)
(6162|6220) pub62->wait_match() before write for 0103000c.297a35f2.18128f60.00000002(a11e572d)
(6162|6197) pub29<-match found! before write for 0103000c.297a35f2.18128f3f.00000002(1b5d0f37)
(6162|6197) pub29  waiting for acks
(6162|6189) pub21<-match found! before write for 0103000c.297a35f2.18128f38.00000002(a97dd327)
(6162|6189) pub21  waiting for acks
(6162|6226) pub60<-match found! before write for 0103000c.297a35f2.18128f56.00000002(8f7f1a0b)
(6162|6226) pub60  waiting for acks
(6162|6226) pub60  waiting for acks returned
(6162|6226) pub60<-delete_contained_entities
(6162|6213) pub38<-match found! before write for 0103000c.297a35f2.18128f53.00000002(479f957b)
(6162|6213) pub38  waiting for acks
(6162|6228) pub59<-match found! before write for 0103000c.297a35f2.18128f5e.00000002(bf0f51ca)
(6162|6228) pub59  waiting for acks
(6162|6214) pub46<-match found! before write for 0103000c.297a35f2.18128f50.00000002(003fefab)
(6162|6214) pub46  waiting for acks
(6162|6226) pub60<-delete_participant
(6162|6197) pub29  waiting for acks returned
(6162|6197) pub29<-delete_contained_entities
(6162|6197) pub29<-delete_participant
(6162|6210) pub44<-match found! before write for 0103000c.297a35f2.18128f4e.00000002(dfefc648)
(6162|6210) pub44  waiting for acks
(6162|6171) pub4<-match found! before write for 0103000c.297a35f2.18128f28.00000002(c99d44a5)
(6162|6171) pub4  waiting for acks
(6162|6222) pub57<-match found! before write for 0103000c.297a35f2.18128f61.00000002(9c7e7e9d)
(6162|6222) pub57  waiting for acks
(6162|6231) pub54<-match found! before write for 0103000c.297a35f2.18128f58.00000002(304fa46a)
(6162|6231) pub54  waiting for acks
(6162|6228) pub59  waiting for acks returned
(6162|6228) pub59<-delete_contained_entities
(6162|6228) pub59<-delete_participant
(6162|6213) pub38  waiting for acks returned
(6162|6213) pub38<-delete_contained_entities
(6162|6213) pub38<-delete_participant
(6162|6196) pub28<-match found! before write for 0103000c.297a35f2.18128f3e.00000002(263d2687)
(6162|6196) pub28  waiting for acks
(6162|6193) pub24<-match found! before write for 0103000c.297a35f2.18128f3a.00000002(d3bd8047)
(6162|6193) pub24  waiting for acks
(6162|6225) pub51<-match found! before write for 0103000c.297a35f2.18128f54.00000002(f5bf496b)
(6162|6225) pub51  waiting for acks
(6162|6214) pub46  waiting for acks returned
(6162|6214) pub46<-delete_contained_entities
(6162|6214) pub46<-delete_participant
(6162|6209) pub37<-match found! before write for 0103000c.297a35f2.18128f48.00000002(50af33e8)
(6162|6209) pub37  waiting for acks
(6162|6196) pub28  waiting for acks returned
(6162|6196) pub28<-delete_contained_entities
(6162|6222) pub57  waiting for acks returned
(6162|6222) pub57<-delete_contained_entities
(6162|6189) pub21  waiting for acks returned
(6162|6189) pub21<-delete_contained_entities
(6162|6231) pub54  waiting for acks returned
(6162|6231) pub54<-delete_contained_entities
(6162|6196) pub28<-delete_participant
(6162|6194) pub26<-match found! before write for 0103000c.297a35f2.18128f3c.00000002(5cfd75e7)
(6162|6194) pub26  waiting for acks
(6162|6222) pub57<-delete_participant
(6162|6188) pub20<-match found! before write for 0103000c.297a35f2.18128f35.00000002(51ed1796)
(6162|6188) pub20  waiting for acks
(6162|6189) pub21<-delete_participant
(6162|6194) pub26  waiting for acks returned
(6162|6194) pub26<-delete_contained_entities
(6162|6231) pub54<-delete_participant
(6162|6188) pub20  waiting for acks returned
(6162|6188) pub20<-delete_contained_entities
(6162|6194) pub26<-delete_participant
(6162|6208) pub47<-match found! before write for 0103000c.297a35f2.18128f51.00000002(3d5fc61b)
(6162|6208) pub47  waiting for acks
(6162|6210) pub44  waiting for acks returned
(6162|6210) pub44<-delete_contained_entities
(6162|6188) pub20<-delete_participant
(6162|6208) pub47  waiting for acks returned
(6162|6208) pub47<-delete_contained_entities
(6162|6210) pub44<-delete_participant
(6162|6208) pub47<-delete_participant
(6162|6179) pub11<-match found! before write for 0103000c.297a35f2.18128f2c.00000002(3c1de265)
(6162|6179) pub11  waiting for acks
(6162|6179) pub11  waiting for acks returned
(6162|6179) pub11<-delete_contained_entities
(6162|6179) pub11<-delete_participant
(6162|6185) pub17<-match found! before write for 0103000c.297a35f2.18128f34.00000002(6c8d3e26)
(6162|6185) pub17  waiting for acks
(6162|6185) pub17  waiting for acks returned
(6162|6185) pub17<-delete_contained_entities
(6162|6211) pub43<-match found! before write for 0103000c.297a35f2.18128f4d.00000002(984fbc98)
(6162|6211) pub43  waiting for acks
(6162|6185) pub17<-delete_participant
(6162|6207) pub41<-match found! before write for 0103000c.297a35f2.18128f4b.00000002(170f4938)
(6162|6207) pub41  waiting for acks
(6162|6211) pub43  waiting for acks returned
(6162|6211) pub43<-delete_contained_entities
(6162|6225) pub51  waiting for acks returned
(6162|6225) pub51<-delete_contained_entities
(6162|6220) pub62<-match found! before write for 0103000c.297a35f2.18128f60.00000002(a11e572d)
(6162|6220) pub62  waiting for acks
(6162|6211) pub43<-delete_participant
(6162|6206) pub48<-match found! before write for 0103000c.297a35f2.18128f52.00000002(7affbccb)
(6162|6206) pub48  waiting for acks
(6162|6220) pub62  waiting for acks returned
(6162|6220) pub62<-delete_contained_entities
(6162|6202) pub34<-match found! before write for 0103000c.297a35f2.18128f44.00000002(955fdee9)
(6162|6202) pub34  waiting for acks
(6162|6225) pub51<-delete_participant
(6162|6206) pub48  waiting for acks returned
(6162|6206) pub48<-delete_contained_entities
(6162|6202) pub34  waiting for acks returned
(6162|6202) pub34<-delete_contained_entities
(6162|6230) pub58<-match found! before write for 0103000c.297a35f2.18128f5f.00000002(826f787a)
(6162|6230) pub58  waiting for acks
(6162|6220) pub62<-delete_participant
(6162|6193) pub24  waiting for acks returned
(6162|6193) pub24<-delete_contained_entities
(6162|6206) pub48<-delete_participant
(6162|6230) pub58  waiting for acks returned
(6162|6230) pub58<-delete_contained_entities
(6162|6202) pub34<-delete_participant
(6162|6191) pub23<-match found! before write for 0103000c.297a35f2.18128f3b.00000002(eedda9f7)
(6162|6191) pub23  waiting for acks
(6162|6193) pub24<-delete_participant
(6162|6191) pub23  waiting for acks returned
(6162|6191) pub23<-delete_contained_entities
(6162|6230) pub58<-delete_participant
(6162|6191) pub23<-delete_participant
(6162|6195) pub27<-match found! before write for 0103000c.297a35f2.18128f3d.00000002(619d5c57)
(6162|6195) pub27  waiting for acks
(6162|6224) pub61<-match found! before write for 0103000c.297a35f2.18128f62.00000002(dbde044d)
(6162|6224) pub61  waiting for acks
(6162|6192) pub25<-match found! before write for 0103000c.297a35f2.18128f45.00000002(a83ff759)
(6162|6192) pub25  waiting for acks
(6162|6177) pub8<-match found! before write for 0103000c.297a35f2.18128f2e.00000002(46ddb105)
(6162|6177) pub8  waiting for acks
(6162|6224) pub61  waiting for acks returned
(6162|6224) pub61<-delete_contained_entities
(6162|6192) pub25  waiting for acks returned
(6162|6192) pub25<-delete_contained_entities
(6162|6177) pub8  waiting for acks returned
(6162|6177) pub8<-delete_contained_entities
(6162|6224) pub61<-delete_participant
(6162|6192) pub25<-delete_participant
(6162|6205) pub49<-match found! before write for 0103000c.297a35f2.18128f5d.00000002(f8af2b1a)
(6162|6205) pub49  waiting for acks
(6162|6183) pub15<-match found! before write for 0103000c.297a35f2.18128f33.00000002(deade236)
(6162|6183) pub15  waiting for acks
(6162|6177) pub8<-delete_participant
(6162|6217) pub40<-match found! before write for 0103000c.297a35f2.18128f4a.00000002(2a6f6088)
(6162|6217) pub40  waiting for acks
(6162|6209) pub37  waiting for acks returned
(6162|6209) pub37<-delete_contained_entities
(6162|6171) pub4  waiting for acks returned
(6162|6171) pub4<-delete_contained_entities
(6162|6205) pub49  waiting for acks returned
(6162|6205) pub49<-delete_contained_entities
(6162|6229) pub53<-match found! before write for 0103000c.297a35f2.18128f5c.00000002(c5cf02aa)
(6162|6229) pub53  waiting for acks
(6162|6217) pub40  waiting for acks returned
(6162|6217) pub40<-delete_contained_entities
(6162|6207) pub41  waiting for acks returned
(6162|6207) pub41<-delete_contained_entities
(6162|6209) pub37<-delete_participant
(6162|6171) pub4<-delete_participant
(6162|6205) pub49<-delete_participant
(6162|6217) pub40<-delete_participant
(6162|6207) pub41<-delete_participant
(6162|6195) pub27  waiting for acks returned
(6162|6195) pub27<-delete_contained_entities
(6162|6198) pub30<-match found! before write for 0103000c.297a35f2.18128f40.00000002(60df7829)
(6162|6198) pub30  waiting for acks
(6162|6221) pub55<-match found! before write for 0103000c.297a35f2.18128f5a.00000002(4a8ff70a)
(6162|6221) pub55  waiting for acks
(6162|6190) pub22<-match found! before write for 0103000c.297a35f2.18128f39.00000002(941dfa97)
(6162|6190) pub22  waiting for acks
(6162|6195) pub27<-delete_participant
(6162|6216) pub45<-match found! before write for 0103000c.297a35f2.18128f4f.00000002(e28feff8)
(6162|6216) pub45  waiting for acks
(6162|6221) pub55  waiting for acks returned
(6162|6221) pub55<-delete_contained_entities
(6162|6221) pub55<-delete_participant
(6162|6200) pub31<-match found! before write for 0103000c.297a35f2.18128f41.00000002(5dbf5199)
(6162|6200) pub31  waiting for acks
(6162|6201) pub33<-match found! before write for 0103000c.297a35f2.18128f43.00000002(277f02f9)
(6162|6201) pub33  waiting for acks
(6162|6184) pub16<-match found! before write for 0103000c.297a35f2.18128f30.00000002(990d98e6)
(6162|6184) pub16  waiting for acks
(6162|6178) pub9<-match found! before write for 0103000c.297a35f2.18128f2b.00000002(8e3d3e75)
(6162|6178) pub9  waiting for acks
(6162|6183) pub15  waiting for acks returned
(6162|6183) pub15<-delete_contained_entities
(6162|6182) pub14<-match found! before write for 0103000c.297a35f2.18128f31.00000002(a46db156)
(6162|6182) pub14  waiting for acks
(6162|6216) pub45  waiting for acks returned
(6162|6216) pub45<-delete_contained_entities
(6162|6168) pub0<-match found! before write for 0103000c.297a35f2.18128f23.00000002(be4d75b4)
(6162|6168) pub0  waiting for acks
(6162|6203) pub35<-match found! before write for 0103000c.297a35f2.18128f46.00000002(ef9f8d89)
(6162|6203) pub35  waiting for acks
(6162|6200) pub31  waiting for acks returned
(6162|6200) pub31<-delete_contained_entities
(6162|6183) pub15<-delete_participant
(6162|6219) pub56<-match found! before write for 0103000c.297a35f2.18128f5b.00000002(77efdeba)
(6162|6219) pub56  waiting for acks
(6162|6227) pub52<-match found! before write for 0103000c.297a35f2.18128f57.00000002(b21f33bb)
(6162|6227) pub52  waiting for acks
(6162|6229) pub53  waiting for acks returned
(6162|6229) pub53<-delete_contained_entities
(6162|6204) pub36<-match found! before write for 0103000c.297a35f2.18128f47.00000002(d2ffa439)
(6162|6204) pub36  waiting for acks
(6162|6172) pub7<-match found! before write for 0103000c.297a35f2.18128f2a.00000002(b35d17c5)
(6162|6172) pub7  waiting for acks
(6162|6218) pub63<-match found! before write for 0103000c.297a35f2.18128f59.00000002(0d2f8dda)
(6162|6218) pub63  waiting for acks
(6162|6176) pub10<-match found! before write for 0103000c.297a35f2.18128f2d.00000002(017dcbd5)
(6162|6176) pub10  waiting for acks
(6162|6182) pub14  waiting for acks returned
(6162|6182) pub14<-delete_contained_entities
(6162|6216) pub45<-delete_participant
(6162|6168) pub0  waiting for acks returned
(6162|6168) pub0<-delete_contained_entities
(6162|6190) pub22  waiting for acks returned
(6162|6190) pub22<-delete_contained_entities
(6162|6203) pub35  waiting for acks returned
(6162|6203) pub35<-delete_contained_entities
(6162|6198) pub30  waiting for acks returned
(6162|6198) pub30<-delete_contained_entities
(6162|6227) pub52  waiting for acks returned
(6162|6227) pub52<-delete_contained_entities
(6162|6200) pub31<-delete_participant
(6162|6219) pub56  waiting for acks returned
(6162|6219) pub56<-delete_contained_entities
(6162|6180) pub12<-match found! before write for 0103000c.297a35f2.18128f2f.00000002(7bbd98b5)
(6162|6180) pub12  waiting for acks
(6162|6212) pub42<-match found! before write for 0103000c.297a35f2.18128f4c.00000002(a52f9528)
(6162|6212) pub42  waiting for acks
(6162|6223) pub50<-match found! before write for 0103000c.297a35f2.18128f55.00000002(c8df60db)
(6162|6223) pub50  waiting for acks
(6162|6229) pub53<-delete_participant
(6162|6175) pub2<-match found! before write for 0103000c.297a35f2.18128f27.00000002(4bcdd374)
(6162|6175) pub2  waiting for acks
(6162|6218) pub63  waiting for acks returned
(6162|6218) pub63<-delete_contained_entities
(6162|6215) pub39<-match found! before write for 0103000c.297a35f2.18128f49.00000002(6dcf1a58)
(6162|6215) pub39  waiting for acks
(6162|6169) pub1<-match found! before write for 0103000c.297a35f2.18128f24.00000002(0c6da9a4)
(6162|6169) pub1  waiting for acks
(6162|6174) pub6<-match found! before write for 0103000c.297a35f2.18128f26.00000002(76adfac4)
(6162|6174) pub6  waiting for acks
(6162|6201) pub33  waiting for acks returned
(6162|6201) pub33<-delete_contained_entities
(6162|6173) pub5<-match found! before write for 0103000c.297a35f2.18128f29.00000002(f4fd6d15)
(6162|6173) pub5  waiting for acks
(6162|6178) pub9  waiting for acks returned
(6162|6178) pub9<-delete_contained_entities
(6162|6184) pub16  waiting for acks returned
(6162|6184) pub16<-delete_contained_entities
(6162|6186) pub18<-match found! before write for 0103000c.297a35f2.18128f36.00000002(164d6d46)
(6162|6186) pub18  waiting for acks
(6162|6182) pub14<-delete_participant
(6162|6187) pub19<-match found! before write for 0103000c.297a35f2.18128f37.00000002(2b2d44f6)
(6162|6187) pub19  waiting for acks
(6162|6212) pub42  waiting for acks returned
(6162|6212) pub42<-delete_contained_entities
(6162|6175) pub2  waiting for acks returned
(6162|6175) pub2<-delete_contained_entities
(6162|6168) pub0<-delete_participant
(6162|6181) pub13<-match found! before write for 0103000c.297a35f2.18128f32.00000002(e3cdcb86)
(6162|6181) pub13  waiting for acks
(6162|6170) pub3<-match found! before write for 0103000c.297a35f2.18128f25.00000002(310d8014)
(6162|6170) pub3  waiting for acks
(6162|6215) pub39  waiting for acks returned
(6162|6215) pub39<-delete_contained_entities
(6162|6176) pub10  waiting for acks returned
(6162|6176) pub10<-delete_contained_entities
(6162|6169) pub1  waiting for acks returned
(6162|6169) pub1<-delete_contained_entities
(6162|6190) pub22<-delete_participant
(6162|6174) pub6  waiting for acks returned
(6162|6174) pub6<-delete_contained_entities
(6162|6180) pub12  waiting for acks returned
(6162|6180) pub12<-delete_contained_entities
(6162|6173) pub5  waiting for acks returned
(6162|6173) pub5<-delete_contained_entities
(6162|6203) pub35<-delete_participant
(6162|6199) pub32<-match found! before write for 0103000c.297a35f2.18128f42.00000002(1a1f2b49)
(6162|6199) pub32  waiting for acks
(6162|6186) pub18  waiting for acks returned
(6162|6186) pub18<-delete_contained_entities
(6162|6198) pub30<-delete_participant
(6162|6187) pub19  waiting for acks returned
(6162|6187) pub19<-delete_contained_entities
(6162|6204) pub36  waiting for acks returned
(6162|6204) pub36<-delete_contained_entities
(6162|6223) pub50  waiting for acks returned
(6162|6223) pub50<-delete_contained_entities
(6162|6172) pub7  waiting for acks returned
(6162|6172) pub7<-delete_contained_entities
(6162|6227) pub52<-delete_participant
(6162|6219) pub56<-delete_participant
(6162|6170) pub3  waiting for acks returned
(6162|6170) pub3<-delete_contained_entities
(6162|6181) pub13  waiting for acks returned
(6162|6181) pub13<-delete_contained_entities
(6162|6218) pub63<-delete_participant
(6162|6201) pub33<-delete_participant
(6162|6167) sub condition_.notify_all
(6162|6162) sub condition_.wait returned
(6162|6162) sub check_received
(6162|6162) sub check_received returns 0
(6162|6162) <- PublisherService::end
(6162|6178) pub9<-delete_participant
(6162|6184) pub16<-delete_participant
(6162|6212) pub42<-delete_participant
(6162|6199) pub32  waiting for acks returned
(6162|6199) pub32<-delete_contained_entities
(6162|6175) pub2<-delete_participant
(6162|6215) pub39<-delete_participant
(6162|6176) pub10<-delete_participant
(6162|6169) pub1<-delete_participant
(6162|6174) pub6<-delete_participant
(6162|6180) pub12<-delete_participant
(6162|6173) pub5<-delete_participant
(6162|6186) pub18<-delete_participant
(6162|6204) pub36<-delete_participant
(6162|6187) pub19<-delete_participant
(6162|6223) pub50<-delete_participant
(6162|6172) pub7<-delete_participant
(6162|6170) pub3<-delete_participant
(6162|6181) pub13<-delete_participant
(6162|6199) pub32<-delete_participant
(6162|6162) <- PublisherService::~PublisherService
(6162|6162) <- Subscriber delete_contained_entities
(6162|6162) <- Subscriber delete_participant
(6162|6162) <- Subscriber::~Subscriber
(6162|6162) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl aggressive rtps durable Time:88s Result:0

==============================================================================

tests/DCPS/DPFactoryQos/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 6512
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DPFactoryQos/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub.log -DCPSPendingTimeout 3 
pub PID: 6519 started at 2023-04-26 16:15:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DPFactoryQos/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub.log -DCPSPendingTimeout 3 
sub PID: 6520 started at 2023-04-26 16:15:26
(6512|6512) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/DPFactoryQos/run_test.pl Time:8s Result:0

==============================================================================

tests/DCPS/DPFactoryQos/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DPFactoryQos/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub.log -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
pub PID: 6546 started at 2023-04-26 16:15:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DPFactoryQos/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub.log -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
sub PID: 6547 started at 2023-04-26 16:15:34
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
test PASSED.

auto_run_tests_finished: tests/DCPS/DPFactoryQos/run_test.pl rtps_disc Time:8s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 6560
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_inforepo_tcp.ini  -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 6567 started at 2023-04-26 16:15:43
2023-04-26 16:15:43.138@LM_NOTICE@(6567|6567) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(6560|6560) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-26 16:15:43.210@LM_WARNING@(6567|6567) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-26 16:15:43.324@LM_DEBUG@(6567|6567) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-26 16:15:43.324@LM_DEBUG@(6567|6567) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 01030000.593948eb.00000002.00000102(1980fed6)
2023-04-26 16:15:43.324@LM_DEBUG@(6567|6567) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-26 16:15:43.324@LM_DEBUG@(6567|6567) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 01030000.593948eb.00000003.00000102(24e0d766)
2023-04-26 16:15:43.324@LM_DEBUG@(6567|6580) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-26 16:15:43.325@LM_DEBUG@(6567|6567) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-26 16:15:43.325@LM_DEBUG@(6567|6567) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 01030000.593948eb.00000002.00000202(32adad15)
2023-04-26 16:15:43.325@LM_DEBUG@(6567|6567) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-26 16:15:43.325@LM_DEBUG@(6567|6567) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 01030000.593948eb.00000002.00000302(2bb69c54)
2023-04-26 16:15:43.325@LM_DEBUG@(6567|6567) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-26 16:15:43.325@LM_DEBUG@(6567|6581) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-26 16:15:43.325@LM_DEBUG@(6567|6581) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:15:43.325@LM_INFO@(6567|6579) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 39 (01030000.593948eb.00000002.00000102(1980fed6))
2023-04-26 16:15:43.325@LM_DEBUG@(6567|6580) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:15:43.325@LM_DEBUG@(6567|6582) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-26 16:15:43.326@LM_DEBUG@(6567|6582) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:15:43.326@LM_INFO@(6567|6579) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-26 16:15:43.326@LM_INFO@(6567|6579) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.593948eb.00000002.00000202(32adad15))
2023-04-26 16:15:43.326@LM_DEBUG@(6567|6583) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-26 16:15:43.326@LM_DEBUG@(6567|6583) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:15:43.326@LM_INFO@(6567|6579) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-26 16:15:43.326@LM_INFO@(6567|6579) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 48 (01030000.593948eb.00000002.00000302(2bb69c54))
2023-04-26 16:15:44.897@LM_INFO@(6567|6579) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 42 (01030000.593948eb.00000003.00000102(24e0d766))
2023-04-26 16:15:45.325@LM_DEBUG@(6567|6581) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:15:45.325@LM_DEBUG@(6567|6580) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:15:45.326@LM_DEBUG@(6567|6582) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:15:45.326@LM_INFO@(6567|6579) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-26 16:15:45.326@LM_DEBUG@(6567|6583) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:15:45.327@LM_INFO@(6567|6579) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-26 16:15:47.325@LM_DEBUG@(6567|6581) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:15:47.326@LM_DEBUG@(6567|6580) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:15:47.327@LM_DEBUG@(6567|6582) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:15:47.327@LM_DEBUG@(6567|6583) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:15:47.327@LM_INFO@(6567|6579) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-26 16:15:47.327@LM_INFO@(6567|6579) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-26 16:15:49.326@LM_DEBUG@(6567|6581) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:15:49.327@LM_DEBUG@(6567|6580) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:15:49.327@LM_DEBUG@(6567|6583) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:15:49.327@LM_DEBUG@(6567|6582) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:15:49.328@LM_INFO@(6567|6579) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-26 16:15:49.328@LM_INFO@(6567|6579) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-26 16:15:51.326@LM_DEBUG@(6567|6581) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:15:51.327@LM_DEBUG@(6567|6583) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:15:51.328@LM_DEBUG@(6567|6582) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:15:51.328@LM_DEBUG@(6567|6580) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:15:51.328@LM_INFO@(6567|6579) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-26 16:15:51.329@LM_INFO@(6567|6579) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-26 16:15:53.326@LM_DEBUG@(6567|6581) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:15:53.328@LM_DEBUG@(6567|6583) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:15:53.328@LM_DEBUG@(6567|6582) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:15:53.328@LM_DEBUG@(6567|6580) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:15:53.329@LM_INFO@(6567|6579) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-26 16:15:53.329@LM_INFO@(6567|6579) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-26 16:15:55.326@LM_DEBUG@(6567|6581) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:15:55.328@LM_DEBUG@(6567|6583) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:15:55.328@LM_DEBUG@(6567|6582) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:15:55.329@LM_DEBUG@(6567|6580) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:15:55.329@LM_INFO@(6567|6579) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-26 16:15:55.329@LM_INFO@(6567|6579) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-26 16:15:57.326@LM_DEBUG@(6567|6581) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:15:57.328@LM_DEBUG@(6567|6583) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:15:57.329@LM_DEBUG@(6567|6582) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:15:57.329@LM_DEBUG@(6567|6580) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:15:57.329@LM_INFO@(6567|6579) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-26 16:15:57.330@LM_INFO@(6567|6579) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-26 16:15:59.327@LM_DEBUG@(6567|6581) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:15:59.329@LM_DEBUG@(6567|6583) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:15:59.329@LM_DEBUG@(6567|6582) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:15:59.329@LM_DEBUG@(6567|6580) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:15:59.330@LM_INFO@(6567|6579) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-26 16:15:59.330@LM_INFO@(6567|6579) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-26 16:16:01.327@LM_DEBUG@(6567|6581) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:16:01.329@LM_DEBUG@(6567|6583) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:16:01.330@LM_DEBUG@(6567|6582) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:16:01.330@LM_DEBUG@(6567|6580) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:16:01.330@LM_INFO@(6567|6579) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-26 16:16:01.331@LM_INFO@(6567|6579) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-26 16:16:03.327@LM_DEBUG@(6567|6581) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-26 16:16:03.330@LM_DEBUG@(6567|6583) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-26 16:16:03.331@LM_DEBUG@(6567|6582) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-26 16:16:03.331@LM_DEBUG@(6567|6580) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-26 16:16:03.331@LM_DEBUG@(6567|6567) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-26 16:16:03.331@LM_DEBUG@(6567|6567) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-26 16:16:03.331@LM_DEBUG@(6567|6567) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-26 16:16:03.331@LM_DEBUG@(6567|6567) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-26 16:16:03.331@LM_DEBUG@(6567|6567) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-26 16:16:03.331@LM_DEBUG@(6567|6567) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-26 16:16:03.331@LM_DEBUG@(6567|6567) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-26 16:16:03.331@LM_INFO@(6567|6567) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-26 16:16:04.530@LM_INFO@(6567|6568) DataWriterListenerImpl::on_liveliness_lost 0xeb4f30 1
2023-04-26 16:16:04.530@LM_INFO@(6567|6568)    total_count=1 total_count_change=1
2023-04-26 16:16:04.530@LM_INFO@(6567|6568) DataWriterListenerImpl::on_liveliness_lost 0xeaa290 1
2023-04-26 16:16:04.530@LM_INFO@(6567|6568)    total_count=1 total_count_change=1
2023-04-26 16:16:04.530@LM_INFO@(6567|6568) DataWriterListenerImpl::on_liveliness_lost 0xe1d240 1
2023-04-26 16:16:04.530@LM_INFO@(6567|6568)    total_count=1 total_count_change=1
2023-04-26 16:16:05.700@LM_INFO@(6567|6568) DataWriterListenerImpl::on_liveliness_lost 0xe64c80 1
2023-04-26 16:16:05.700@LM_INFO@(6567|6568)    total_count=1 total_count_change=1
2023-04-26 16:16:06.330@LM_INFO@(6567|6568) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 48 (01030000.593948eb.00000002.00000302(2bb69c54))
2023-04-26 16:16:06.331@LM_INFO@(6567|6568) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 39 (01030000.593948eb.00000002.00000102(1980fed6))
2023-04-26 16:16:06.331@LM_INFO@(6567|6568) instance is unregistered
2023-04-26 16:16:06.331@LM_INFO@(6567|6568) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 45 (01030000.593948eb.00000002.00000202(32adad15))
2023-04-26 16:16:07.501@LM_INFO@(6567|6568) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-26 16:16:07.501@LM_INFO@(6567|6567) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-26 16:16:07.501@LM_INFO@(6567|6568) DataReaderListenerImpl::on_liveliness_changed #8
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 42 (01030000.593948eb.00000003.00000102(24e0d766))
2023-04-26 16:16:07.501@LM_INFO@subscriber got 20 of 20 messages, and 8 of 8 callbacks
2023-04-26 16:16:07.502@LM_INFO@(6567|6579) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 39 (01030000.593948eb.00000002.00000102(1980fed6))
2023-04-26 16:16:07.502@LM_INFO@(6567|6579) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 45 (01030000.593948eb.00000002.00000202(32adad15))
2023-04-26 16:16:07.504@LM_INFO@(6567|6569) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 1
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 39 (01030000.593948eb.00000002.00000102(1980fed6))
2023-04-26 16:16:07.504@LM_INFO@(6567|6569) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 0
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.593948eb.00000002.00000202(32adad15))
2023-04-26 16:16:07.505@LM_INFO@(6567|6569) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 48 (01030000.593948eb.00000002.00000302(2bb69c54))
2023-04-26 16:16:07.513@LM_INFO@(6567|6569) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 42 (01030000.593948eb.00000003.00000102(24e0d766))
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl Time:25s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl lost #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 6587
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_inforepo_tcp.ini -l -n 4 -t 10 -c 8 -N 4 -C 16 -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 6594 started at 2023-04-26 16:16:07
2023-04-26 16:16:07.830@LM_NOTICE@(6594|6594) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(6587|6587) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-26 16:16:07.872@LM_WARNING@(6594|6594) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-26 16:16:07.981@LM_DEBUG@(6594|6594) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-26 16:16:07.981@LM_DEBUG@(6594|6594) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 01030000.17b064d8.00000002.00000102(8ea38e6b)
2023-04-26 16:16:07.981@LM_DEBUG@(6594|6594) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-26 16:16:07.981@LM_DEBUG@(6594|6594) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 01030000.17b064d8.00000003.00000102(b3c3a7db)
2023-04-26 16:16:07.981@LM_DEBUG@(6594|6607) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-26 16:16:07.982@LM_DEBUG@(6594|6594) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-26 16:16:07.982@LM_DEBUG@(6594|6594) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 01030000.17b064d8.00000002.00000202(a58edda8)
2023-04-26 16:16:07.982@LM_DEBUG@(6594|6594) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-26 16:16:07.982@LM_DEBUG@(6594|6594) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 01030000.17b064d8.00000002.00000302(bc95ece9)
2023-04-26 16:16:07.982@LM_DEBUG@(6594|6594) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-26 16:16:07.982@LM_DEBUG@(6594|6610) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-26 16:16:07.982@LM_DEBUG@(6594|6610) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:16:07.982@LM_DEBUG@(6594|6609) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-26 16:16:07.982@LM_INFO@(6594|6606) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 39 (01030000.17b064d8.00000002.00000102(8ea38e6b))
2023-04-26 16:16:07.983@LM_DEBUG@(6594|6607) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:16:07.983@LM_DEBUG@(6594|6608) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-26 16:16:07.983@LM_DEBUG@(6594|6608) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:16:07.983@LM_INFO@(6594|6606) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-26 16:16:07.983@LM_INFO@(6594|6606) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 48 (01030000.17b064d8.00000002.00000302(bc95ece9))
2023-04-26 16:16:07.984@LM_INFO@(6594|6606) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.17b064d8.00000002.00000202(a58edda8))
2023-04-26 16:16:07.984@LM_DEBUG@(6594|6609) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:16:07.984@LM_INFO@(6594|6606) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-26 16:16:09.559@LM_INFO@(6594|6606) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 42 (01030000.17b064d8.00000003.00000102(b3c3a7db))
2023-04-26 16:16:11.182@LM_INFO@(6594|6595) DataWriterListenerImpl::on_liveliness_lost 0xa40f20 1
2023-04-26 16:16:11.182@LM_INFO@(6594|6595)    total_count=1 total_count_change=1
2023-04-26 16:16:11.183@LM_INFO@(6594|6595) DataWriterListenerImpl::on_liveliness_lost 0x9a9230 1
2023-04-26 16:16:11.183@LM_INFO@(6594|6595)    total_count=1 total_count_change=1
2023-04-26 16:16:11.184@LM_INFO@(6594|6595) DataWriterListenerImpl::on_liveliness_lost 0xa36280 1
2023-04-26 16:16:11.184@LM_INFO@(6594|6595)    total_count=1 total_count_change=1
2023-04-26 16:16:12.759@LM_INFO@(6594|6595) DataWriterListenerImpl::on_liveliness_lost 0x9f0c70 1
2023-04-26 16:16:12.759@LM_INFO@(6594|6595)    total_count=1 total_count_change=1
2023-04-26 16:16:12.983@LM_INFO@(6594|6595) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 39 (01030000.17b064d8.00000002.00000102(8ea38e6b))
2023-04-26 16:16:12.984@LM_INFO@(6594|6595) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 48 (01030000.17b064d8.00000002.00000302(bc95ece9))
2023-04-26 16:16:12.985@LM_INFO@(6594|6595) instance is unregistered
2023-04-26 16:16:12.985@LM_INFO@(6594|6595) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 45 (01030000.17b064d8.00000002.00000202(a58edda8))
2023-04-26 16:16:14.560@LM_INFO@(6594|6595) DataReaderListenerImpl::on_liveliness_changed #8
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 42 (01030000.17b064d8.00000003.00000102(b3c3a7db))
2023-04-26 16:16:37.983@LM_DEBUG@(6594|6607) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:16:37.983@LM_DEBUG@(6594|6608) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:16:37.984@LM_INFO@(6594|6606) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 39 (01030000.17b064d8.00000002.00000102(8ea38e6b))
2023-04-26 16:16:37.984@LM_INFO@(6594|6606) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-26 16:16:37.984@LM_DEBUG@(6594|6610) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:16:37.984@LM_INFO@(6594|6606) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 48 (01030000.17b064d8.00000002.00000302(bc95ece9))
2023-04-26 16:16:37.984@LM_DEBUG@(6594|6609) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:16:37.985@LM_INFO@(6594|6606) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 3
  not_alive_count = 1
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 45 (01030000.17b064d8.00000002.00000202(a58edda8))
2023-04-26 16:16:37.985@LM_INFO@(6594|6606) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-26 16:16:38.359@LM_INFO@(6594|6606) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 42 (01030000.17b064d8.00000003.00000102(b3c3a7db))
2023-04-26 16:16:41.184@LM_INFO@(6594|6595) DataWriterListenerImpl::on_liveliness_lost 0x9a9230 2
2023-04-26 16:16:41.185@LM_INFO@(6594|6595)    total_count=2 total_count_change=1
2023-04-26 16:16:41.185@LM_INFO@(6594|6595) DataWriterListenerImpl::on_liveliness_lost 0xa40f20 2
2023-04-26 16:16:41.185@LM_INFO@(6594|6595)    total_count=2 total_count_change=1
2023-04-26 16:16:41.185@LM_INFO@(6594|6595) DataWriterListenerImpl::on_liveliness_lost 0xa36280 2
2023-04-26 16:16:41.185@LM_INFO@(6594|6595)    total_count=2 total_count_change=1
2023-04-26 16:16:41.559@LM_INFO@(6594|6595) DataWriterListenerImpl::on_liveliness_lost 0x9f0c70 2
2023-04-26 16:16:41.559@LM_INFO@(6594|6595)    total_count=2 total_count_change=1
2023-04-26 16:16:42.984@LM_INFO@(6594|6595) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 39 (01030000.17b064d8.00000002.00000102(8ea38e6b))
2023-04-26 16:16:42.985@LM_INFO@(6594|6595) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 48 (01030000.17b064d8.00000002.00000302(bc95ece9))
2023-04-26 16:16:43.002@LM_INFO@(6594|6595) instance is unregistered
2023-04-26 16:16:43.002@LM_INFO@(6594|6595) DataReaderListenerImpl::on_liveliness_changed #15
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 45 (01030000.17b064d8.00000002.00000202(a58edda8))
2023-04-26 16:16:43.360@LM_INFO@(6594|6595) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-26 16:16:43.360@LM_INFO@(6594|6595) DataReaderListenerImpl::on_liveliness_changed #16
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 42 (01030000.17b064d8.00000003.00000102(b3c3a7db))
2023-04-26 16:16:47.983@LM_DEBUG@(6594|6608) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-26 16:16:47.984@LM_DEBUG@(6594|6610) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-26 16:16:47.984@LM_DEBUG@(6594|6607) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-26 16:16:48.009@LM_DEBUG@(6594|6594) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-26 16:16:47.985@LM_DEBUG@(6594|6609) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-26 16:16:48.009@LM_DEBUG@(6594|6594) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-26 16:16:48.009@LM_DEBUG@(6594|6594) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-26 16:16:48.009@LM_DEBUG@(6594|6594) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-26 16:16:48.009@LM_DEBUG@(6594|6594) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-26 16:16:48.009@LM_DEBUG@(6594|6594) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-26 16:16:48.010@LM_DEBUG@(6594|6594) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-26 16:16:48.010@LM_INFO@(6594|6594) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-26 16:16:48.010@LM_INFO@(6594|6594) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-26 16:16:48.010@LM_INFO@subscriber got 4 of 4 messages, and 16 of 16 callbacks
2023-04-26 16:16:48.012@LM_INFO@(6594|6606) DataReaderListenerImpl::on_liveliness_changed #17
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 39 (01030000.17b064d8.00000002.00000102(8ea38e6b))
2023-04-26 16:16:48.012@LM_INFO@(6594|6606) DataReaderListenerImpl::on_liveliness_changed #18
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 45 (01030000.17b064d8.00000002.00000202(a58edda8))
2023-04-26 16:16:48.012@LM_INFO@(6594|6596) DataReaderListenerImpl::on_liveliness_changed #19
  alive_count = 1
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 39 (01030000.17b064d8.00000002.00000102(8ea38e6b))
2023-04-26 16:16:48.014@LM_INFO@(6594|6596) DataReaderListenerImpl::on_liveliness_changed #20
  alive_count = 0
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.17b064d8.00000002.00000202(a58edda8))
2023-04-26 16:16:48.016@LM_INFO@(6594|6596) DataReaderListenerImpl::on_liveliness_changed #21
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 48 (01030000.17b064d8.00000002.00000302(bc95ece9))
2023-04-26 16:16:48.029@LM_INFO@(6594|6596) DataReaderListenerImpl::on_liveliness_changed #22
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 42 (01030000.17b064d8.00000003.00000102(b3c3a7db))
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl lost Time:41s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 6623
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_inforepo_rtps.ini  -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 6630 started at 2023-04-26 16:16:48
2023-04-26 16:16:48.401@LM_NOTICE@(6630|6630) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(6623|6623) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-26 16:16:48.444@LM_WARNING@(6630|6630) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-26 16:16:48.580@LM_DEBUG@(6630|6630) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-26 16:16:48.581@LM_DEBUG@(6630|6630) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 01030000.2d4d3f2e.00000002.00000102(96f2bdca)
2023-04-26 16:16:48.581@LM_DEBUG@(6630|6630) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-26 16:16:48.581@LM_DEBUG@(6630|6630) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 01030000.2d4d3f2e.00000003.00000102(ab92947a)
2023-04-26 16:16:48.581@LM_DEBUG@(6630|6643) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-26 16:16:48.581@LM_DEBUG@(6630|6630) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-26 16:16:48.581@LM_DEBUG@(6630|6630) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 01030000.2d4d3f2e.00000002.00000202(bddfee09)
2023-04-26 16:16:48.581@LM_DEBUG@(6630|6630) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-26 16:16:48.581@LM_DEBUG@(6630|6630) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 01030000.2d4d3f2e.00000002.00000302(a4c4df48)
2023-04-26 16:16:48.581@LM_DEBUG@(6630|6630) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-26 16:16:48.581@LM_DEBUG@(6630|6646) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-26 16:16:48.581@LM_DEBUG@(6630|6646) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:16:48.582@LM_DEBUG@(6630|6644) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-26 16:16:48.582@LM_DEBUG@(6630|6644) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:16:48.582@LM_DEBUG@(6630|6643) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:16:48.582@LM_INFO@(6630|6642) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 39 (01030000.2d4d3f2e.00000002.00000102(96f2bdca))
2023-04-26 16:16:48.582@LM_DEBUG@(6630|6645) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-26 16:16:48.583@LM_DEBUG@(6630|6645) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:16:48.583@LM_INFO@(6630|6642) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-26 16:16:48.583@LM_INFO@(6630|6642) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.2d4d3f2e.00000002.00000202(bddfee09))
2023-04-26 16:16:48.584@LM_INFO@(6630|6642) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-26 16:16:48.592@LM_INFO@(6630|6642) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 48 (01030000.2d4d3f2e.00000002.00000302(a4c4df48))
2023-04-26 16:16:50.156@LM_INFO@(6630|6642) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 42 (01030000.2d4d3f2e.00000003.00000102(ab92947a))
2023-04-26 16:16:50.582@LM_DEBUG@(6630|6646) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:16:50.582@LM_DEBUG@(6630|6644) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:16:50.582@LM_DEBUG@(6630|6643) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:16:50.583@LM_DEBUG@(6630|6645) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:16:50.583@LM_INFO@(6630|6642) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-26 16:16:50.584@LM_INFO@(6630|6642) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-26 16:16:52.582@LM_DEBUG@(6630|6646) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:16:52.582@LM_DEBUG@(6630|6644) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:16:52.584@LM_DEBUG@(6630|6643) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:16:52.584@LM_DEBUG@(6630|6645) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:16:52.585@LM_INFO@(6630|6642) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-26 16:16:52.585@LM_INFO@(6630|6642) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-26 16:16:54.583@LM_DEBUG@(6630|6646) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:16:54.583@LM_DEBUG@(6630|6644) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:16:54.584@LM_DEBUG@(6630|6643) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:16:54.585@LM_DEBUG@(6630|6645) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:16:54.585@LM_INFO@(6630|6642) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-26 16:16:54.586@LM_INFO@(6630|6642) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-26 16:16:56.583@LM_DEBUG@(6630|6646) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:16:56.583@LM_DEBUG@(6630|6644) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:16:56.585@LM_DEBUG@(6630|6643) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:16:56.585@LM_DEBUG@(6630|6645) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:16:56.586@LM_INFO@(6630|6642) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-26 16:16:56.586@LM_INFO@(6630|6642) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-26 16:16:58.583@LM_DEBUG@(6630|6646) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:16:58.584@LM_DEBUG@(6630|6644) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:16:58.586@LM_DEBUG@(6630|6645) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:16:58.586@LM_DEBUG@(6630|6643) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:16:58.587@LM_INFO@(6630|6642) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-26 16:16:58.587@LM_INFO@(6630|6642) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-26 16:17:00.584@LM_DEBUG@(6630|6646) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:17:00.584@LM_DEBUG@(6630|6644) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:17:00.586@LM_DEBUG@(6630|6645) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:17:00.587@LM_DEBUG@(6630|6643) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:17:00.587@LM_INFO@(6630|6642) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-26 16:17:00.588@LM_INFO@(6630|6642) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-26 16:17:02.584@LM_DEBUG@(6630|6646) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:17:02.585@LM_DEBUG@(6630|6644) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:17:02.587@LM_DEBUG@(6630|6645) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:17:02.587@LM_DEBUG@(6630|6643) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:17:02.588@LM_INFO@(6630|6642) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-26 16:17:02.588@LM_INFO@(6630|6642) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-26 16:17:04.585@LM_DEBUG@(6630|6646) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:17:04.585@LM_DEBUG@(6630|6644) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:17:04.587@LM_DEBUG@(6630|6645) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:17:04.588@LM_DEBUG@(6630|6643) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:17:04.588@LM_INFO@(6630|6642) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-26 16:17:04.588@LM_INFO@(6630|6642) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-26 16:17:06.585@LM_DEBUG@(6630|6646) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:17:06.586@LM_DEBUG@(6630|6644) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:17:06.588@LM_DEBUG@(6630|6645) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:17:06.588@LM_DEBUG@(6630|6643) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:17:06.589@LM_INFO@(6630|6642) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-26 16:17:06.589@LM_INFO@(6630|6642) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-26 16:17:08.586@LM_DEBUG@(6630|6646) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-26 16:17:08.586@LM_DEBUG@(6630|6644) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-26 16:17:08.589@LM_DEBUG@(6630|6645) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-26 16:17:08.589@LM_DEBUG@(6630|6643) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-26 16:17:08.589@LM_DEBUG@(6630|6630) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-26 16:17:08.589@LM_DEBUG@(6630|6630) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-26 16:17:08.589@LM_DEBUG@(6630|6630) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-26 16:17:08.589@LM_DEBUG@(6630|6630) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-26 16:17:08.589@LM_DEBUG@(6630|6630) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-26 16:17:08.589@LM_DEBUG@(6630|6630) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-26 16:17:08.589@LM_DEBUG@(6630|6630) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-26 16:17:08.589@LM_INFO@(6630|6630) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-26 16:17:09.786@LM_INFO@(6630|6631) DataWriterListenerImpl::on_liveliness_lost 0x1f17f70 1
2023-04-26 16:17:09.786@LM_INFO@(6630|6631)    total_count=1 total_count_change=1
2023-04-26 16:17:09.788@LM_INFO@(6630|6631) DataWriterListenerImpl::on_liveliness_lost 0x1f0cb80 1
2023-04-26 16:17:09.788@LM_INFO@(6630|6631)    total_count=1 total_count_change=1
2023-04-26 16:17:09.788@LM_INFO@(6630|6631) DataWriterListenerImpl::on_liveliness_lost 0x1ed7b90 1
2023-04-26 16:17:09.788@LM_INFO@(6630|6631)    total_count=1 total_count_change=1
2023-04-26 16:17:10.948@LM_INFO@(6630|6631) DataWriterListenerImpl::on_liveliness_lost 0x1ef35c0 1
2023-04-26 16:17:10.948@LM_INFO@(6630|6631)    total_count=1 total_count_change=1
2023-04-26 16:17:11.589@LM_INFO@(6630|6631) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 39 (01030000.2d4d3f2e.00000002.00000102(96f2bdca))
2023-04-26 16:17:11.590@LM_INFO@(6630|6631) instance is unregistered
2023-04-26 16:17:11.590@LM_INFO@(6630|6631) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 45 (01030000.2d4d3f2e.00000002.00000202(bddfee09))
2023-04-26 16:17:11.597@LM_INFO@(6630|6631) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 48 (01030000.2d4d3f2e.00000002.00000302(a4c4df48))
2023-04-26 16:17:12.764@LM_INFO@(6630|6631) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-26 16:17:12.764@LM_INFO@(6630|6631) DataReaderListenerImpl::on_liveliness_changed #8
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 42 (01030000.2d4d3f2e.00000003.00000102(ab92947a))
2023-04-26 16:17:12.764@LM_INFO@(6630|6630) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-26 16:17:12.764@LM_INFO@subscriber got 20 of 20 messages, and 8 of 8 callbacks
2023-04-26 16:17:12.765@LM_INFO@(6630|6642) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 39 (01030000.2d4d3f2e.00000002.00000102(96f2bdca))
2023-04-26 16:17:12.766@LM_INFO@(6630|6642) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 45 (01030000.2d4d3f2e.00000002.00000202(bddfee09))
2023-04-26 16:17:13.788@LM_INFO@(6630|6632) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 1
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 39 (01030000.2d4d3f2e.00000002.00000102(96f2bdca))
2023-04-26 16:17:13.789@LM_INFO@(6630|6632) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 0
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.2d4d3f2e.00000002.00000202(bddfee09))
2023-04-26 16:17:13.790@LM_INFO@(6630|6632) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 48 (01030000.2d4d3f2e.00000002.00000302(a4c4df48))
2023-04-26 16:17:13.799@LM_INFO@(6630|6632) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 42 (01030000.2d4d3f2e.00000003.00000102(ab92947a))
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl rtps Time:25s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl rtps lost #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 6651
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_inforepo_rtps.ini -l -n 4 -t 10 -c 8 -N 4 -C 16 -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 6658 started at 2023-04-26 16:17:14
2023-04-26 16:17:14.110@LM_NOTICE@(6658|6658) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(6651|6651) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-26 16:17:14.155@LM_WARNING@(6658|6658) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-26 16:17:14.290@LM_DEBUG@(6658|6658) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-26 16:17:14.290@LM_DEBUG@(6658|6658) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 01030000.39fc786e.00000002.00000102(a51dd5d4)
2023-04-26 16:17:14.290@LM_DEBUG@(6658|6658) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-26 16:17:14.290@LM_DEBUG@(6658|6658) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 01030000.39fc786e.00000003.00000102(987dfc64)
2023-04-26 16:17:14.290@LM_DEBUG@(6658|6671) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-26 16:17:14.290@LM_DEBUG@(6658|6658) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-26 16:17:14.290@LM_DEBUG@(6658|6658) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 01030000.39fc786e.00000002.00000202(8e308617)
2023-04-26 16:17:14.290@LM_DEBUG@(6658|6658) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-26 16:17:14.290@LM_DEBUG@(6658|6658) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 01030000.39fc786e.00000002.00000302(972bb756)
2023-04-26 16:17:14.290@LM_DEBUG@(6658|6658) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-26 16:17:14.290@LM_DEBUG@(6658|6674) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-26 16:17:14.290@LM_DEBUG@(6658|6674) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:17:14.291@LM_DEBUG@(6658|6672) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-26 16:17:14.291@LM_DEBUG@(6658|6672) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:17:14.291@LM_DEBUG@(6658|6671) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:17:14.291@LM_INFO@(6658|6670) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 39 (01030000.39fc786e.00000002.00000102(a51dd5d4))
2023-04-26 16:17:14.291@LM_DEBUG@(6658|6673) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-26 16:17:14.292@LM_DEBUG@(6658|6673) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:17:14.292@LM_INFO@(6658|6670) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-26 16:17:14.292@LM_INFO@(6658|6670) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.39fc786e.00000002.00000202(8e308617))
2023-04-26 16:17:14.292@LM_INFO@(6658|6670) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-26 16:17:14.301@LM_INFO@(6658|6670) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 48 (01030000.39fc786e.00000002.00000302(972bb756))
2023-04-26 16:17:15.871@LM_INFO@(6658|6670) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 42 (01030000.39fc786e.00000003.00000102(987dfc64))
2023-04-26 16:17:17.491@LM_INFO@(6658|6659) DataWriterListenerImpl::on_liveliness_lost 0x9caf90 1
2023-04-26 16:17:17.491@LM_INFO@(6658|6659)    total_count=1 total_count_change=1
2023-04-26 16:17:17.491@LM_INFO@(6658|6659) DataWriterListenerImpl::on_liveliness_lost 0x98abb0 1
2023-04-26 16:17:17.491@LM_INFO@(6658|6659)    total_count=1 total_count_change=1
2023-04-26 16:17:17.492@LM_INFO@(6658|6659) DataWriterListenerImpl::on_liveliness_lost 0x9bfba0 1
2023-04-26 16:17:17.492@LM_INFO@(6658|6659)    total_count=1 total_count_change=1
2023-04-26 16:17:19.061@LM_INFO@(6658|6659) DataWriterListenerImpl::on_liveliness_lost 0x9a65e0 1
2023-04-26 16:17:19.061@LM_INFO@(6658|6659)    total_count=1 total_count_change=1
2023-04-26 16:17:19.292@LM_INFO@(6658|6659) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 39 (01030000.39fc786e.00000002.00000102(a51dd5d4))
2023-04-26 16:17:19.293@LM_INFO@(6658|6659) instance is unregistered
2023-04-26 16:17:19.293@LM_INFO@(6658|6659) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 45 (01030000.39fc786e.00000002.00000202(8e308617))
2023-04-26 16:17:19.302@LM_INFO@(6658|6659) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 48 (01030000.39fc786e.00000002.00000302(972bb756))
2023-04-26 16:17:20.871@LM_INFO@(6658|6659) DataReaderListenerImpl::on_liveliness_changed #8
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 42 (01030000.39fc786e.00000003.00000102(987dfc64))
2023-04-26 16:17:44.291@LM_DEBUG@(6658|6674) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:17:44.291@LM_DEBUG@(6658|6672) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:17:44.292@LM_DEBUG@(6658|6671) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:17:44.292@LM_DEBUG@(6658|6673) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:17:44.292@LM_INFO@(6658|6670) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 39 (01030000.39fc786e.00000002.00000102(a51dd5d4))
2023-04-26 16:17:44.293@LM_INFO@(6658|6670) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-26 16:17:44.293@LM_INFO@(6658|6670) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 45 (01030000.39fc786e.00000002.00000202(8e308617))
2023-04-26 16:17:44.293@LM_INFO@(6658|6670) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-26 16:17:44.302@LM_INFO@(6658|6670) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 3
  not_alive_count = 1
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 48 (01030000.39fc786e.00000002.00000302(972bb756))
2023-04-26 16:17:44.672@LM_INFO@(6658|6670) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 42 (01030000.39fc786e.00000003.00000102(987dfc64))
2023-04-26 16:17:47.493@LM_INFO@(6658|6659) DataWriterListenerImpl::on_liveliness_lost 0x9caf90 2
2023-04-26 16:17:47.493@LM_INFO@(6658|6659)    total_count=2 total_count_change=1
2023-04-26 16:17:47.493@LM_INFO@(6658|6659) DataWriterListenerImpl::on_liveliness_lost 0x98abb0 2
2023-04-26 16:17:47.493@LM_INFO@(6658|6659)    total_count=2 total_count_change=1
2023-04-26 16:17:47.493@LM_INFO@(6658|6659) DataWriterListenerImpl::on_liveliness_lost 0x9bfba0 2
2023-04-26 16:17:47.493@LM_INFO@(6658|6659)    total_count=2 total_count_change=1
2023-04-26 16:17:47.861@LM_INFO@(6658|6659) DataWriterListenerImpl::on_liveliness_lost 0x9a65e0 2
2023-04-26 16:17:47.861@LM_INFO@(6658|6659)    total_count=2 total_count_change=1
2023-04-26 16:17:49.293@LM_INFO@(6658|6659) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 39 (01030000.39fc786e.00000002.00000102(a51dd5d4))
2023-04-26 16:17:49.294@LM_INFO@(6658|6659) instance is unregistered
2023-04-26 16:17:49.294@LM_INFO@(6658|6659) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 45 (01030000.39fc786e.00000002.00000202(8e308617))
2023-04-26 16:17:49.302@LM_INFO@(6658|6659) DataReaderListenerImpl::on_liveliness_changed #15
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 48 (01030000.39fc786e.00000002.00000302(972bb756))
2023-04-26 16:17:49.672@LM_INFO@(6658|6659) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-26 16:17:49.672@LM_INFO@(6658|6659) DataReaderListenerImpl::on_liveliness_changed #16
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 42 (01030000.39fc786e.00000003.00000102(987dfc64))
2023-04-26 16:17:54.291@LM_DEBUG@(6658|6674) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-26 16:17:54.292@LM_DEBUG@(6658|6672) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-26 16:17:54.293@LM_DEBUG@(6658|6671) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-26 16:17:54.293@LM_DEBUG@(6658|6658) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-26 16:17:54.293@LM_DEBUG@(6658|6658) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-26 16:17:54.293@LM_DEBUG@(6658|6673) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-26 16:17:54.293@LM_DEBUG@(6658|6658) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-26 16:17:54.293@LM_DEBUG@(6658|6658) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-26 16:17:54.293@LM_DEBUG@(6658|6658) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-26 16:17:54.293@LM_DEBUG@(6658|6658) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-26 16:17:54.293@LM_DEBUG@(6658|6658) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-26 16:17:54.293@LM_INFO@(6658|6658) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-26 16:17:54.293@LM_INFO@(6658|6658) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-26 16:17:54.293@LM_INFO@subscriber got 4 of 4 messages, and 16 of 16 callbacks
2023-04-26 16:17:54.294@LM_INFO@(6658|6670) DataReaderListenerImpl::on_liveliness_changed #17
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 39 (01030000.39fc786e.00000002.00000102(a51dd5d4))
2023-04-26 16:17:54.294@LM_INFO@(6658|6670) DataReaderListenerImpl::on_liveliness_changed #18
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 45 (01030000.39fc786e.00000002.00000202(8e308617))
2023-04-26 16:17:55.317@LM_INFO@(6658|6660) DataReaderListenerImpl::on_liveliness_changed #19
  alive_count = 1
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 39 (01030000.39fc786e.00000002.00000102(a51dd5d4))
2023-04-26 16:17:55.319@LM_INFO@(6658|6660) DataReaderListenerImpl::on_liveliness_changed #20
  alive_count = 0
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.39fc786e.00000002.00000202(8e308617))
2023-04-26 16:17:55.321@LM_INFO@(6658|6660) DataReaderListenerImpl::on_liveliness_changed #21
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 48 (01030000.39fc786e.00000002.00000302(972bb756))
2023-04-26 16:17:55.329@LM_INFO@(6658|6660) DataReaderListenerImpl::on_liveliness_changed #22
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 42 (01030000.39fc786e.00000003.00000102(987dfc64))
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl rtps lost Time:42s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_rtps_tcp.ini  -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 6687 started at 2023-04-26 16:17:55
2023-04-26 16:17:55.595@LM_NOTICE@(6687|6687) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
2023-04-26 16:17:55.640@LM_WARNING@(6687|6687) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-26 16:17:55.960@LM_DEBUG@(6687|6687) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-26 16:17:55.960@LM_DEBUG@(6687|6687) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 0103000c.297a35f2.1a1f8f0a.00000002(516e5e7c)
2023-04-26 16:17:55.961@LM_DEBUG@(6687|6687) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-26 16:17:55.961@LM_DEBUG@(6687|6687) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 0103000c.297a35f2.1a1f8f0b.00000002(6c0e77cc)
2023-04-26 16:17:55.961@LM_DEBUG@(6687|6701) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-26 16:17:55.961@LM_DEBUG@(6687|6687) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-26 16:17:55.961@LM_DEBUG@(6687|6687) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 0103000c.297a35f2.1a1f8f0a.01000002(e9d23919)
2023-04-26 16:17:55.961@LM_DEBUG@(6687|6687) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-26 16:17:55.961@LM_DEBUG@(6687|6687) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 0103000c.297a35f2.1a1f8f0a.02000002(fb6796f7)
2023-04-26 16:17:55.961@LM_DEBUG@(6687|6687) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-26 16:17:55.961@LM_DEBUG@(6687|6704) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-26 16:17:55.961@LM_DEBUG@(6687|6704) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:17:55.961@LM_DEBUG@(6687|6702) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-26 16:17:55.961@LM_DEBUG@(6687|6702) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:17:55.961@LM_INFO@(6687|6700) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 23 (0103000c.297a35f2.1a1f8f0a.00000002(516e5e7c))
2023-04-26 16:17:55.961@LM_INFO@(6687|6700) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 27 (0103000c.297a35f2.1a1f8f0a.02000002(fb6796f7))
2023-04-26 16:17:55.962@LM_DEBUG@(6687|6701) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:17:55.962@LM_INFO@(6687|6700) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-26 16:17:55.962@LM_DEBUG@(6687|6703) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-26 16:17:55.963@LM_INFO@(6687|6700) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 24 (0103000c.297a35f2.1a1f8f0a.01000002(e9d23919))
2023-04-26 16:17:55.963@LM_DEBUG@(6687|6703) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:17:55.963@LM_INFO@(6687|6700) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-26 16:17:57.247@LM_INFO@(6687|6694) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 20 (0103000c.297a35f2.1a1f8f0b.00000002(6c0e77cc))
2023-04-26 16:17:57.961@LM_DEBUG@(6687|6704) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:17:57.962@LM_DEBUG@(6687|6702) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:17:57.962@LM_DEBUG@(6687|6701) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:17:57.962@LM_INFO@(6687|6700) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-26 16:17:57.963@LM_DEBUG@(6687|6703) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:17:57.964@LM_INFO@(6687|6700) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-26 16:17:59.962@LM_DEBUG@(6687|6704) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:17:59.962@LM_DEBUG@(6687|6702) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:17:59.962@LM_DEBUG@(6687|6701) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:17:59.963@LM_INFO@(6687|6700) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-26 16:17:59.964@LM_DEBUG@(6687|6703) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:17:59.964@LM_INFO@(6687|6700) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-26 16:18:01.962@LM_DEBUG@(6687|6704) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:18:01.962@LM_DEBUG@(6687|6702) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:18:01.963@LM_DEBUG@(6687|6701) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:18:01.963@LM_INFO@(6687|6700) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-26 16:18:01.964@LM_DEBUG@(6687|6703) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:18:01.964@LM_INFO@(6687|6700) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-26 16:18:03.963@LM_DEBUG@(6687|6704) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:18:03.963@LM_DEBUG@(6687|6702) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:18:03.963@LM_DEBUG@(6687|6701) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:18:03.963@LM_INFO@(6687|6700) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-26 16:18:03.964@LM_DEBUG@(6687|6703) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:18:03.964@LM_INFO@(6687|6700) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-26 16:18:05.963@LM_DEBUG@(6687|6704) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:18:05.963@LM_DEBUG@(6687|6702) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:18:05.964@LM_DEBUG@(6687|6701) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:18:05.964@LM_INFO@(6687|6700) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-26 16:18:05.964@LM_DEBUG@(6687|6703) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:18:05.965@LM_INFO@(6687|6700) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-26 16:18:07.964@LM_DEBUG@(6687|6704) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:18:07.964@LM_DEBUG@(6687|6701) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:18:07.964@LM_DEBUG@(6687|6702) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:18:07.965@LM_DEBUG@(6687|6703) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:18:07.965@LM_INFO@(6687|6700) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-26 16:18:07.965@LM_INFO@(6687|6700) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-26 16:18:09.964@LM_DEBUG@(6687|6704) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:18:09.964@LM_DEBUG@(6687|6701) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:18:09.965@LM_DEBUG@(6687|6702) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:18:09.965@LM_DEBUG@(6687|6703) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:18:09.965@LM_INFO@(6687|6700) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-26 16:18:09.966@LM_INFO@(6687|6700) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-26 16:18:11.965@LM_DEBUG@(6687|6704) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:18:11.965@LM_DEBUG@(6687|6701) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:18:11.965@LM_DEBUG@(6687|6702) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:18:11.965@LM_DEBUG@(6687|6703) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:18:11.966@LM_INFO@(6687|6700) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-26 16:18:11.966@LM_INFO@(6687|6700) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-26 16:18:13.965@LM_DEBUG@(6687|6704) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:18:13.966@LM_DEBUG@(6687|6701) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:18:13.966@LM_DEBUG@(6687|6702) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:18:13.966@LM_DEBUG@(6687|6703) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:18:13.966@LM_INFO@(6687|6700) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-26 16:18:13.967@LM_INFO@(6687|6700) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-26 16:18:15.966@LM_DEBUG@(6687|6704) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-26 16:18:15.966@LM_DEBUG@(6687|6702) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-26 16:18:15.967@LM_DEBUG@(6687|6703) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-26 16:18:15.967@LM_DEBUG@(6687|6701) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-26 16:18:15.968@LM_DEBUG@(6687|6687) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-26 16:18:15.968@LM_DEBUG@(6687|6687) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-26 16:18:15.968@LM_DEBUG@(6687|6687) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-26 16:18:15.968@LM_DEBUG@(6687|6687) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-26 16:18:15.968@LM_DEBUG@(6687|6687) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-26 16:18:15.968@LM_DEBUG@(6687|6687) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-26 16:18:15.968@LM_DEBUG@(6687|6687) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-26 16:18:15.968@LM_INFO@(6687|6687) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-26 16:18:17.166@LM_INFO@(6687|6688) DataWriterListenerImpl::on_liveliness_lost 0x117f020 1
2023-04-26 16:18:17.167@LM_INFO@(6687|6688)    total_count=1 total_count_change=1
2023-04-26 16:18:17.167@LM_INFO@(6687|6688) DataWriterListenerImpl::on_liveliness_lost 0x10d5e70 1
2023-04-26 16:18:17.167@LM_INFO@(6687|6688)    total_count=1 total_count_change=1
2023-04-26 16:18:17.167@LM_INFO@(6687|6688) DataWriterListenerImpl::on_liveliness_lost 0x1173d40 1
2023-04-26 16:18:17.167@LM_INFO@(6687|6688)    total_count=1 total_count_change=1
2023-04-26 16:18:18.047@LM_INFO@(6687|6688) DataWriterListenerImpl::on_liveliness_lost 0x112def0 1
2023-04-26 16:18:18.047@LM_INFO@(6687|6688)    total_count=1 total_count_change=1
2023-04-26 16:18:19.845@LM_INFO@(6687|6688) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 23 (0103000c.297a35f2.1a1f8f0a.00000002(516e5e7c))
2023-04-26 16:18:19.846@LM_INFO@(6687|6688) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 24 (0103000c.297a35f2.1a1f8f0a.01000002(e9d23919))
2023-04-26 16:18:19.846@LM_INFO@(6687|6688) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 27 (0103000c.297a35f2.1a1f8f0a.02000002(fb6796f7))
2023-04-26 16:18:19.854@LM_INFO@(6687|6688) instance is unregistered
2023-04-26 16:18:19.855@LM_INFO@(6687|6688) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-26 16:18:19.855@LM_INFO@(6687|6688) DataReaderListenerImpl::on_liveliness_changed #8
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 20 (0103000c.297a35f2.1a1f8f0b.00000002(6c0e77cc))
2023-04-26 16:18:19.855@LM_INFO@(6687|6687) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-26 16:18:19.855@LM_INFO@subscriber got 20 of 20 messages, and 8 of 8 callbacks
2023-04-26 16:18:19.856@LM_INFO@(6687|6700) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 23 (0103000c.297a35f2.1a1f8f0a.00000002(516e5e7c))
2023-04-26 16:18:19.856@LM_INFO@(6687|6700) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 24 (0103000c.297a35f2.1a1f8f0a.01000002(e9d23919))
2023-04-26 16:18:19.859@LM_INFO@(6687|6693) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 1
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 23 (0103000c.297a35f2.1a1f8f0a.00000002(516e5e7c))
2023-04-26 16:18:19.859@LM_INFO@(6687|6693) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 0
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 24 (0103000c.297a35f2.1a1f8f0a.01000002(e9d23919))
2023-04-26 16:18:19.860@LM_INFO@(6687|6693) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 27 (0103000c.297a35f2.1a1f8f0a.02000002(fb6796f7))
2023-04-26 16:18:19.871@LM_INFO@(6687|6693) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 20 (0103000c.297a35f2.1a1f8f0b.00000002(6c0e77cc))
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco Time:24s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco lost #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_rtps_tcp.ini -l -n 4 -t 10 -c 8 -N 4 -C 16 -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 6707 started at 2023-04-26 16:18:19
2023-04-26 16:18:19.990@LM_NOTICE@(6707|6707) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
2023-04-26 16:18:20.027@LM_WARNING@(6707|6707) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-26 16:18:20.353@LM_DEBUG@(6707|6707) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-26 16:18:20.354@LM_DEBUG@(6707|6707) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 0103000c.297a35f2.1a33b0a5.00000002(5e28b0ab)
2023-04-26 16:18:20.354@LM_DEBUG@(6707|6707) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-26 16:18:20.354@LM_DEBUG@(6707|6707) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 0103000c.297a35f2.1a33b0a6.00000002(1988ca7b)
2023-04-26 16:18:20.354@LM_DEBUG@(6707|6721) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-26 16:18:20.354@LM_DEBUG@(6707|6707) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-26 16:18:20.354@LM_DEBUG@(6707|6707) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 0103000c.297a35f2.1a33b0a5.01000002(e694d7ce)
2023-04-26 16:18:20.354@LM_DEBUG@(6707|6707) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-26 16:18:20.354@LM_DEBUG@(6707|6707) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 0103000c.297a35f2.1a33b0a5.02000002(f4217820)
2023-04-26 16:18:20.354@LM_DEBUG@(6707|6707) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-26 16:18:20.354@LM_DEBUG@(6707|6721) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:18:20.354@LM_INFO@(6707|6720) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 24 (0103000c.297a35f2.1a33b0a5.00000002(5e28b0ab))
2023-04-26 16:18:20.354@LM_DEBUG@(6707|6722) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-26 16:18:20.354@LM_DEBUG@(6707|6722) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:18:20.355@LM_DEBUG@(6707|6724) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-26 16:18:20.355@LM_DEBUG@(6707|6724) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:18:20.355@LM_DEBUG@(6707|6723) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-26 16:18:20.355@LM_DEBUG@(6707|6723) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:18:20.355@LM_INFO@(6707|6720) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-26 16:18:20.355@LM_INFO@(6707|6720) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 28 (0103000c.297a35f2.1a33b0a5.02000002(f4217820))
2023-04-26 16:18:20.355@LM_INFO@(6707|6720) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 27 (0103000c.297a35f2.1a33b0a5.01000002(e694d7ce))
2023-04-26 16:18:20.356@LM_INFO@(6707|6720) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-26 16:18:21.632@LM_INFO@(6707|6714) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 20 (0103000c.297a35f2.1a33b0a6.00000002(1988ca7b))
2023-04-26 16:18:23.555@LM_INFO@(6707|6708) DataWriterListenerImpl::on_liveliness_lost 0x14aae50 1
2023-04-26 16:18:23.555@LM_INFO@(6707|6708)    total_count=1 total_count_change=1
2023-04-26 16:18:23.555@LM_INFO@(6707|6708) DataWriterListenerImpl::on_liveliness_lost 0x1553b90 1
2023-04-26 16:18:23.555@LM_INFO@(6707|6708)    total_count=1 total_count_change=1
2023-04-26 16:18:23.555@LM_INFO@(6707|6708) DataWriterListenerImpl::on_liveliness_lost 0x15488b0 1
2023-04-26 16:18:23.555@LM_INFO@(6707|6708)    total_count=1 total_count_change=1
2023-04-26 16:18:24.832@LM_INFO@(6707|6708) DataWriterListenerImpl::on_liveliness_lost 0x1502a60 1
2023-04-26 16:18:24.832@LM_INFO@(6707|6708)    total_count=1 total_count_change=1
2023-04-26 16:18:26.620@LM_INFO@(6707|6708) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 24 (0103000c.297a35f2.1a33b0a5.00000002(5e28b0ab))
2023-04-26 16:18:26.621@LM_INFO@(6707|6708) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 27 (0103000c.297a35f2.1a33b0a5.01000002(e694d7ce))
2023-04-26 16:18:26.621@LM_INFO@(6707|6708) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 28 (0103000c.297a35f2.1a33b0a5.02000002(f4217820))
2023-04-26 16:18:26.633@LM_INFO@(6707|6708) instance is unregistered
2023-04-26 16:18:26.633@LM_INFO@(6707|6708) DataReaderListenerImpl::on_liveliness_changed #8
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 20 (0103000c.297a35f2.1a33b0a6.00000002(1988ca7b))
2023-04-26 16:18:50.355@LM_DEBUG@(6707|6721) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:18:50.355@LM_DEBUG@(6707|6722) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:18:50.355@LM_DEBUG@(6707|6724) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:18:50.355@LM_INFO@(6707|6720) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 24 (0103000c.297a35f2.1a33b0a5.00000002(5e28b0ab))
2023-04-26 16:18:50.355@LM_DEBUG@(6707|6723) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:18:50.356@LM_INFO@(6707|6720) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-26 16:18:50.356@LM_INFO@(6707|6720) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 28 (0103000c.297a35f2.1a33b0a5.02000002(f4217820))
2023-04-26 16:18:50.356@LM_INFO@(6707|6720) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 3
  not_alive_count = 1
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 27 (0103000c.297a35f2.1a33b0a5.01000002(e694d7ce))
2023-04-26 16:18:50.356@LM_INFO@(6707|6720) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-26 16:18:50.435@LM_INFO@(6707|6714) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 20 (0103000c.297a35f2.1a33b0a6.00000002(1988ca7b))
2023-04-26 16:18:53.557@LM_INFO@(6707|6708) DataWriterListenerImpl::on_liveliness_lost 0x14aae50 2
2023-04-26 16:18:53.557@LM_INFO@(6707|6708)    total_count=2 total_count_change=1
2023-04-26 16:18:53.557@LM_INFO@(6707|6708) DataWriterListenerImpl::on_liveliness_lost 0x1553b90 2
2023-04-26 16:18:53.557@LM_INFO@(6707|6708)    total_count=2 total_count_change=1
2023-04-26 16:18:53.557@LM_INFO@(6707|6708) DataWriterListenerImpl::on_liveliness_lost 0x15488b0 2
2023-04-26 16:18:53.557@LM_INFO@(6707|6708)    total_count=2 total_count_change=1
2023-04-26 16:18:53.632@LM_INFO@(6707|6708) DataWriterListenerImpl::on_liveliness_lost 0x1502a60 2
2023-04-26 16:18:53.632@LM_INFO@(6707|6708)    total_count=2 total_count_change=1
2023-04-26 16:18:55.436@LM_INFO@(6707|6708) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 24 (0103000c.297a35f2.1a33b0a5.00000002(5e28b0ab))
2023-04-26 16:18:55.436@LM_INFO@(6707|6708) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 27 (0103000c.297a35f2.1a33b0a5.01000002(e694d7ce))
2023-04-26 16:18:55.436@LM_INFO@(6707|6708) DataReaderListenerImpl::on_liveliness_changed #15
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 28 (0103000c.297a35f2.1a33b0a5.02000002(f4217820))
2023-04-26 16:18:55.436@LM_INFO@(6707|6708) instance is unregistered
2023-04-26 16:18:55.436@LM_INFO@(6707|6708) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-26 16:18:55.436@LM_INFO@(6707|6708) DataReaderListenerImpl::on_liveliness_changed #16
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 20 (0103000c.297a35f2.1a33b0a6.00000002(1988ca7b))
2023-04-26 16:19:00.356@LM_DEBUG@(6707|6722) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-26 16:19:00.356@LM_DEBUG@(6707|6724) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-26 16:19:00.356@LM_DEBUG@(6707|6721) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-26 16:19:00.356@LM_DEBUG@(6707|6707) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-26 16:19:00.356@LM_DEBUG@(6707|6707) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-26 16:19:00.356@LM_DEBUG@(6707|6707) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-26 16:19:00.356@LM_DEBUG@(6707|6707) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-26 16:19:00.356@LM_DEBUG@(6707|6723) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-26 16:19:00.356@LM_DEBUG@(6707|6707) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-26 16:19:00.356@LM_DEBUG@(6707|6707) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-26 16:19:00.356@LM_DEBUG@(6707|6707) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-26 16:19:00.356@LM_INFO@(6707|6707) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-26 16:19:00.356@LM_INFO@(6707|6707) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-26 16:19:00.356@LM_INFO@subscriber got 4 of 4 messages, and 16 of 16 callbacks
2023-04-26 16:19:00.357@LM_INFO@(6707|6720) DataReaderListenerImpl::on_liveliness_changed #17
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 24 (0103000c.297a35f2.1a33b0a5.00000002(5e28b0ab))
2023-04-26 16:19:00.357@LM_INFO@(6707|6720) DataReaderListenerImpl::on_liveliness_changed #18
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 27 (0103000c.297a35f2.1a33b0a5.01000002(e694d7ce))
2023-04-26 16:19:00.359@LM_INFO@(6707|6713) DataReaderListenerImpl::on_liveliness_changed #19
  alive_count = 1
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 24 (0103000c.297a35f2.1a33b0a5.00000002(5e28b0ab))
2023-04-26 16:19:00.359@LM_INFO@(6707|6713) DataReaderListenerImpl::on_liveliness_changed #20
  alive_count = 0
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 27 (0103000c.297a35f2.1a33b0a5.01000002(e694d7ce))
2023-04-26 16:19:00.361@LM_INFO@(6707|6713) DataReaderListenerImpl::on_liveliness_changed #21
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 28 (0103000c.297a35f2.1a33b0a5.02000002(f4217820))
2023-04-26 16:19:00.367@LM_INFO@(6707|6713) DataReaderListenerImpl::on_liveliness_changed #22
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 20 (0103000c.297a35f2.1a33b0a6.00000002(1988ca7b))
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco lost Time:41s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_rtps_rtps.ini  -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 6735 started at 2023-04-26 16:19:00
2023-04-26 16:19:00.606@LM_NOTICE@(6735|6735) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
2023-04-26 16:19:01.094@LM_DEBUG@(6735|6735) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-26 16:19:01.095@LM_DEBUG@(6735|6735) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 0103000c.297a35f2.1a4f6c8e.00000002(a60dc8b5)
2023-04-26 16:19:01.095@LM_DEBUG@(6735|6735) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-26 16:19:01.095@LM_DEBUG@(6735|6749) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-26 16:19:01.095@LM_DEBUG@(6735|6735) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 0103000c.297a35f2.1a4f6c8f.00000002(9b6de105)
2023-04-26 16:19:01.095@LM_DEBUG@(6735|6735) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-26 16:19:01.095@LM_DEBUG@(6735|6735) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 0103000c.297a35f2.1a4f6c8e.01000002(1eb1afd0)
2023-04-26 16:19:01.095@LM_DEBUG@(6735|6735) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-26 16:19:01.095@LM_DEBUG@(6735|6735) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 0103000c.297a35f2.1a4f6c8e.02000002(0c04003e)
2023-04-26 16:19:01.095@LM_DEBUG@(6735|6735) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-26 16:19:01.095@LM_DEBUG@(6735|6751) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-26 16:19:01.095@LM_DEBUG@(6735|6749) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:19:01.096@LM_DEBUG@(6735|6751) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:19:01.096@LM_INFO@(6735|6748) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 23 (0103000c.297a35f2.1a4f6c8e.00000002(a60dc8b5))
2023-04-26 16:19:01.096@LM_DEBUG@(6735|6752) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-26 16:19:01.096@LM_DEBUG@(6735|6752) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:19:01.096@LM_INFO@(6735|6748) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 26 (0103000c.297a35f2.1a4f6c8e.01000002(1eb1afd0))
2023-04-26 16:19:01.097@LM_INFO@(6735|6748) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-26 16:19:01.097@LM_DEBUG@(6735|6750) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-26 16:19:01.097@LM_DEBUG@(6735|6750) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:19:01.097@LM_INFO@(6735|6748) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-26 16:19:01.107@LM_INFO@(6735|6748) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 28 (0103000c.297a35f2.1a4f6c8e.02000002(0c04003e))
2023-04-26 16:19:02.247@LM_INFO@(6735|6742) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 22 (0103000c.297a35f2.1a4f6c8f.00000002(9b6de105))
2023-04-26 16:19:03.096@LM_DEBUG@(6735|6751) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:19:03.097@LM_DEBUG@(6735|6752) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:19:03.097@LM_DEBUG@(6735|6749) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:19:03.098@LM_INFO@(6735|6748) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-26 16:19:03.098@LM_INFO@(6735|6748) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-26 16:19:03.098@LM_DEBUG@(6735|6750) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:19:05.097@LM_DEBUG@(6735|6751) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:19:05.098@LM_DEBUG@(6735|6752) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:19:05.098@LM_DEBUG@(6735|6749) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:19:05.098@LM_INFO@(6735|6748) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-26 16:19:05.099@LM_INFO@(6735|6748) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-26 16:19:05.099@LM_DEBUG@(6735|6750) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:19:07.098@LM_DEBUG@(6735|6751) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:19:07.098@LM_DEBUG@(6735|6752) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:19:07.098@LM_DEBUG@(6735|6749) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:19:07.099@LM_INFO@(6735|6748) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-26 16:19:07.099@LM_INFO@(6735|6748) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-26 16:19:07.099@LM_DEBUG@(6735|6750) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:19:09.098@LM_DEBUG@(6735|6752) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:19:09.099@LM_DEBUG@(6735|6749) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:19:09.099@LM_DEBUG@(6735|6751) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:19:09.100@LM_INFO@(6735|6748) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-26 16:19:09.100@LM_INFO@(6735|6748) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-26 16:19:09.100@LM_DEBUG@(6735|6750) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:19:11.099@LM_DEBUG@(6735|6752) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:19:11.099@LM_DEBUG@(6735|6749) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:19:11.100@LM_DEBUG@(6735|6751) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:19:11.100@LM_DEBUG@(6735|6750) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:19:11.100@LM_INFO@(6735|6748) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-26 16:19:11.101@LM_INFO@(6735|6748) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-26 16:19:13.099@LM_DEBUG@(6735|6752) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:19:13.100@LM_DEBUG@(6735|6749) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:19:13.100@LM_DEBUG@(6735|6751) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:19:13.100@LM_DEBUG@(6735|6750) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:19:13.101@LM_INFO@(6735|6748) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-26 16:19:13.101@LM_INFO@(6735|6748) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-26 16:19:15.100@LM_DEBUG@(6735|6752) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:19:15.100@LM_DEBUG@(6735|6749) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:19:15.101@LM_DEBUG@(6735|6751) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:19:15.101@LM_DEBUG@(6735|6750) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:19:15.101@LM_INFO@(6735|6748) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-26 16:19:15.102@LM_INFO@(6735|6748) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-26 16:19:17.100@LM_DEBUG@(6735|6752) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:19:17.101@LM_DEBUG@(6735|6749) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:19:17.101@LM_DEBUG@(6735|6751) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:19:17.101@LM_DEBUG@(6735|6750) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:19:17.102@LM_INFO@(6735|6748) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-26 16:19:17.102@LM_INFO@(6735|6748) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-26 16:19:19.101@LM_DEBUG@(6735|6752) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:19:19.101@LM_DEBUG@(6735|6749) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:19:19.102@LM_DEBUG@(6735|6751) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:19:19.102@LM_DEBUG@(6735|6750) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:19:19.102@LM_INFO@(6735|6748) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-26 16:19:19.103@LM_INFO@(6735|6748) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-26 16:19:21.101@LM_DEBUG@(6735|6752) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-26 16:19:21.102@LM_DEBUG@(6735|6749) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-26 16:19:21.102@LM_DEBUG@(6735|6751) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-26 16:19:21.102@LM_DEBUG@(6735|6750) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-26 16:19:21.102@LM_DEBUG@(6735|6735) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-26 16:19:21.102@LM_DEBUG@(6735|6735) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-26 16:19:21.102@LM_DEBUG@(6735|6735) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-26 16:19:21.102@LM_DEBUG@(6735|6735) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-26 16:19:21.102@LM_DEBUG@(6735|6735) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-26 16:19:21.102@LM_DEBUG@(6735|6735) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-26 16:19:21.102@LM_DEBUG@(6735|6735) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-26 16:19:21.102@LM_INFO@(6735|6735) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-26 16:19:22.302@LM_INFO@(6735|6736) DataWriterListenerImpl::on_liveliness_lost 0x147d4a0 1
2023-04-26 16:19:22.302@LM_INFO@(6735|6736)    total_count=1 total_count_change=1
2023-04-26 16:19:22.302@LM_INFO@(6735|6736) DataWriterListenerImpl::on_liveliness_lost 0x143af00 1
2023-04-26 16:19:22.302@LM_INFO@(6735|6736)    total_count=1 total_count_change=1
2023-04-26 16:19:22.302@LM_INFO@(6735|6736) DataWriterListenerImpl::on_liveliness_lost 0x1472100 1
2023-04-26 16:19:22.302@LM_INFO@(6735|6736)    total_count=1 total_count_change=1
2023-04-26 16:19:23.050@LM_INFO@(6735|6736) DataWriterListenerImpl::on_liveliness_lost 0x1458210 1
2023-04-26 16:19:23.050@LM_INFO@(6735|6736)    total_count=1 total_count_change=1
2023-04-26 16:19:24.851@LM_INFO@(6735|6736) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 23 (0103000c.297a35f2.1a4f6c8e.00000002(a60dc8b5))
2023-04-26 16:19:24.852@LM_INFO@(6735|6736) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 26 (0103000c.297a35f2.1a4f6c8e.01000002(1eb1afd0))
2023-04-26 16:19:24.852@LM_INFO@(6735|6736) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 28 (0103000c.297a35f2.1a4f6c8e.02000002(0c04003e))
2023-04-26 16:19:24.854@LM_INFO@(6735|6736) instance is unregistered
2023-04-26 16:19:24.855@LM_INFO@(6735|6736) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-26 16:19:24.855@LM_INFO@(6735|6736) DataReaderListenerImpl::on_liveliness_changed #8
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 22 (0103000c.297a35f2.1a4f6c8f.00000002(9b6de105))
2023-04-26 16:19:24.855@LM_INFO@(6735|6735) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-26 16:19:24.855@LM_INFO@subscriber got 20 of 20 messages, and 8 of 8 callbacks
2023-04-26 16:19:24.855@LM_INFO@(6735|6748) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 23 (0103000c.297a35f2.1a4f6c8e.00000002(a60dc8b5))
2023-04-26 16:19:24.856@LM_INFO@(6735|6748) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 26 (0103000c.297a35f2.1a4f6c8e.01000002(1eb1afd0))
2023-04-26 16:19:25.879@LM_INFO@(6735|6741) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 1
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 23 (0103000c.297a35f2.1a4f6c8e.00000002(a60dc8b5))
2023-04-26 16:19:25.879@LM_INFO@(6735|6741) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 0
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 26 (0103000c.297a35f2.1a4f6c8e.01000002(1eb1afd0))
2023-04-26 16:19:25.879@LM_INFO@(6735|6741) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 28 (0103000c.297a35f2.1a4f6c8e.02000002(0c04003e))
2023-04-26 16:19:25.885@LM_INFO@(6735|6741) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 22 (0103000c.297a35f2.1a4f6c8f.00000002(9b6de105))
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco rtps Time:25s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco rtps lost #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_rtps_rtps.ini -l -n 4 -t 10 -c 8 -N 4 -C 16 -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 6763 started at 2023-04-26 16:19:25
2023-04-26 16:19:26.020@LM_NOTICE@(6763|6763) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
2023-04-26 16:19:26.389@LM_DEBUG@(6763|6763) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-26 16:19:26.389@LM_DEBUG@(6763|6763) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 0103000c.297a35f2.1a6b7e65.00000002(8ce58064)
2023-04-26 16:19:26.390@LM_DEBUG@(6763|6763) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-26 16:19:26.390@LM_DEBUG@(6763|6763) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 0103000c.297a35f2.1a6b7e66.00000002(cb45fab4)
2023-04-26 16:19:26.390@LM_DEBUG@(6763|6777) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-26 16:19:26.390@LM_DEBUG@(6763|6763) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-26 16:19:26.390@LM_DEBUG@(6763|6763) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 0103000c.297a35f2.1a6b7e65.01000002(3459e701)
2023-04-26 16:19:26.390@LM_DEBUG@(6763|6763) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-26 16:19:26.390@LM_DEBUG@(6763|6763) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 0103000c.297a35f2.1a6b7e65.02000002(26ec48ef)
2023-04-26 16:19:26.390@LM_DEBUG@(6763|6763) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-26 16:19:26.390@LM_DEBUG@(6763|6780) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-26 16:19:26.390@LM_DEBUG@(6763|6780) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:19:26.390@LM_DEBUG@(6763|6779) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-26 16:19:26.390@LM_DEBUG@(6763|6778) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-26 16:19:26.390@LM_DEBUG@(6763|6778) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:19:26.390@LM_INFO@(6763|6776) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 24 (0103000c.297a35f2.1a6b7e65.00000002(8ce58064))
2023-04-26 16:19:26.391@LM_DEBUG@(6763|6777) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:19:26.391@LM_DEBUG@(6763|6779) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:19:26.391@LM_INFO@(6763|6776) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 25 (0103000c.297a35f2.1a6b7e65.01000002(3459e701))
2023-04-26 16:19:26.392@LM_INFO@(6763|6776) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-26 16:19:26.392@LM_INFO@(6763|6776) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-26 16:19:26.401@LM_INFO@(6763|6776) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 28 (0103000c.297a35f2.1a6b7e65.02000002(26ec48ef))
2023-04-26 16:19:27.655@LM_INFO@(6763|6770) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 22 (0103000c.297a35f2.1a6b7e66.00000002(cb45fab4))
2023-04-26 16:19:29.591@LM_INFO@(6763|6764) DataWriterListenerImpl::on_liveliness_lost 0x2245810 1
2023-04-26 16:19:29.591@LM_INFO@(6763|6764)    total_count=1 total_count_change=1
2023-04-26 16:19:29.591@LM_INFO@(6763|6764) DataWriterListenerImpl::on_liveliness_lost 0x2202ea0 1
2023-04-26 16:19:29.591@LM_INFO@(6763|6764)    total_count=1 total_count_change=1
2023-04-26 16:19:29.591@LM_INFO@(6763|6764) DataWriterListenerImpl::on_liveliness_lost 0x223a4b0 1
2023-04-26 16:19:29.591@LM_INFO@(6763|6764)    total_count=1 total_count_change=1
2023-04-26 16:19:30.855@LM_INFO@(6763|6764) DataWriterListenerImpl::on_liveliness_lost 0x22205a0 1
2023-04-26 16:19:30.855@LM_INFO@(6763|6764)    total_count=1 total_count_change=1
2023-04-26 16:19:32.653@LM_INFO@(6763|6764) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 24 (0103000c.297a35f2.1a6b7e65.00000002(8ce58064))
2023-04-26 16:19:32.653@LM_INFO@(6763|6764) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 25 (0103000c.297a35f2.1a6b7e65.01000002(3459e701))
2023-04-26 16:19:32.653@LM_INFO@(6763|6764) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 28 (0103000c.297a35f2.1a6b7e65.02000002(26ec48ef))
2023-04-26 16:19:32.656@LM_INFO@(6763|6764) instance is unregistered
2023-04-26 16:19:32.656@LM_INFO@(6763|6764) DataReaderListenerImpl::on_liveliness_changed #8
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 22 (0103000c.297a35f2.1a6b7e66.00000002(cb45fab4))
2023-04-26 16:19:56.391@LM_DEBUG@(6763|6778) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-26 16:19:56.391@LM_DEBUG@(6763|6780) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-26 16:19:56.392@LM_DEBUG@(6763|6777) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-26 16:19:56.392@LM_INFO@(6763|6776) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 24 (0103000c.297a35f2.1a6b7e65.00000002(8ce58064))
2023-04-26 16:19:56.392@LM_DEBUG@(6763|6779) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-26 16:19:56.393@LM_INFO@(6763|6776) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-26 16:19:56.393@LM_INFO@(6763|6776) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 25 (0103000c.297a35f2.1a6b7e65.01000002(3459e701))
2023-04-26 16:19:56.393@LM_INFO@(6763|6776) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-26 16:19:56.402@LM_INFO@(6763|6776) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 3
  not_alive_count = 1
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 28 (0103000c.297a35f2.1a6b7e65.02000002(26ec48ef))
2023-04-26 16:19:56.460@LM_INFO@(6763|6770) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 22 (0103000c.297a35f2.1a6b7e66.00000002(cb45fab4))
2023-04-26 16:19:59.593@LM_INFO@(6763|6764) DataWriterListenerImpl::on_liveliness_lost 0x2245810 2
2023-04-26 16:19:59.593@LM_INFO@(6763|6764)    total_count=2 total_count_change=1
2023-04-26 16:19:59.593@LM_INFO@(6763|6764) DataWriterListenerImpl::on_liveliness_lost 0x2202ea0 2
2023-04-26 16:19:59.593@LM_INFO@(6763|6764)    total_count=2 total_count_change=1
2023-04-26 16:19:59.593@LM_INFO@(6763|6764) DataWriterListenerImpl::on_liveliness_lost 0x223a4b0 2
2023-04-26 16:19:59.593@LM_INFO@(6763|6764)    total_count=2 total_count_change=1
2023-04-26 16:19:59.654@LM_INFO@(6763|6764) DataWriterListenerImpl::on_liveliness_lost 0x22205a0 2
2023-04-26 16:19:59.654@LM_INFO@(6763|6764)    total_count=2 total_count_change=1
2023-04-26 16:20:01.460@LM_INFO@(6763|6764) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 24 (0103000c.297a35f2.1a6b7e65.00000002(8ce58064))
2023-04-26 16:20:01.460@LM_INFO@(6763|6764) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 25 (0103000c.297a35f2.1a6b7e65.01000002(3459e701))
2023-04-26 16:20:01.460@LM_INFO@(6763|6764) DataReaderListenerImpl::on_liveliness_changed #15
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 28 (0103000c.297a35f2.1a6b7e65.02000002(26ec48ef))
2023-04-26 16:20:01.460@LM_INFO@(6763|6764) instance is unregistered
2023-04-26 16:20:01.460@LM_INFO@(6763|6764) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-26 16:20:01.460@LM_INFO@(6763|6764) DataReaderListenerImpl::on_liveliness_changed #16
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 22 (0103000c.297a35f2.1a6b7e66.00000002(cb45fab4))
2023-04-26 16:20:06.391@LM_DEBUG@(6763|6778) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-26 16:20:06.391@LM_DEBUG@(6763|6780) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-26 16:20:06.392@LM_DEBUG@(6763|6777) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-26 16:20:06.393@LM_DEBUG@(6763|6763) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-26 16:20:06.393@LM_DEBUG@(6763|6763) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-26 16:20:06.393@LM_DEBUG@(6763|6763) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-26 16:20:06.393@LM_DEBUG@(6763|6763) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-26 16:20:06.393@LM_DEBUG@(6763|6779) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-26 16:20:06.393@LM_DEBUG@(6763|6763) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-26 16:20:06.393@LM_DEBUG@(6763|6763) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-26 16:20:06.393@LM_DEBUG@(6763|6763) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-26 16:20:06.393@LM_INFO@(6763|6763) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-26 16:20:06.393@LM_INFO@(6763|6763) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-26 16:20:06.393@LM_INFO@subscriber got 4 of 4 messages, and 16 of 16 callbacks
2023-04-26 16:20:06.394@LM_INFO@(6763|6776) DataReaderListenerImpl::on_liveliness_changed #17
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 24 (0103000c.297a35f2.1a6b7e65.00000002(8ce58064))
2023-04-26 16:20:06.394@LM_INFO@(6763|6776) DataReaderListenerImpl::on_liveliness_changed #18
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 25 (0103000c.297a35f2.1a6b7e65.01000002(3459e701))
2023-04-26 16:20:07.419@LM_INFO@(6763|6769) DataReaderListenerImpl::on_liveliness_changed #19
  alive_count = 1
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 24 (0103000c.297a35f2.1a6b7e65.00000002(8ce58064))
2023-04-26 16:20:07.419@LM_INFO@(6763|6769) DataReaderListenerImpl::on_liveliness_changed #20
  alive_count = 0
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 25 (0103000c.297a35f2.1a6b7e65.01000002(3459e701))
2023-04-26 16:20:07.419@LM_INFO@(6763|6769) DataReaderListenerImpl::on_liveliness_changed #21
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 28 (0103000c.297a35f2.1a6b7e65.02000002(26ec48ef))
2023-04-26 16:20:07.426@LM_INFO@(6763|6769) DataReaderListenerImpl::on_liveliness_changed #22
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 22 (0103000c.297a35f2.1a6b7e66.00000002(cb45fab4))
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco rtps lost Time:42s Result:0

==============================================================================

tests/DCPS/Serializer_wstring/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -NOBITS -DCPSPendingTimeout 3 
InfoRepo PID: 6795
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Serializer_wstring/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub.log -DCPSBit 0  -DCPSPendingTimeout 3 
pub PID: 6802 started at 2023-04-26 16:20:07
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Serializer_wstring/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub.log -DCPSBit 0  -DCPSPendingTimeout 3 
sub PID: 6803 started at 2023-04-26 16:20:07
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
Message: subject_id = 99
         count      = 0
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 1
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 2
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 3
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 4
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 5
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 6
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 7
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 8
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 9
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Serializer_wstring/run_test.pl Time:4s Result:0

==============================================================================

tests/DCPS/SharedTransport/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -o repo.ior 
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/test -DCPSPendingTimeout 3 -DCPSConfigFile tcp.ini 
(6822|6822) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(6815|6815) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(6822|6822) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
TestCase.cpp:49: INFO: TestCase::init_datawriter
TestCase.cpp:62: INFO: TestCase::init_datareader
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 0
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 1
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 2
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 3
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 4
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 5
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 6
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 7
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 8
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 9
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 10
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 11
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 12
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 13
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 14
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 15
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 16
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 17
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 18
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 19
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 20
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 21
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 22
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 23
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 24
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 25
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 26
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 27
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 28
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 29
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 30
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 31
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 32
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 33
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 34
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 35
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 36
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 37
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 38
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 39
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 40
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 41
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 42
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 43
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 44
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 45
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 46
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 47
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 48
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 49
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 50
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 51
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 52
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 53
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 54
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 55
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 56
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 57
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 58
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 59
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 60
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 61
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 62
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 63
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 64
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 65
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 66
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 67
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 68
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 69
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 70
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 71
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 72
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 73
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 74
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 75
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 76
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 77
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 78
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 79
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 80
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 81
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 82
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 83
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 84
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 85
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 86
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 87
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 88
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 89
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 90
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 91
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 92
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 93
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 94
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 95
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 96
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 97
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 98
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 99
         message = Testing!
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/SharedTransport/run_test.pl Time:4s Result:0

==============================================================================

tests/DCPS/SharedTransport/run_test.pl rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/test -DCPSPendingTimeout 3 -DCPSConfigFile rtps.ini 
(6832|6832) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
TestCase.cpp:49: INFO: TestCase::init_datawriter
TestCase.cpp:62: INFO: TestCase::init_datareader
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 0
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 1
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 2
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 3
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 4
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 5
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 6
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 7
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 8
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 9
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 10
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 11
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 12
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 13
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 14
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 15
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 16
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 17
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 18
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 19
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 20
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 21
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 22
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 23
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 24
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 25
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 26
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 27
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 28
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 29
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 30
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 31
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 32
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 33
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 34
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 35
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 36
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 37
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 38
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 39
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 40
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 41
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 42
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 43
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 44
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 45
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 46
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 47
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 48
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 49
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 50
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 51
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 52
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 53
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 54
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 55
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 56
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 57
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 58
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 59
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 60
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 61
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 62
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 63
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 64
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 65
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 66
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 67
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 68
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 69
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 70
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 71
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 72
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 73
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 74
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 75
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 76
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 77
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 78
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 79
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 80
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 81
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 82
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 83
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 84
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 85
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 86
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 87
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 88
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 89
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 90
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 91
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 92
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 93
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 94
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 95
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 96
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 97
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 98
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 99
         message = Testing!
test PASSED.

auto_run_tests_finished: tests/DCPS/SharedTransport/run_test.pl rtps Time:4s Result:0

==============================================================================

tests/DCPS/SharedTransport/run_test.pl rtps 2 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/test -DCPSPendingTimeout 3 -DCPSConfigFile rtps.ini 2
(6840|6840) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
TestCase.cpp:49: INFO: TestCase::init_datawriter
TestCase.cpp:49: INFO: TestCase::init_datawriter
TestCase.cpp:62: INFO: TestCase::init_datareader
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 0
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 100
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 1
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 101
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 2
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 102
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 3
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 103
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 4
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 104
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 5
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 105
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 6
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 106
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 7
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 107
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 8
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 108
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 9
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 109
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 10
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 110
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 11
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 111
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 12
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 112
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 13
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 113
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 14
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 114
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 15
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 115
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 16
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 116
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 17
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 117
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 18
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 118
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 19
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 119
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 20
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 120
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 21
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 121
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 22
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 122
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 23
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 123
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 24
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 124
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 25
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 125
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 26
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 126
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 27
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 127
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 28
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 128
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 29
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 129
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 30
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 130
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 31
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 131
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 32
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 132
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 33
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 133
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 34
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 134
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 35
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 135
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 36
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 136
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 37
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 137
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 38
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 138
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 39
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 139
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 40
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 140
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 41
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 141
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 42
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 142
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 43
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 143
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 44
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 144
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 45
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 145
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 46
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 146
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 47
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 147
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 48
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 148
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 49
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 149
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 50
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 150
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 51
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 151
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 52
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 152
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 53
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 153
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 54
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 154
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 55
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 155
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 56
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 156
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 57
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 157
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 58
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 158
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 59
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 159
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 60
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 160
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 61
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 161
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 62
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 162
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 63
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 163
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 64
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 164
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 65
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 165
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 66
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 166
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 67
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 167
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 68
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 168
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 69
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 169
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 70
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 170
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 71
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 171
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 72
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 172
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 73
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 173
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 74
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 174
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 75
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 175
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 76
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 176
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 77
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 177
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 78
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 178
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 79
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 179
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 80
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 180
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 81
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 181
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 82
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 182
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 83
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 183
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 84
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 184
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 85
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 185
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 86
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 186
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 87
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 187
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 88
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 188
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 89
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 189
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 90
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 190
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 91
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 191
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 92
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 192
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 93
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 193
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 94
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 194
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 95
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 195
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 96
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 196
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 97
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 197
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 98
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 198
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 99
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 199
         message = Testing!
test PASSED.

auto_run_tests_finished: tests/DCPS/SharedTransport/run_test.pl rtps 2 Time:4s Result:0

==============================================================================

tests/DCPS/SharedTransport/run_test.pl udp #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -o repo.ior 
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/test -DCPSPendingTimeout 3 -DCPSConfigFile udp.ini 
(6854|6854) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(6847|6847) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(6854|6854) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
TestCase.cpp:49: INFO: TestCase::init_datawriter
TestCase.cpp:62: INFO: TestCase::init_datareader
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 0
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 1
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 2
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 3
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 4
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 5
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 6
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 7
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 8
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 9
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 10
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 11
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 12
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 13
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 14
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 15
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 16
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 17
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 18
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 19
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 20
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 21
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 22
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 23
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 24
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 25
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 26
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 27
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 28
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 29
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 30
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 31
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 32
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 33
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 34
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 35
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 36
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 37
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 38
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 39
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 40
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 41
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 42
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 43
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 44
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 45
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 46
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 47
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 48
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 49
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 50
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 51
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 52
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 53
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 54
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 55
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 56
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 57
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 58
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 59
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 60
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 61
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 62
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 63
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 64
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 65
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 66
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 67
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 68
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 69
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 70
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 71
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 72
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 73
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 74
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 75
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 76
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 77
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 78
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 79
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 80
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 81
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 82
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 83
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 84
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 85
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 86
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 87
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 88
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 89
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 90
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 91
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 92
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 93
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 94
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 95
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 96
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 97
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 98
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 99
         message = Testing!
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/SharedTransport/run_test.pl udp Time:4s Result:0

==============================================================================

tests/DCPS/SharedTransport/run_test.pl multicast #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -o repo.ior 
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/test -DCPSPendingTimeout 3 -DCPSConfigFile multicast.ini 
(6871|6871) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(6864|6864) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(6871|6871) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
TestCase.cpp:49: INFO: TestCase::init_datawriter
TestCase.cpp:62: INFO: TestCase::init_datareader
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 0
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 1
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 2
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 3
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 4
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 5
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 6
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 7
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 8
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 9
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 10
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 11
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 12
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 13
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 14
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 15
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 16
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 17
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 18
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 19
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 20
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 21
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 22
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 23
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 24
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 25
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 26
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 27
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 28
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 29
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 30
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 31
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 32
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 33
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 34
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 35
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 36
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 37
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 38
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 39
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 40
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 41
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 42
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 43
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 44
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 45
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 46
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 47
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 48
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 49
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 50
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 51
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 52
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 53
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 54
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 55
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 56
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 57
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 58
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 59
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 60
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 61
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 62
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 63
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 64
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 65
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 66
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 67
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 68
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 69
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 70
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 71
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 72
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 73
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 74
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 75
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 76
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 77
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 78
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 79
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 80
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 81
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 82
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 83
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 84
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 85
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 86
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 87
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 88
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 89
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 90
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 91
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 92
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 93
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 94
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 95
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 96
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 97
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 98
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 99
         message = Testing!
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/SharedTransport/run_test.pl multicast Time:3s Result:0

==============================================================================

tests/DCPS/SharedTransport/run_test.pl shmem #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -o repo.ior 
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/test -DCPSPendingTimeout 3 -DCPSConfigFile shmem.ini 
(6889|6889) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(6889|6889) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(6882|6882) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
TestCase.cpp:49: INFO: TestCase::init_datawriter
TestCase.cpp:62: INFO: TestCase::init_datareader
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 0
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 1
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 2
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 3
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 4
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 5
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 6
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 7
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 8
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 9
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 10
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 11
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 12
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 13
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 14
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 15
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 16
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 17
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 18
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 19
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 20
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 21
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 22
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 23
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 24
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 25
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 26
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 27
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 28
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 29
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 30
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 31
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 32
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 33
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 34
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 35
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 36
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 37
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 38
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 39
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 40
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 41
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 42
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 43
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 44
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 45
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 46
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 47
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 48
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 49
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 50
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 51
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 52
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 53
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 54
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 55
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 56
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 57
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 58
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 59
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 60
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 61
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 62
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 63
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 64
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 65
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 66
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 67
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 68
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 69
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 70
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 71
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 72
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 73
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 74
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 75
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 76
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 77
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 78
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 79
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 80
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 81
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 82
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 83
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 84
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 85
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 86
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 87
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 88
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 89
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 90
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 91
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 92
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 93
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 94
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 95
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 96
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 97
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 98
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 99
         message = Testing!
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/SharedTransport/run_test.pl shmem Time:4s Result:0

==============================================================================

tests/DCPS/SharedTransport/run_test.pl rtps_disc_tcp #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/test -DCPSPendingTimeout 3 -DCPSConfigFile rtps_disc_tcp.ini 
(6908|6908) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
TestCase.cpp:49: INFO: TestCase::init_datawriter
(6908|6908) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
TestCase.cpp:62: INFO: TestCase::init_datareader
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 0
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 1
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 2
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 3
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 4
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 5
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 6
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 7
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 8
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 9
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 10
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 11
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 12
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 13
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 14
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 15
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 16
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 17
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 18
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 19
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 20
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 21
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 22
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 23
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 24
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 25
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 26
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 27
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 28
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 29
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 30
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 31
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 32
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 33
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 34
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 35
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 36
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 37
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 38
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 39
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 40
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 41
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 42
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 43
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 44
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 45
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 46
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 47
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 48
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 49
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 50
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 51
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 52
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 53
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 54
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 55
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 56
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 57
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 58
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 59
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 60
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 61
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 62
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 63
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 64
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 65
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 66
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 67
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 68
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 69
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 70
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 71
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 72
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 73
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 74
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 75
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 76
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 77
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 78
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 79
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 80
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 81
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 82
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 83
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 84
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 85
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 86
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 87
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 88
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 89
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 90
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 91
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 92
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 93
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 94
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 95
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 96
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 97
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 98
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 99
         message = Testing!
test PASSED.

auto_run_tests_finished: tests/DCPS/SharedTransport/run_test.pl rtps_disc_tcp Time:3s Result:0

==============================================================================

tests/DCPS/ConfigFile/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigFile/ConfigFile -DCPSConfigFile test1.ini
(6922|6922) Service_Participant::set_repo_ior: Repo[DEFAULT_REPO] == file://repo.ior
(6922|6922) [repository/DEFAULT_REPO]: RepositoryIor == file://repo.ior
(6922|6922) NOTICE: "DCPSDefaultDiscovery" is defined in config file, with value "MyDefaultDiscovery".
(6922|6922) NOTICE: "DCPSBidirGIOP" is not defined in config file - using code default.
(6922|6922) NOTICE: "DCPSThreadStatusInterval" is not defined in config file - using code default.
(6922|6922) NOTICE: "ORBLogFile" is not defined in config file - using code default.
(6922|6922) NOTICE: "ORBVerboseLogging" is not defined in config file - using code default.
(6922|6922) NOTICE: "DCPSMonitor" is not defined in config file - using code default.
(6922|6922) NOTICE: "DCPSTypeObjectEncoding" is not defined in config file - using code default.
(6922|6922) NOTICE: "DCPSLogLevel" is not defined in config file - using code default.
(6922|6922) NOTICE: "scheduler" is not defined in config file - using code default.
(6922|6922) NOTICE: "scheduler_slice" is not defined in config file - using code default.
(6922|6922) NOTICE: Service_Participant::load_domain_ranges(): config does not have a [DomainRange] section.
(6922|6922) [repository/xyz]: DCPSBitTransportIPAddress == 1.2.3.4
(6922|6922) [repository/xyz]: DCPSBitTransportPort == 4321
(6922|6922) [repository/xyz]: RepositoryIor == file://repo3.ior
(6922|6922) [repository/MyDefaultDiscovery]: RepositoryIor == file://repo3.ior
(6922|6922) [repository/333]: RepositoryIor == file://repo2.ior
(6922|6922) [repository/333]: RepositoryKey == 333
(6922|6922) NOTICE: TransportRegistry::load_transport_templates(): config does not have a [transport_template] section.
(6922|6922) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(6922|6922) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(6922|6922) NOTICE: "max_packet_size" is not defined in config file - using code default.
(6922|6922) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(6922|6922) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(6922|6922) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(6922|6922) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(6922|6922) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(6922|6922) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(6922|6922) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(6922|6922) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(6922|6922) NOTICE: "local_address" is not defined in config file - using code default.
(6922|6922) NOTICE: "pub_address" is not defined in config file - using code default.
(6922|6922) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(6922|6922) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(6922|6922) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(6922|6922) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(6922|6922) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(6922|6922) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(6922|6922) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(6922|6922) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(6922|6922) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(6922|6922) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(6922|6922) NOTICE: "local_address" is defined in config file, with value "localhost:".
(6922|6922) NOTICE: "pub_address" is not defined in config file - using code default.
(6922|6922) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(6922|6922) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(6922|6922) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(6922|6922) NOTICE: "max_packet_size" is not defined in config file - using code default.
(6922|6922) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(6922|6922) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(6922|6922) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(6922|6922) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(6922|6922) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(6922|6922) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(6922|6922) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(6922|6922) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(6922|6922) NOTICE: "local_address" is not defined in config file - using code default.
(6922|6922) NOTICE: "pub_address" is not defined in config file - using code default.
(6922|6922) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(6922|6922) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(6922|6922) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(6922|6922) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(6922|6922) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(6922|6922) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(6922|6922) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(6922|6922) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(6922|6922) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(6922|6922) NOTICE: "max_packet_size" is not defined in config file - using code default.
(6922|6922) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(6922|6922) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(6922|6922) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(6922|6922) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(6922|6922) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(6922|6922) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(6922|6922) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(6922|6922) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(6922|6922) NOTICE: "local_address" is not defined in config file - using code default.
(6922|6922) NOTICE: "pub_address" is not defined in config file - using code default.
(6922|6922) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(6922|6922) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(6922|6922) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(6922|6922) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(6922|6922) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(6922|6922) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(6922|6922) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(6922|6922) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(6922|6922) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(6922|6922) NOTICE: "max_packet_size" is not defined in config file - using code default.
(6922|6922) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(6922|6922) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(6922|6922) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(6922|6922) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(6922|6922) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(6922|6922) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(6922|6922) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(6922|6922) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(6922|6922) NOTICE: "local_address" is not defined in config file - using code default.
(6922|6922) NOTICE: "pub_address" is not defined in config file - using code default.
(6922|6922) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(6922|6922) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(6922|6922) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(6922|6922) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(6922|6922) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(6922|6922) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(6922|6922) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(6922|6922) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(6922|6922) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(6922|6922) NOTICE: "max_packet_size" is not defined in config file - using code default.
(6922|6922) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(6922|6922) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(6922|6922) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(6922|6922) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(6922|6922) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(6922|6922) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(6922|6922) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(6922|6922) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(6922|6922) NOTICE: "local_address" is not defined in config file - using code default.
(6922|6922) NOTICE: "pub_address" is not defined in config file - using code default.
(6922|6922) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(6922|6922) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(6922|6922) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(6922|6922) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(6922|6922) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(6922|6922) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(6922|6922) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(6922|6922) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(6922|6922) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(6922|6922) NOTICE: "max_packet_size" is not defined in config file - using code default.
(6922|6922) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(6922|6922) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(6922|6922) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(6922|6922) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(6922|6922) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(6922|6922) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(6922|6922) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(6922|6922) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(6922|6922) NOTICE: "local_address" is not defined in config file - using code default.
(6922|6922) NOTICE: "pub_address" is not defined in config file - using code default.
(6922|6922) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(6922|6922) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(6922|6922) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(6922|6922) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(6922|6922) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(6922|6922) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(6922|6922) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(6922|6922) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(6922|6922) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(6922|6922) NOTICE: "max_packet_size" is not defined in config file - using code default.
(6922|6922) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(6922|6922) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(6922|6922) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(6922|6922) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(6922|6922) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(6922|6922) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(6922|6922) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(6922|6922) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(6922|6922) NOTICE: "default_to_ipv6" is not defined in config file - using code default.
(6922|6922) NOTICE: "port_offset" is not defined in config file - using code default.
(6922|6922) NOTICE: "group_address" is not defined in config file - using code default.
(6922|6922) NOTICE: "local_address" is not defined in config file - using code default.
(6922|6922) NOTICE: "reliable" is not defined in config file - using code default.
(6922|6922) NOTICE: "syn_backoff" is not defined in config file - using code default.
(6922|6922) NOTICE: "syn_interval" is not defined in config file - using code default.
(6922|6922) NOTICE: "syn_timeout" is not defined in config file - using code default.
(6922|6922) NOTICE: "nak_depth" is not defined in config file - using code default.
(6922|6922) NOTICE: "nak_interval" is not defined in config file - using code default.
(6922|6922) NOTICE: "nak_delay_intervals" is not defined in config file - using code default.
(6922|6922) NOTICE: "nak_max" is not defined in config file - using code default.
(6922|6922) NOTICE: "nak_timeout" is not defined in config file - using code default.
(6922|6922) NOTICE: "ttl" is not defined in config file - using code default.
(6922|6922) NOTICE: "rcv_buffer_size" is not defined in config file - using code default.
(6922|6922) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(6922|6922) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(6922|6922) NOTICE: "max_packet_size" is not defined in config file - using code default.
(6922|6922) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(6922|6922) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(6922|6922) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(6922|6922) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(6922|6922) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(6922|6922) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(6922|6922) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(6922|6922) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(6922|6922) NOTICE: "local_address" is not defined in config file - using code default.
(6922|6922) NOTICE: "send_buffer_size" is not defined in config file - using code default.
(6922|6922) NOTICE: "rcv_buffer_size" is not defined in config file - using code default.
(6922|6922) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(6922|6922) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(6922|6922) NOTICE: "max_packet_size" is not defined in config file - using code default.
(6922|6922) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(6922|6922) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(6922|6922) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(6922|6922) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(6922|6922) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(6922|6922) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(6922|6922) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(6922|6922) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(6922|6922) NOTICE: "local_address" is not defined in config file - using code default.
(6922|6922) NOTICE: "pub_address" is not defined in config file - using code default.
(6922|6922) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(6922|6922) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(6922|6922) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(6922|6922) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(6922|6922) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(6922|6922) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(6922|6922) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(6922|6922) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(6922|6922) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(6922|6922) NOTICE: "max_packet_size" is not defined in config file - using code default.
(6922|6922) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(6922|6922) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(6922|6922) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(6922|6922) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(6922|6922) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(6922|6922) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(6922|6922) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(6922|6922) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(6922|6922) NOTICE: "local_address" is not defined in config file - using code default.
(6922|6922) NOTICE: "pub_address" is not defined in config file - using code default.
(6922|6922) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(6922|6922) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(6922|6922) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(6922|6922) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(6922|6922) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(6922|6922) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(6922|6922) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(6922|6922) Service_Participant::set_repo_domain: Domain[ 100] = Repo[ DEFAULT_STATIC].
(6922|6922) Service_Participant::set_repo_domain: Domain[ 1235] = Repo[ xyz].
(6922|6922) [domain/1234]: DomainId == 1234
(6922|6922) [domain/1234]: DomainRepoKey == 333
(6922|6922) Service_Participant::set_repo_domain: Domain[ 1234] = Repo[ 333].
(6922|6922) Service_Participant::set_repo_domain: Domain[ 99] = Repo[ MyConfig].
(6922|6922) Service_Participant::set_repo_domain: Domain[ 98] = Repo[ MultiSendAddr].
(6922|6922) [domain/21]: DomainId == 21
(6922|6922) Service_Participant::set_repo_domain: Domain[ 21] = Repo[ DEFAULT_RTPS].
(6922|6922) NOTICE: StaticDiscovery::parse_topics processing [topic/TheTopic] section.
(6922|6922) NOTICE: StaticDiscovery::parse_datawriterqos no [datawriterqos] sections.
(6922|6922) NOTICE: StaticDiscovery::parse_datareaderqos no [datareaderqos] sections.
(6922|6922) NOTICE: StaticDiscovery::parse_publisherqos no [publisherqos] sections.
(6922|6922) NOTICE: StaticDiscovery::parse_subscriberqos no [subscriberqos] sections.
(6922|6922) NOTICE: StaticDiscovery::parse_endpoints processing [endpoint/MyWriter] section.
(6922|6922) DEBUG: StaticDiscovery::parse_endpoints adding entity with id 01030000.00640000.00000000.00000102(44b6056a)
(6922|6922) NOTICE: StaticDiscovery::parse_endpoints processing [endpoint/MyReader] section.
(6922|6922) DEBUG: StaticDiscovery::parse_endpoints adding entity with id 01030000.00640000.00000000.00000007(2dc7c0a4)
(6922|6922) NOTICE: Service_Participant::intializeScheduling() - no scheduling policy specified, not setting policy.
(6922|6922) Service_Participant::get_domain_participant_factory: Creating LinuxNetworkConfigMonitor
(6922|6922) Service_Participant::shutdown
(6922|6922) DomainParticipantFactoryImpl::~DomainParticipantFactoryImpl()
(6922|6922) Service_Participant::~Service_Participant
(6922|6922) Service_Participant::shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ConfigFile/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/ConfigTransports/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 6925
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a process -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 6932 started at 2023-04-26 16:20:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a process -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 6933 started at 2023-04-26 16:20:38
(6932|6932) Running colocation opt process
(6932|6932) Topic name: Xyz::Foo
(6932|6932) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(6933|6933) Topic name: Xyz::Foo
(6933|6933) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(6932|6932) Topic name: Xyz::Foo
(6932|6932) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6932|6932) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6932|6932) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6932|6932) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6932|6932) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6932|6932) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6932|6932) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6932|6932) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6933|6933) Topic name: Xyz::Foo
(6933|6933) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6933|6933) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6933|6933) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6933|6933) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6933|6933) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6933|6933) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6933|6933) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6933|6933) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6933|6933) Writer::run_test begins.
(6933|6933)  16:20:38.452947 Writer::run_test starting to write pass 1
(6933|6933)  16:20:38.454777 Writer::run_test done writing.
(6933|6933) Writer::run_test finished.
(6932|6932) Shutting subscriber down ...
(6932|6932) deleting entities1
(6933|6933) deleting entities1
(6933|6933) deleting participant1
(6933|6933) deleting entities2
(6933|6933) deleting participant2
(6932|6932) deleting participant1
(6933|6933) Shutting publisher down ...
(6932|6932) deleting entities2
(6932|6932) deleting participant2
(6932|6932) Subscriber shutting down svc part
(6933|6933) Publisher shutdown complete.
(6933|6933) done.
(6932|6932) Subscriber shutdown complete
(6932|6932) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->1
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 6954
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 6961 started at 2023-04-26 16:20:39
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 6962 started at 2023-04-26 16:20:39
(6961|6961) Running colocation opt process
(6962|6962) Topic name: Xyz::Foo
(6961|6961) Topic name: Xyz::Foo
(6962|6962) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(6961|6961) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(6962|6962) Topic name: Xyz::Foo
(6961|6961) Topic name: Xyz::Foo
(6962|6962) Check if 'udp1' is among supported [udp1]?
(6962|6962) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6962|6962) Writer::run_test begins.
(6962|6962)  16:20:39.750478 Writer::run_test starting to write pass 1
(6962|6962)  16:20:39.751210 Writer::run_test done writing.
(6961|6961) Check if 'udp1' is among supported [udp1]?
(6961|6961) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6962|6962) Writer::run_test finished.
(6961|6961) Shutting subscriber down ...
(6961|6961) deleting entities1
(6962|6962) deleting entities1
(6962|6962) deleting participant1
(6962|6962) deleting entities2
(6962|6962) deleting participant2
(6961|6961) deleting participant1
(6962|6962) Shutting publisher down ...
(6961|6961) deleting entities2
(6961|6961) deleting participant2
(6961|6961) Subscriber shutting down svc part
(6962|6962) Publisher shutdown complete.
(6962|6962) done.
(6961|6961) Subscriber shutdown complete
(6961|6961) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->2
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 6987
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 6994 started at 2023-04-26 16:20:40
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 6995 started at 2023-04-26 16:20:40
(6994|6994) Running colocation opt process
(6994|6994) Topic name: Xyz::Foo
(6995|6995) Topic name: Xyz::Foo
(6994|6994) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(6995|6995) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(6994|6994) Topic name: Xyz::Foo
(6995|6995) Topic name: Xyz::Foo
(6994|6994) Check if 'udp1' is among supported [udp1]?
(6994|6994) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6995|6995) Check if 'udp1' is among supported [udp1]?
(6995|6995) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(6995|6995) Writer::run_test begins.
(6995|6995)  16:20:41.070161 Writer::run_test starting to write pass 1
(6995|6995)  16:20:41.070990 Writer::run_test done writing.
(6995|6995) Writer::run_test finished.
(6994|6994) Shutting subscriber down ...
(6994|6994) deleting entities1
(6994|6994) deleting participant1
(6995|6995) deleting entities1
(6994|6994) deleting entities2
(6995|6995) deleting participant1
(6995|6995) deleting entities2
(6995|6995) deleting participant2
(6994|6994) deleting participant2
(6995|6995) Shutting publisher down ...
(6994|6994) Subscriber shutting down svc part
(6995|6995) Publisher shutdown complete.
(6995|6995) done.
(6994|6994) Subscriber shutdown complete
(6994|6994) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->3
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7020
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7027 started at 2023-04-26 16:20:42
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7028 started at 2023-04-26 16:20:42
(7027|7027) Running colocation opt process
(7028|7028) Topic name: Xyz::Foo
(7027|7027) Topic name: Xyz::Foo
(7028|7028) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7027|7027) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7028|7028) Topic name: Xyz::Foo
(7027|7027) Topic name: Xyz::Foo
(7028|7028) Check if 'udp1' is among supported [udp1]?
(7028|7028) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7028|7028) Writer::run_test begins.
(7028|7028)  16:20:42.466056 Writer::run_test starting to write pass 1
(7028|7028)  16:20:42.467652 Writer::run_test done writing.
(7027|7027) Check if 'udp1' is among supported [udp1]?
(7027|7027) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7028|7028) Writer::run_test finished.
(7027|7027) Shutting subscriber down ...
(7027|7027) deleting entities1
(7028|7028) deleting entities1
(7027|7027) deleting participant1
(7028|7028) deleting participant1
(7028|7028) deleting entities2
(7028|7028) deleting participant2
(7027|7027) deleting entities2
(7028|7028) Shutting publisher down ...
(7027|7027) deleting participant2
(7027|7027) Subscriber shutting down svc part
(7028|7028) Publisher shutdown complete.
(7028|7028) done.
(7027|7027) Subscriber shutdown complete
(7027|7027) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->4
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7053
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0   -c true -e none -a none -s none -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7060 started at 2023-04-26 16:20:43
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0   -c true -e none -a none -s none -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7061 started at 2023-04-26 16:20:43
(7060|7060) Running colocation opt none
(7060|7060) Topic name: Xyz::Foo
(7061|7061) Topic name: Xyz::Foo
(7060|7060) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7061|7061) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7060|7060) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0500_TCP]?
(7061|7061) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0500_TCP]?
(7061|7061) Writer::run_test begins.
(7061|7061)  16:20:43.772534 Writer::run_test starting to write pass 1
(7061|7061)  16:20:43.773178 Writer::run_test done writing.
(7061|7061)  16:20:44.773527 Writer::run_test starting to write pass 2
(7061|7061)  16:20:44.774392 Writer::run_test done writing.
(7061|7061)  16:20:45.774639 Writer::run_test starting to write pass 3
(7061|7061)  16:20:45.775487 Writer::run_test done writing.
(7061|7061)  16:20:46.775737 Writer::run_test starting to write pass 4
(7061|7061)  16:20:46.776589 Writer::run_test done writing.
(7061|7061)  16:20:47.776935 Writer::run_test starting to write pass 5
(7061|7061)  16:20:47.777902 Writer::run_test done writing.
(7061|7061) Writer::run_test finished.
(7060|7060) Shutting subscriber down ...
(7060|7060) deleting entities1
(7061|7061) deleting entities1
(7061|7061) deleting participant1
(7061|7061) Shutting publisher down ...
(7060|7060) deleting participant1
(7060|7060) Subscriber shutting down svc part
(7061|7061) Publisher shutdown complete.
(7061|7061) done.
(7060|7060) Subscriber shutdown complete
(7060|7060) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->5
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7070
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a none -s whatever_just_to_ensure_there_is_a_config_file_on_the_command_line -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7077 started at 2023-04-26 16:20:49
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a none -s whatever_just_to_ensure_there_is_a_config_file_on_the_command_line -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7078 started at 2023-04-26 16:20:49
(7077|7077) Running colocation opt none
(7077|7077) Topic name: Xyz::Foo
(7078|7078) Topic name: Xyz::Foo
(7078|7078) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7077|7077) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7078|7078) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7078|7078) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7078|7078) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7078|7078) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7078|7078) Writer::run_test begins.
(7077|7077) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7077|7077) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7077|7077) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7077|7077) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7078|7078)  16:20:49.189454 Writer::run_test starting to write pass 1
(7078|7078)  16:20:49.191398 Writer::run_test done writing.
(7078|7078)  16:20:50.191679 Writer::run_test starting to write pass 2
(7078|7078)  16:20:50.192584 Writer::run_test done writing.
(7078|7078)  16:20:51.192881 Writer::run_test starting to write pass 3
(7078|7078)  16:20:51.193734 Writer::run_test done writing.
(7078|7078)  16:20:52.194005 Writer::run_test starting to write pass 4
(7078|7078)  16:20:52.194841 Writer::run_test done writing.
(7078|7078)  16:20:53.195197 Writer::run_test starting to write pass 5
(7078|7078)  16:20:53.196127 Writer::run_test done writing.
(7078|7078) Writer::run_test finished.
(7077|7077) Shutting subscriber down ...
(7077|7077) deleting entities1
(7078|7078) deleting entities1
(7078|7078) deleting participant1
(7078|7078) Shutting publisher down ...
(7077|7077) deleting participant1
(7077|7077) Subscriber shutting down svc part
(7077|7077) Subscriber shutdown complete
(7078|7078) Publisher shutdown complete.
(7078|7078) done.
(7077|7077) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->6
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7099
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7106 started at 2023-04-26 16:20:54
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7107 started at 2023-04-26 16:20:54
(7106|7106) Running colocation opt none
(7106|7106) Topic name: Xyz::Foo
(7107|7107) Topic name: Xyz::Foo
(7107|7107) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7106|7106) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7107|7107) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7107|7107) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7107|7107) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7107|7107) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7107|7107) Writer::run_test begins.
(7106|7106) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7106|7106) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7106|7106) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7106|7106) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7107|7107)  16:20:54.509681 Writer::run_test starting to write pass 1
(7107|7107)  16:20:54.510294 Writer::run_test done writing.
(7107|7107)  16:20:55.510568 Writer::run_test starting to write pass 2
(7107|7107)  16:20:55.511430 Writer::run_test done writing.
(7107|7107)  16:20:56.511687 Writer::run_test starting to write pass 3
(7107|7107)  16:20:56.512047 Writer::run_test done writing.
(7107|7107)  16:20:57.512348 Writer::run_test starting to write pass 4
(7107|7107)  16:20:57.512710 Writer::run_test done writing.
(7107|7107)  16:20:58.513005 Writer::run_test starting to write pass 5
(7107|7107)  16:20:58.513354 Writer::run_test done writing.
(7107|7107) Writer::run_test finished.
(7106|7106) Shutting subscriber down ...
(7106|7106) deleting entities1
(7107|7107) deleting entities1
(7107|7107) deleting participant1
(7107|7107) Shutting publisher down ...
(7106|7106) deleting participant1
(7106|7106) Subscriber shutting down svc part
(7107|7107) Publisher shutdown complete.
(7107|7107) done.
(7106|7106) Subscriber shutdown complete
(7106|7106) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->7
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7128
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7135 started at 2023-04-26 16:20:59
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7136 started at 2023-04-26 16:20:59
(7135|7135) Running colocation opt none
(7136|7136) Topic name: Xyz::Foo
(7135|7135) Topic name: Xyz::Foo
(7136|7136) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7135|7135) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7136|7136) Check if 'udp1' is among supported [udp1]?
(7136|7136) Writer::run_test begins.
(7135|7135) Check if 'udp1' is among supported [udp1]?
(7136|7136)  16:20:59.824292 Writer::run_test starting to write pass 1
(7136|7136)  16:20:59.824933 Writer::run_test done writing.
(7136|7136)  16:21:00.825285 Writer::run_test starting to write pass 2
(7136|7136)  16:21:00.825676 Writer::run_test done writing.
(7136|7136)  16:21:01.825927 Writer::run_test starting to write pass 3
(7136|7136)  16:21:01.826287 Writer::run_test done writing.
(7136|7136)  16:21:02.826549 Writer::run_test starting to write pass 4
(7136|7136)  16:21:02.826903 Writer::run_test done writing.
(7136|7136)  16:21:03.827204 Writer::run_test starting to write pass 5
(7136|7136)  16:21:03.827578 Writer::run_test done writing.
(7136|7136) Writer::run_test finished.
(7135|7135) Shutting subscriber down ...
(7136|7136) deleting entities1
(7135|7135) deleting entities1
(7136|7136) deleting participant1
(7136|7136) Shutting publisher down ...
(7135|7135) deleting participant1
(7135|7135) Subscriber shutting down svc part
(7136|7136) Publisher shutdown complete.
(7136|7136) done.
(7135|7135) Subscriber shutdown complete
(7135|7135) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->8
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7145
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7152 started at 2023-04-26 16:21:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7153 started at 2023-04-26 16:21:05
(7152|7152) Running colocation opt none
(7152|7152) Topic name: Xyz::Foo
(7153|7153) Topic name: Xyz::Foo
(7152|7152) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7153|7153) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7153|7153) Check if 'udp1' is among supported [udp1]?
(7153|7153) Writer::run_test begins.
(7152|7152) Check if 'udp1' is among supported [udp1]?
(7153|7153)  16:21:05.137935 Writer::run_test starting to write pass 1
(7153|7153)  16:21:05.138906 Writer::run_test done writing.
(7153|7153)  16:21:06.139176 Writer::run_test starting to write pass 2
(7153|7153)  16:21:06.139535 Writer::run_test done writing.
(7153|7153)  16:21:07.139842 Writer::run_test starting to write pass 3
(7153|7153)  16:21:07.140203 Writer::run_test done writing.
(7153|7153)  16:21:08.140506 Writer::run_test starting to write pass 4
(7153|7153)  16:21:08.140869 Writer::run_test done writing.
(7153|7153)  16:21:09.141173 Writer::run_test starting to write pass 5
(7153|7153)  16:21:09.141535 Writer::run_test done writing.
(7153|7153) Writer::run_test finished.
(7152|7152) Shutting subscriber down ...
(7152|7152) deleting entities1
(7153|7153) deleting entities1
(7153|7153) deleting participant1
(7153|7153) Shutting publisher down ...
(7152|7152) deleting participant1
(7152|7152) Subscriber shutting down svc part
(7153|7153) Publisher shutdown complete.
(7153|7153) done.
(7152|7152) Subscriber shutdown complete
(7152|7152) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->9
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7162
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7169 started at 2023-04-26 16:21:10
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7170 started at 2023-04-26 16:21:10
(7169|7169) Running colocation opt none
(7170|7170) Topic name: Xyz::Foo
(7169|7169) Topic name: Xyz::Foo
(7170|7170) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7169|7169) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7170|7170) Check if 'udp1' is among supported [udp1]?
(7170|7170) Writer::run_test begins.
(7169|7169) Check if 'udp1' is among supported [udp1]?
(7170|7170)  16:21:10.458336 Writer::run_test starting to write pass 1
(7170|7170)  16:21:10.458981 Writer::run_test done writing.
(7170|7170)  16:21:11.459335 Writer::run_test starting to write pass 2
(7170|7170)  16:21:11.459713 Writer::run_test done writing.
(7170|7170)  16:21:12.459917 Writer::run_test starting to write pass 3
(7170|7170)  16:21:12.460274 Writer::run_test done writing.
(7170|7170)  16:21:13.460500 Writer::run_test starting to write pass 4
(7170|7170)  16:21:13.460861 Writer::run_test done writing.
(7170|7170)  16:21:14.461168 Writer::run_test starting to write pass 5
(7170|7170)  16:21:14.461516 Writer::run_test done writing.
(7170|7170) Writer::run_test finished.
(7169|7169) Shutting subscriber down ...
(7169|7169) deleting entities1
(7169|7169) deleting participant1
(7169|7169) Subscriber shutting down svc part
(7170|7170) deleting entities1
(7170|7170) deleting participant1
(7169|7169) Subscriber shutdown complete
(7169|7169) done.
(7170|7170) Shutting publisher down ...
(7170|7170) Publisher shutdown complete.
(7170|7170) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->10
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7179
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -f _OPENDDS_0300_UDP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7186 started at 2023-04-26 16:21:15
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -f _OPENDDS_0300_UDP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7187 started at 2023-04-26 16:21:15
(7186|7186) Running colocation opt none
(7187|7187) Topic name: Xyz::Foo
(7186|7186) Topic name: Xyz::Foo
(7187|7187) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7186|7186) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7187|7187) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7187|7187) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7187|7187) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7187|7187) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7187|7187) Writer::run_test begins.
(7187|7187)  16:21:15.774443 Writer::run_test starting to write pass 1
(7187|7187)  16:21:15.775205 Writer::run_test done writing.
(7186|7186) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7186|7186) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7186|7186) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7186|7186) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7187|7187)  16:21:16.775547 Writer::run_test starting to write pass 2
(7187|7187)  16:21:16.776093 Writer::run_test done writing.
(7187|7187)  16:21:17.776416 Writer::run_test starting to write pass 3
(7187|7187)  16:21:17.776799 Writer::run_test done writing.
(7187|7187)  16:21:18.777107 Writer::run_test starting to write pass 4
(7187|7187)  16:21:18.777451 Writer::run_test done writing.
(7187|7187)  16:21:19.777756 Writer::run_test starting to write pass 5
(7187|7187)  16:21:19.778112 Writer::run_test done writing.
(7187|7187) Writer::run_test finished.
(7187|7187) Check if '_OPENDDS_0300_UDP' is among negotiated [_OPENDDS_0300_UDP]?
(7186|7186) Shutting subscriber down ...
(7186|7186) deleting entities1
(7187|7187) deleting entities1
(7187|7187) deleting participant1
(7187|7187) Shutting publisher down ...
(7186|7186) deleting participant1
(7186|7186) Subscriber shutting down svc part
(7187|7187) Publisher shutdown complete.
(7187|7187) done.
(7186|7186) Subscriber shutdown complete
(7186|7186) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->11
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7208
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7215 started at 2023-04-26 16:21:21
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7216 started at 2023-04-26 16:21:21
(7215|7215) Running colocation opt none
(7215|7215) Topic name: Xyz::Foo
(7216|7216) Topic name: Xyz::Foo
(7216|7216) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7215|7215) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7216|7216) Check if 'udp1' is among supported [udp1]?
(7216|7216) Writer::run_test begins.
(7215|7215) Check if 'udp1' is among supported [udp1]?
(7216|7216)  16:21:21.086732 Writer::run_test starting to write pass 1
(7216|7216)  16:21:21.087660 Writer::run_test done writing.
(7215|7224) Check if 'udp1' is among negotiated [udp1]?
(7216|7216)  16:21:22.088017 Writer::run_test starting to write pass 2
(7216|7216)  16:21:22.088376 Writer::run_test done writing.
(7215|7224) Check if 'udp1' is among negotiated [udp1]?
(7216|7216)  16:21:23.088636 Writer::run_test starting to write pass 3
(7216|7216)  16:21:23.088997 Writer::run_test done writing.
(7215|7224) Check if 'udp1' is among negotiated [udp1]?
(7216|7216)  16:21:24.089234 Writer::run_test starting to write pass 4
(7216|7216)  16:21:24.089581 Writer::run_test done writing.
(7215|7224) Check if 'udp1' is among negotiated [udp1]?
(7216|7216)  16:21:25.089893 Writer::run_test starting to write pass 5
(7216|7216)  16:21:25.090236 Writer::run_test done writing.
(7215|7224) Check if 'udp1' is among negotiated [udp1]?
(7216|7216) Writer::run_test finished.
(7216|7216) Check if 'udp1' is among negotiated [udp1]?
(7215|7224) Check if 'udp1' is among negotiated [udp1]?
(7216|7216) deleting entities1
(7216|7216) deleting participant1
(7215|7215) Shutting subscriber down ...
(7215|7215) deleting entities1
(7216|7216) Shutting publisher down ...
(7215|7215) deleting participant1
(7215|7215) Subscriber shutting down svc part
(7216|7216) Publisher shutdown complete.
(7216|7216) done.
(7215|7215) Subscriber shutdown complete
(7215|7215) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->12
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7226
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7233 started at 2023-04-26 16:21:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7234 started at 2023-04-26 16:21:26
(7233|7233) Running colocation opt none
(7233|7233) Topic name: Xyz::Foo
(7234|7234) Topic name: Xyz::Foo
(7234|7234) Check if 'mytcp1' is among supported [mytcp1]?
(7234|7234) Writer::run_test begins.
(7233|7233) Check if 'mytcp1' is among supported [mytcp1]?
(7234|7234)  16:21:26.397922 Writer::run_test starting to write pass 1
(7234|7234)  16:21:26.398377 Writer::run_test done writing.
(7233|7241) Check if 'mytcp1' is among negotiated [mytcp1]?
(7234|7234)  16:21:27.398674 Writer::run_test starting to write pass 2
(7234|7234)  16:21:27.398899 Writer::run_test done writing.
(7233|7241) Check if 'mytcp1' is among negotiated [mytcp1]?
(7234|7234)  16:21:28.399205 Writer::run_test starting to write pass 3
(7234|7234)  16:21:28.399448 Writer::run_test done writing.
(7233|7241) Check if 'mytcp1' is among negotiated [mytcp1]?
(7234|7234)  16:21:29.399742 Writer::run_test starting to write pass 4
(7234|7234)  16:21:29.399959 Writer::run_test done writing.
(7233|7241) Check if 'mytcp1' is among negotiated [mytcp1]?
(7234|7234)  16:21:30.400151 Writer::run_test starting to write pass 5
(7234|7234)  16:21:30.400368 Writer::run_test done writing.
(7233|7241) Check if 'mytcp1' is among negotiated [mytcp1]?
(7234|7234) Writer::run_test finished.
(7234|7234) Check if 'mytcp1' is among negotiated [mytcp1]?
(7233|7241) Check if 'mytcp1' is among negotiated [mytcp1]?
(7233|7233) Shutting subscriber down ...
(7233|7233) deleting entities1
(7234|7234) deleting entities1
(7234|7234) deleting participant1
(7234|7234) Shutting publisher down ...
(7233|7233) deleting participant1
(7233|7233) Subscriber shutting down svc part
(7234|7234) Publisher shutdown complete.
(7234|7234) done.
(7233|7233) Subscriber shutdown complete
(7233|7233) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->13
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7245
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7252 started at 2023-04-26 16:21:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7253 started at 2023-04-26 16:21:31
(7252|7252) Running colocation opt none
(7252|7252) Topic name: Xyz::Foo
(7253|7253) Topic name: Xyz::Foo
(7252|7252) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7253|7253) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7253|7253) Check if 'udp1' is among supported [udp1]?
(7253|7253) Writer::run_test begins.
(7252|7252) Check if 'udp1' is among supported [udp1]?
(7253|7253)  16:21:31.726628 Writer::run_test starting to write pass 1
(7253|7253)  16:21:31.727324 Writer::run_test done writing.
(7252|7259) Check if 'udp1' is among negotiated [udp1]?
(7253|7253)  16:21:32.727682 Writer::run_test starting to write pass 2
(7253|7253)  16:21:32.728042 Writer::run_test done writing.
(7252|7259) Check if 'udp1' is among negotiated [udp1]?
(7253|7253)  16:21:33.728363 Writer::run_test starting to write pass 3
(7253|7253)  16:21:33.728736 Writer::run_test done writing.
(7252|7259) Check if 'udp1' is among negotiated [udp1]?
(7253|7253)  16:21:34.729027 Writer::run_test starting to write pass 4
(7253|7253)  16:21:34.729375 Writer::run_test done writing.
(7252|7259) Check if 'udp1' is among negotiated [udp1]?
(7253|7253)  16:21:35.729675 Writer::run_test starting to write pass 5
(7253|7253)  16:21:35.730019 Writer::run_test done writing.
(7252|7259) Check if 'udp1' is among negotiated [udp1]?
(7253|7253) Writer::run_test finished.
(7253|7253) Check if 'udp1' is among negotiated [udp1]?
(7252|7259) Check if 'udp1' is among negotiated [udp1]?
(7252|7252) Shutting subscriber down ...
(7253|7253) deleting entities1
(7252|7252) deleting entities1
(7253|7253) deleting participant1
(7253|7253) Shutting publisher down ...
(7252|7252) deleting participant1
(7252|7252) Subscriber shutting down svc part
(7253|7253) Publisher shutdown complete.
(7253|7253) done.
(7252|7252) Subscriber shutdown complete
(7252|7252) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->14
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7271
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7278 started at 2023-04-26 16:21:36
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7279 started at 2023-04-26 16:21:36
(7278|7278) Running colocation opt none
(7279|7279) Topic name: Xyz::Foo
(7278|7278) Topic name: Xyz::Foo
(7279|7279) Check if 'mytcp1' is among supported [mytcp1]?
(7279|7279) Writer::run_test begins.
(7278|7278) Check if 'mytcp1' is among supported [mytcp1]?
(7279|7279)  16:21:37.038072 Writer::run_test starting to write pass 1
(7279|7279)  16:21:37.038539 Writer::run_test done writing.
(7278|7287) Check if 'mytcp1' is among negotiated [mytcp1]?
(7279|7279)  16:21:38.038912 Writer::run_test starting to write pass 2
(7279|7279)  16:21:38.039139 Writer::run_test done writing.
(7278|7287) Check if 'mytcp1' is among negotiated [mytcp1]?
(7279|7279)  16:21:39.039456 Writer::run_test starting to write pass 3
(7279|7279)  16:21:39.039687 Writer::run_test done writing.
(7278|7287) Check if 'mytcp1' is among negotiated [mytcp1]?
(7279|7279)  16:21:40.040004 Writer::run_test starting to write pass 4
(7279|7279)  16:21:40.040224 Writer::run_test done writing.
(7278|7287) Check if 'mytcp1' is among negotiated [mytcp1]?
(7279|7279)  16:21:41.040513 Writer::run_test starting to write pass 5
(7279|7279)  16:21:41.040732 Writer::run_test done writing.
(7278|7287) Check if 'mytcp1' is among negotiated [mytcp1]?
(7279|7279) Writer::run_test finished.
(7279|7279) Check if 'mytcp1' is among negotiated [mytcp1]?
(7278|7287) Check if 'mytcp1' is among negotiated [mytcp1]?
(7279|7279) deleting entities1
(7279|7279) deleting participant1
(7279|7279) Shutting publisher down ...
(7278|7278) Shutting subscriber down ...
(7278|7278) deleting entities1
(7278|7278) deleting participant1
(7278|7278) Subscriber shutting down svc part
(7279|7279) Publisher shutdown complete.
(7279|7279) done.
(7278|7278) Subscriber shutdown complete
(7278|7278) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->15
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7290
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7297 started at 2023-04-26 16:21:42
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7298 started at 2023-04-26 16:21:42
(7297|7297) Running colocation opt none
(7298|7298) Topic name: Xyz::Foo
(7297|7297) Topic name: Xyz::Foo
(7298|7298) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7297|7297) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7298|7298) Check if 'udp1' is among supported [udp1]?
(7298|7298) Writer::run_test begins.
(7297|7297) Check if 'udp1' is among supported [udp1]?
(7298|7298)  16:21:42.359409 Writer::run_test starting to write pass 1
(7298|7298)  16:21:42.360282 Writer::run_test done writing.
(7297|7306) Check if 'udp1' is among negotiated [udp1]?
(7298|7298)  16:21:43.360520 Writer::run_test starting to write pass 2
(7298|7298)  16:21:43.360895 Writer::run_test done writing.
(7297|7306) Check if 'udp1' is among negotiated [udp1]?
(7298|7298)  16:21:44.361103 Writer::run_test starting to write pass 3
(7298|7298)  16:21:44.361466 Writer::run_test done writing.
(7297|7306) Check if 'udp1' is among negotiated [udp1]?
(7298|7298)  16:21:45.361680 Writer::run_test starting to write pass 4
(7298|7298)  16:21:45.362016 Writer::run_test done writing.
(7297|7306) Check if 'udp1' is among negotiated [udp1]?
(7298|7298)  16:21:46.362314 Writer::run_test starting to write pass 5
(7298|7298)  16:21:46.362681 Writer::run_test done writing.
(7297|7306) Check if 'udp1' is among negotiated [udp1]?
(7298|7298) Writer::run_test finished.
(7298|7298) Check if 'udp1' is among negotiated [udp1]?
(7297|7306) Check if 'udp1' is among negotiated [udp1]?
(7297|7297) Shutting subscriber down ...
(7297|7297) deleting entities1
(7298|7298) deleting entities1
(7298|7298) deleting participant1
(7298|7298) Shutting publisher down ...
(7297|7297) deleting participant1
(7297|7297) Subscriber shutting down svc part
(7298|7298) Publisher shutdown complete.
(7298|7298) done.
(7297|7297) Subscriber shutdown complete
(7297|7297) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->16
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7308
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7315 started at 2023-04-26 16:21:47
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7316 started at 2023-04-26 16:21:47
(7315|7315) Running colocation opt none
(7315|7315) Topic name: Xyz::Foo
(7316|7316) Topic name: Xyz::Foo
(7316|7316) Check if 'mytcp1' is among supported [mytcp1]?
(7316|7316) Writer::run_test begins.
(7315|7315) Check if 'mytcp1' is among supported [mytcp1]?
(7316|7316)  16:21:47.669158 Writer::run_test starting to write pass 1
(7316|7316)  16:21:47.669603 Writer::run_test done writing.
(7315|7323) Check if 'mytcp1' is among negotiated [mytcp1]?
(7316|7316)  16:21:48.669958 Writer::run_test starting to write pass 2
(7316|7316)  16:21:48.670185 Writer::run_test done writing.
(7315|7323) Check if 'mytcp1' is among negotiated [mytcp1]?
(7316|7316)  16:21:49.670415 Writer::run_test starting to write pass 3
(7316|7316)  16:21:49.670669 Writer::run_test done writing.
(7315|7323) Check if 'mytcp1' is among negotiated [mytcp1]?
(7316|7316)  16:21:50.670986 Writer::run_test starting to write pass 4
(7316|7316)  16:21:50.671205 Writer::run_test done writing.
(7315|7323) Check if 'mytcp1' is among negotiated [mytcp1]?
(7316|7316)  16:21:51.671460 Writer::run_test starting to write pass 5
(7316|7316)  16:21:51.671700 Writer::run_test done writing.
(7315|7323) Check if 'mytcp1' is among negotiated [mytcp1]?
(7316|7316) Writer::run_test finished.
(7316|7316) Check if 'mytcp1' is among negotiated [mytcp1]?
(7315|7323) Check if 'mytcp1' is among negotiated [mytcp1]?
(7316|7316) deleting entities1
(7316|7316) deleting participant1
(7315|7315) Shutting subscriber down ...
(7315|7315) deleting entities1
(7316|7316) Shutting publisher down ...
(7315|7315) deleting participant1
(7315|7315) Subscriber shutting down svc part
(7316|7316) Publisher shutdown complete.
(7316|7316) done.
(7315|7315) Subscriber shutdown complete
(7315|7315) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->17
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7327
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e none -a process -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7334 started at 2023-04-26 16:21:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e none -a process -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7335 started at 2023-04-26 16:21:52
(7334|7334) Running colocation opt process
(7327|7327) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7335|7335) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7334|7334) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7335|7335) Topic name: Xyz::Foo
(7334|7334) Topic name: Xyz::Foo
(7335|7335) Topic name: Xyz::Foo
(7335|7335) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7335|7335) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7335|7335) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7335|7335) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7335|7335) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7335|7335) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7335|7335) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7335|7335) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7335|7335) Writer::run_test begins.
(7335|7335)  16:21:53.121873 Writer::run_test starting to write pass 1
(7335|7335)  16:21:53.122692 Writer::run_test done writing.
(7334|7334) Topic name: Xyz::Foo
(7334|7334) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7334|7334) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7334|7334) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7334|7334) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7334|7334) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7334|7334) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7334|7334) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7334|7334) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7335|7335) Writer::run_test finished.
(7334|7334) Shutting subscriber down ...
(7334|7334) deleting entities1
(7335|7335) deleting entities1
(7335|7335) deleting participant1
(7334|7334) deleting participant1
(7335|7335) deleting entities2
(7335|7335) deleting participant2
(7334|7334) deleting entities2
(7335|7335) Shutting publisher down ...
(7335|7335) Publisher shutdown complete.
(7335|7335) done.
(7334|7334) deleting participant2
(7334|7334) Subscriber shutting down svc part
(7334|7334) Subscriber shutdown complete
(7334|7334) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->18
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7362
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e participant -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7369 started at 2023-04-26 16:21:54
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e participant -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7370 started at 2023-04-26 16:21:54
(7369|7369) Running colocation opt process
(7362|7362) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7369|7369) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7370|7370) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7369|7369) Topic name: Xyz::Foo
(7370|7370) Topic name: Xyz::Foo
(7369|7369) Topic name: Xyz::Foo
(7369|7369) Check if 'udp1' is among supported [udp1]?
(7369|7369) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7370|7370) Topic name: Xyz::Foo
(7370|7370) Check if 'udp1' is among supported [udp1]?
(7370|7370) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7370|7370) Writer::run_test begins.
(7370|7370)  16:21:54.657755 Writer::run_test starting to write pass 1
(7370|7370)  16:21:54.659508 Writer::run_test done writing.
(7370|7370) Writer::run_test finished.
(7369|7369) Shutting subscriber down ...
(7369|7369) deleting entities1
(7370|7370) deleting entities1
(7370|7370) deleting participant1
(7370|7370) deleting entities2
(7370|7370) deleting participant2
(7369|7369) deleting participant1
(7370|7370) Shutting publisher down ...
(7369|7369) deleting entities2
(7370|7370) Publisher shutdown complete.
(7370|7370) done.
(7369|7369) deleting participant2
(7369|7369) Subscriber shutting down svc part
(7369|7369) Subscriber shutdown complete
(7369|7369) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->19
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7401
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e pubsub -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7408 started at 2023-04-26 16:21:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e pubsub -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7409 started at 2023-04-26 16:21:55
(7408|7408) Running colocation opt process
(7401|7401) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7409|7409) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7408|7408) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7408|7408) Topic name: Xyz::Foo
(7409|7409) Topic name: Xyz::Foo
(7409|7409) Topic name: Xyz::Foo
(7408|7408) Topic name: Xyz::Foo
(7409|7409) Check if 'udp1' is among supported [udp1]?
(7409|7409) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7409|7409) Writer::run_test begins.
(7409|7409)  16:21:56.149503 Writer::run_test starting to write pass 1
(7409|7409)  16:21:56.150214 Writer::run_test done writing.
(7408|7408) Check if 'udp1' is among supported [udp1]?
(7408|7408) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7409|7409) Writer::run_test finished.
(7408|7408) Shutting subscriber down ...
(7408|7408) deleting entities1
(7409|7409) deleting entities1
(7409|7409) deleting participant1
(7409|7409) deleting entities2
(7409|7409) deleting participant2
(7409|7409) Shutting publisher down ...
(7408|7408) deleting participant1
(7409|7409) Publisher shutdown complete.
(7408|7408) deleting entities2
(7409|7409) done.
(7408|7408) deleting participant2
(7408|7408) Subscriber shutting down svc part
(7408|7408) Subscriber shutdown complete
(7408|7408) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->20
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7440
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e rw -n false -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7447 started at 2023-04-26 16:21:57
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e rw -n false -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7448 started at 2023-04-26 16:21:57
(7447|7447) Running colocation opt process
(7440|7440) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7448|7448) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7447|7447) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7448|7448) Topic name: Xyz::Foo
(7447|7447) Topic name: Xyz::Foo
(7448|7448) Topic name: Xyz::Foo
(7447|7447) Topic name: Xyz::Foo
(7448|7448) Check if 'udp1' is among supported [udp1]?
(7448|7448) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7448|7448) Writer::run_test begins.
(7448|7448)  16:21:57.721790 Writer::run_test starting to write pass 1
(7448|7448)  16:21:57.722555 Writer::run_test done writing.
(7447|7447) Check if 'udp1' is among supported [udp1]?
(7447|7447) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7448|7448) Writer::run_test finished.
(7447|7447) Shutting subscriber down ...
(7447|7447) deleting entities1
(7448|7448) deleting entities1
(7448|7448) deleting participant1
(7448|7448) deleting entities2
(7448|7448) deleting participant2
(7448|7448) Shutting publisher down ...
(7448|7448) Publisher shutdown complete.
(7448|7448) done.
(7447|7447) deleting participant1
(7447|7447) deleting entities2
(7447|7447) deleting participant2
(7447|7447) Subscriber shutting down svc part
(7447|7447) Subscriber shutdown complete
(7447|7447) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->21
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7479
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber    -c true -e none -a none -s none -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7486 started at 2023-04-26 16:21:59
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher    -c true -e none -a none -s none -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7487 started at 2023-04-26 16:21:59
(7486|7486) Running colocation opt none
(7479|7479) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7487|7487) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7486|7486) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7487|7487) Topic name: Xyz::Foo
(7486|7486) Topic name: Xyz::Foo
(7487|7487) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0500_TCP]?
(7487|7487) Writer::run_test begins.
(7486|7486) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0500_TCP]?
(7487|7487)  16:21:59.217354 Writer::run_test starting to write pass 1
(7487|7487)  16:21:59.218125 Writer::run_test done writing.
(7487|7487)  16:22:00.218443 Writer::run_test starting to write pass 2
(7487|7487)  16:22:00.218861 Writer::run_test done writing.
(7487|7487)  16:22:01.219178 Writer::run_test starting to write pass 3
(7487|7487)  16:22:01.219571 Writer::run_test done writing.
(7487|7487)  16:22:02.219831 Writer::run_test starting to write pass 4
(7487|7487)  16:22:02.220319 Writer::run_test done writing.
(7487|7487)  16:22:03.220642 Writer::run_test starting to write pass 5
(7487|7487)  16:22:03.236794 Writer::run_test done writing.
(7487|7487) Writer::run_test finished.
(7486|7486) Shutting subscriber down ...
(7486|7486) deleting entities1
(7487|7487) deleting entities1
(7487|7487) deleting participant1
(7487|7487) Shutting publisher down ...
(7487|7487) Publisher shutdown complete.
(7487|7487) done.
(7486|7486) deleting participant1
(7486|7486) Subscriber shutting down svc part
(7486|7486) Subscriber shutdown complete
(7486|7486) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->22
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7502
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e none -a none -s whatever_just_to_ensure_there_is_a_config_file_on_the_command_line -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7509 started at 2023-04-26 16:22:04
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e none -a none -s whatever_just_to_ensure_there_is_a_config_file_on_the_command_line -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7510 started at 2023-04-26 16:22:04
(7509|7509) Running colocation opt none
(7502|7502) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7510|7510) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7509|7509) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7510|7510) Topic name: Xyz::Foo
(7509|7509) Topic name: Xyz::Foo
(7510|7510) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7510|7510) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7510|7510) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7510|7510) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7510|7510) Writer::run_test begins.
(7509|7509) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7509|7509) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7509|7509) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7509|7509) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7510|7510)  16:22:04.636880 Writer::run_test starting to write pass 1
(7510|7510)  16:22:04.637602 Writer::run_test done writing.
(7510|7510)  16:22:05.637903 Writer::run_test starting to write pass 2
(7510|7510)  16:22:05.638259 Writer::run_test done writing.
(7510|7510)  16:22:06.638585 Writer::run_test starting to write pass 3
(7510|7510)  16:22:06.638967 Writer::run_test done writing.
(7510|7510)  16:22:07.639204 Writer::run_test starting to write pass 4
(7510|7510)  16:22:07.639546 Writer::run_test done writing.
(7510|7510)  16:22:08.639882 Writer::run_test starting to write pass 5
(7510|7510)  16:22:08.640247 Writer::run_test done writing.
(7510|7510) Writer::run_test finished.
(7509|7509) Shutting subscriber down ...
(7509|7509) deleting entities1
(7510|7510) deleting entities1
(7510|7510) deleting participant1
(7510|7510) Shutting publisher down ...
(7509|7509) deleting participant1
(7510|7510) Publisher shutdown complete.
(7510|7510) done.
(7509|7509) Subscriber shutting down svc part
(7509|7509) Subscriber shutdown complete
(7509|7509) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->23
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7538
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7545 started at 2023-04-26 16:22:09
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7546 started at 2023-04-26 16:22:09
(7545|7545) Running colocation opt none
(7538|7538) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7545|7545) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7546|7546) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7545|7545) Topic name: Xyz::Foo
(7546|7546) Topic name: Xyz::Foo
(7545|7545) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7545|7545) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7545|7545) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7545|7545) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7546|7546) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7546|7546) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7546|7546) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7546|7546) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7546|7546) Writer::run_test begins.
(7546|7546)  16:22:10.052959 Writer::run_test starting to write pass 1
(7546|7546)  16:22:10.053607 Writer::run_test done writing.
(7546|7546)  16:22:11.053847 Writer::run_test starting to write pass 2
(7546|7546)  16:22:11.054209 Writer::run_test done writing.
(7546|7546)  16:22:12.054501 Writer::run_test starting to write pass 3
(7546|7546)  16:22:12.054866 Writer::run_test done writing.
(7546|7546)  16:22:13.055182 Writer::run_test starting to write pass 4
(7546|7546)  16:22:13.055560 Writer::run_test done writing.
(7546|7546)  16:22:14.055849 Writer::run_test starting to write pass 5
(7546|7546)  16:22:14.056227 Writer::run_test done writing.
(7546|7546) Writer::run_test finished.
(7545|7545) Shutting subscriber down ...
(7545|7545) deleting entities1
(7546|7546) deleting entities1
(7546|7546) deleting participant1
(7546|7546) Shutting publisher down ...
(7545|7545) deleting participant1
(7545|7545) Subscriber shutting down svc part
(7546|7546) Publisher shutdown complete.
(7546|7546) done.
(7545|7545) Subscriber shutdown complete
(7545|7545) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->24
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7573
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7580 started at 2023-04-26 16:22:15
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7581 started at 2023-04-26 16:22:15
(7580|7580) Running colocation opt none
(7573|7573) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7580|7580) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7581|7581) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7580|7580) Topic name: Xyz::Foo
(7581|7581) Topic name: Xyz::Foo
(7580|7580) Check if 'udp1' is among supported [udp1]?
(7581|7581) Check if 'udp1' is among supported [udp1]?
(7581|7581) Writer::run_test begins.
(7581|7581)  16:22:15.477967 Writer::run_test starting to write pass 1
(7581|7581)  16:22:15.478569 Writer::run_test done writing.
(7581|7581)  16:22:16.478884 Writer::run_test starting to write pass 2
(7581|7581)  16:22:16.479242 Writer::run_test done writing.
(7581|7581)  16:22:17.479511 Writer::run_test starting to write pass 3
(7581|7581)  16:22:17.479871 Writer::run_test done writing.
(7581|7581)  16:22:18.480152 Writer::run_test starting to write pass 4
(7581|7581)  16:22:18.480514 Writer::run_test done writing.
(7581|7581)  16:22:19.480841 Writer::run_test starting to write pass 5
(7581|7581)  16:22:19.481196 Writer::run_test done writing.
(7581|7581) Writer::run_test finished.
(7580|7580) Shutting subscriber down ...
(7580|7580) deleting entities1
(7580|7580) deleting participant1
(7580|7580) Subscriber shutting down svc part
(7580|7580) Subscriber shutdown complete
(7580|7580) done.
(7581|7581) deleting entities1
(7581|7581) deleting participant1
(7581|7581) Shutting publisher down ...
(7581|7581) Publisher shutdown complete.
(7581|7581) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->25
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7596
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7603 started at 2023-04-26 16:22:20
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7604 started at 2023-04-26 16:22:20
(7603|7603) Running colocation opt none
(7596|7596) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7604|7604) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7603|7603) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7604|7604) Topic name: Xyz::Foo
(7603|7603) Topic name: Xyz::Foo
(7604|7604) Check if 'udp1' is among supported [udp1]?
(7604|7604) Writer::run_test begins.
(7603|7603) Check if 'udp1' is among supported [udp1]?
(7604|7604)  16:22:20.889500 Writer::run_test starting to write pass 1
(7604|7604)  16:22:20.890114 Writer::run_test done writing.
(7604|7604)  16:22:21.890432 Writer::run_test starting to write pass 2
(7604|7604)  16:22:21.890790 Writer::run_test done writing.
(7604|7604)  16:22:22.891087 Writer::run_test starting to write pass 3
(7604|7604)  16:22:22.891464 Writer::run_test done writing.
(7604|7604)  16:22:23.891776 Writer::run_test starting to write pass 4
(7604|7604)  16:22:23.892129 Writer::run_test done writing.
(7604|7604)  16:22:24.892443 Writer::run_test starting to write pass 5
(7604|7604)  16:22:24.892785 Writer::run_test done writing.
(7604|7604) Writer::run_test finished.
(7603|7603) Shutting subscriber down ...
(7603|7603) deleting entities1
(7604|7604) deleting entities1
(7604|7604) deleting participant1
(7604|7604) Shutting publisher down ...
(7604|7604) Publisher shutdown complete.
(7604|7604) done.
(7603|7603) deleting participant1
(7603|7603) Subscriber shutting down svc part
(7603|7603) Subscriber shutdown complete
(7603|7603) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->26
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7619
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7626 started at 2023-04-26 16:22:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7627 started at 2023-04-26 16:22:26
(7626|7626) Running colocation opt none
(7619|7619) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7627|7627) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7626|7626) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7627|7627) Topic name: Xyz::Foo
(7626|7626) Topic name: Xyz::Foo
(7627|7627) Check if 'udp1' is among supported [udp1]?
(7627|7627) Writer::run_test begins.
(7626|7626) Check if 'udp1' is among supported [udp1]?
(7627|7627)  16:22:26.304189 Writer::run_test starting to write pass 1
(7627|7627)  16:22:26.304820 Writer::run_test done writing.
(7627|7627)  16:22:27.305219 Writer::run_test starting to write pass 2
(7627|7627)  16:22:27.305585 Writer::run_test done writing.
(7627|7627)  16:22:28.305902 Writer::run_test starting to write pass 3
(7627|7627)  16:22:28.306258 Writer::run_test done writing.
(7627|7627)  16:22:29.306551 Writer::run_test starting to write pass 4
(7627|7627)  16:22:29.306915 Writer::run_test done writing.
(7627|7627)  16:22:30.307208 Writer::run_test starting to write pass 5
(7627|7627)  16:22:30.307555 Writer::run_test done writing.
(7627|7627) Writer::run_test finished.
(7626|7626) Shutting subscriber down ...
(7626|7626) deleting entities1
(7627|7627) deleting entities1
(7627|7627) deleting participant1
(7627|7627) Shutting publisher down ...
(7627|7627) Publisher shutdown complete.
(7627|7627) done.
(7626|7626) deleting participant1
(7626|7626) Subscriber shutting down svc part
(7626|7626) Subscriber shutdown complete
(7626|7626) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->27
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7642
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -f _OPENDDS_0300_UDP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7649 started at 2023-04-26 16:22:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -f _OPENDDS_0300_UDP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7650 started at 2023-04-26 16:22:31
(7649|7649) Running colocation opt none
(7642|7642) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7649|7649) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7650|7650) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7649|7649) Topic name: Xyz::Foo
(7649|7649) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7649|7649) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7649|7649) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7649|7649) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7650|7650) Topic name: Xyz::Foo
(7650|7650) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7650|7650) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7650|7650) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7650|7650) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(7650|7650) Writer::run_test begins.
(7650|7650)  16:22:31.735539 Writer::run_test starting to write pass 1
(7650|7650)  16:22:31.736272 Writer::run_test done writing.
(7650|7650)  16:22:32.736572 Writer::run_test starting to write pass 2
(7650|7650)  16:22:32.737112 Writer::run_test done writing.
(7650|7650)  16:22:33.737436 Writer::run_test starting to write pass 3
(7650|7650)  16:22:33.737799 Writer::run_test done writing.
(7650|7650)  16:22:34.738055 Writer::run_test starting to write pass 4
(7650|7650)  16:22:34.738419 Writer::run_test done writing.
(7650|7650)  16:22:35.738712 Writer::run_test starting to write pass 5
(7650|7650)  16:22:35.739057 Writer::run_test done writing.
(7650|7650) Writer::run_test finished.
(7650|7650) Check if '_OPENDDS_0300_UDP' is among negotiated [_OPENDDS_0300_UDP]?
(7649|7649) Shutting subscriber down ...
(7649|7649) deleting entities1
(7649|7649) deleting participant1
(7649|7649) Subscriber shutting down svc part
(7649|7649) Subscriber shutdown complete
(7649|7649) done.
(7650|7650) deleting entities1
(7650|7650) deleting participant1
(7650|7650) Shutting publisher down ...
(7650|7650) Publisher shutdown complete.
(7650|7650) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->28
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7691
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7698 started at 2023-04-26 16:22:36
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7699 started at 2023-04-26 16:22:36
(7698|7698) Running colocation opt none
(7691|7691) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7698|7698) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7699|7699) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7698|7698) Topic name: Xyz::Foo
(7699|7699) Topic name: Xyz::Foo
(7698|7698) Check if 'udp1' is among supported [udp1]?
(7699|7699) Check if 'udp1' is among supported [udp1]?
(7699|7699) Writer::run_test begins.
(7699|7699)  16:22:37.169060 Writer::run_test starting to write pass 1
(7699|7699)  16:22:37.169723 Writer::run_test done writing.
(7698|7711) Check if 'udp1' is among negotiated [udp1]?
(7699|7699)  16:22:38.170059 Writer::run_test starting to write pass 2
(7699|7699)  16:22:38.170419 Writer::run_test done writing.
(7698|7711) Check if 'udp1' is among negotiated [udp1]?
(7699|7699)  16:22:39.170824 Writer::run_test starting to write pass 3
(7699|7699)  16:22:39.171183 Writer::run_test done writing.
(7698|7711) Check if 'udp1' is among negotiated [udp1]?
(7699|7699)  16:22:40.171485 Writer::run_test starting to write pass 4
(7699|7699)  16:22:40.171855 Writer::run_test done writing.
(7698|7711) Check if 'udp1' is among negotiated [udp1]?
(7699|7699)  16:22:41.172131 Writer::run_test starting to write pass 5
(7699|7699)  16:22:41.172481 Writer::run_test done writing.
(7698|7711) Check if 'udp1' is among negotiated [udp1]?
(7699|7699) Writer::run_test finished.
(7699|7699) Check if 'udp1' is among negotiated [udp1]?
(7698|7711) Check if 'udp1' is among negotiated [udp1]?
(7698|7698) Shutting subscriber down ...
(7698|7698) deleting entities1
(7698|7698) deleting participant1
(7698|7698) Subscriber shutting down svc part
(7698|7698) Subscriber shutdown complete
(7698|7698) done.
(7699|7699) deleting entities1
(7699|7699) deleting participant1
(7699|7699) Shutting publisher down ...
(7699|7699) Publisher shutdown complete.
(7699|7699) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->29
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7715
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e participant -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7722 started at 2023-04-26 16:22:42
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e participant -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7723 started at 2023-04-26 16:22:42
(7722|7722) Running colocation opt none
(7715|7715) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7722|7722) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7723|7723) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7722|7722) Topic name: Xyz::Foo
(7723|7723) Topic name: Xyz::Foo
(7722|7722) Check if 'mytcp1' is among supported [mytcp1]?
(7723|7723) Check if 'mytcp1' is among supported [mytcp1]?
(7723|7723) Writer::run_test begins.
(7723|7723)  16:22:42.571489 Writer::run_test starting to write pass 1
(7723|7723)  16:22:42.571965 Writer::run_test done writing.
(7722|7735) Check if 'mytcp1' is among negotiated [mytcp1]?
(7723|7723)  16:22:43.572261 Writer::run_test starting to write pass 2
(7723|7723)  16:22:43.572514 Writer::run_test done writing.
(7722|7735) Check if 'mytcp1' is among negotiated [mytcp1]?
(7723|7723)  16:22:44.572752 Writer::run_test starting to write pass 3
(7723|7723)  16:22:44.572999 Writer::run_test done writing.
(7722|7735) Check if 'mytcp1' is among negotiated [mytcp1]?
(7723|7723)  16:22:45.573311 Writer::run_test starting to write pass 4
(7723|7723)  16:22:45.573576 Writer::run_test done writing.
(7722|7735) Check if 'mytcp1' is among negotiated [mytcp1]?
(7723|7723)  16:22:46.573818 Writer::run_test starting to write pass 5
(7723|7723)  16:22:46.574056 Writer::run_test done writing.
(7722|7735) Check if 'mytcp1' is among negotiated [mytcp1]?
(7723|7723) Writer::run_test finished.
(7723|7723) Check if 'mytcp1' is among negotiated [mytcp1]?
(7722|7735) Check if 'mytcp1' is among negotiated [mytcp1]?
(7722|7722) Shutting subscriber down ...
(7722|7722) deleting entities1
(7722|7722) deleting participant1
(7722|7722) Subscriber shutting down svc part
(7723|7723) deleting entities1
(7723|7723) deleting participant1
(7722|7722) Subscriber shutdown complete
(7722|7722) done.
(7723|7723) Shutting publisher down ...
(7723|7723) Publisher shutdown complete.
(7723|7723) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->30
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7741
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7748 started at 2023-04-26 16:22:47
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7749 started at 2023-04-26 16:22:47
(7748|7748) Running colocation opt none
(7741|7741) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7749|7749) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7748|7748) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7749|7749) Topic name: Xyz::Foo
(7748|7748) Topic name: Xyz::Foo
(7749|7749) Check if 'udp1' is among supported [udp1]?
(7749|7749) Writer::run_test begins.
(7748|7748) Check if 'udp1' is among supported [udp1]?
(7749|7749)  16:22:48.023490 Writer::run_test starting to write pass 1
(7749|7749)  16:22:48.024139 Writer::run_test done writing.
(7748|7763) Check if 'udp1' is among negotiated [udp1]?
(7749|7749)  16:22:49.024489 Writer::run_test starting to write pass 2
(7749|7749)  16:22:49.024843 Writer::run_test done writing.
(7748|7763) Check if 'udp1' is among negotiated [udp1]?
(7749|7749)  16:22:50.025140 Writer::run_test starting to write pass 3
(7749|7749)  16:22:50.025525 Writer::run_test done writing.
(7748|7763) Check if 'udp1' is among negotiated [udp1]?
(7749|7749)  16:22:51.025773 Writer::run_test starting to write pass 4
(7749|7749)  16:22:51.026121 Writer::run_test done writing.
(7748|7763) Check if 'udp1' is among negotiated [udp1]?
(7749|7749)  16:22:52.026389 Writer::run_test starting to write pass 5
(7749|7749)  16:22:52.026736 Writer::run_test done writing.
(7748|7763) Check if 'udp1' is among negotiated [udp1]?
(7749|7749) Writer::run_test finished.
(7749|7749) Check if 'udp1' is among negotiated [udp1]?
(7748|7763) Check if 'udp1' is among negotiated [udp1]?
(7748|7748) Shutting subscriber down ...
(7748|7748) deleting entities1
(7749|7749) deleting entities1
(7749|7749) deleting participant1
(7749|7749) Shutting publisher down ...
(7749|7749) Publisher shutdown complete.
(7749|7749) done.
(7748|7748) deleting participant1
(7748|7748) Subscriber shutting down svc part
(7748|7748) Subscriber shutdown complete
(7748|7748) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->31
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7764
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7771 started at 2023-04-26 16:22:53
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7772 started at 2023-04-26 16:22:53
(7771|7771) Running colocation opt none
(7764|7764) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7771|7771) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7772|7772) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7772|7772) Topic name: Xyz::Foo
(7771|7771) Topic name: Xyz::Foo
(7771|7771) Check if 'mytcp1' is among supported [mytcp1]?
(7772|7772) Check if 'mytcp1' is among supported [mytcp1]?
(7772|7772) Writer::run_test begins.
(7772|7772)  16:22:53.498162 Writer::run_test starting to write pass 1
(7772|7772)  16:22:53.498632 Writer::run_test done writing.
(7771|7785) Check if 'mytcp1' is among negotiated [mytcp1]?
(7772|7772)  16:22:54.498930 Writer::run_test starting to write pass 2
(7772|7772)  16:22:54.499173 Writer::run_test done writing.
(7771|7785) Check if 'mytcp1' is among negotiated [mytcp1]?
(7772|7772)  16:22:55.499453 Writer::run_test starting to write pass 3
(7772|7772)  16:22:55.499720 Writer::run_test done writing.
(7771|7785) Check if 'mytcp1' is among negotiated [mytcp1]?
(7772|7772)  16:22:56.500075 Writer::run_test starting to write pass 4
(7772|7772)  16:22:56.500314 Writer::run_test done writing.
(7771|7785) Check if 'mytcp1' is among negotiated [mytcp1]?
(7772|7772)  16:22:57.500576 Writer::run_test starting to write pass 5
(7772|7772)  16:22:57.500829 Writer::run_test done writing.
(7771|7785) Check if 'mytcp1' is among negotiated [mytcp1]?
(7772|7772) Writer::run_test finished.
(7772|7772) Check if 'mytcp1' is among negotiated [mytcp1]?
(7771|7785) Check if 'mytcp1' is among negotiated [mytcp1]?
(7771|7771) Shutting subscriber down ...
(7771|7771) deleting entities1
(7771|7771) deleting participant1
(7771|7771) Subscriber shutting down svc part
(7771|7771) Subscriber shutdown complete
(7771|7771) done.
(7772|7772) deleting entities1
(7772|7772) deleting participant1
(7772|7772) Shutting publisher down ...
(7772|7772) Publisher shutdown complete.
(7772|7772) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->32
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7790
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7797 started at 2023-04-26 16:22:58
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7798 started at 2023-04-26 16:22:58
(7797|7797) Running colocation opt none
(7790|7790) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7798|7798) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7797|7797) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7798|7798) Topic name: Xyz::Foo
(7797|7797) Topic name: Xyz::Foo
(7797|7797) Check if 'udp1' is among supported [udp1]?
(7798|7798) Check if 'udp1' is among supported [udp1]?
(7798|7798) Writer::run_test begins.
(7798|7798)  16:22:58.924535 Writer::run_test starting to write pass 1
(7797|7810) Check if 'udp1' is among negotiated [udp1]?
(7798|7798)  16:22:58.926713 Writer::run_test done writing.
(7798|7798)  16:22:59.926995 Writer::run_test starting to write pass 2
(7797|7810) Check if 'udp1' is among negotiated [udp1]?
(7798|7798)  16:22:59.927896 Writer::run_test done writing.
(7798|7798)  16:23:00.928172 Writer::run_test starting to write pass 3
(7797|7810) Check if 'udp1' is among negotiated [udp1]?
(7798|7798)  16:23:00.929078 Writer::run_test done writing.
(7798|7798)  16:23:01.929387 Writer::run_test starting to write pass 4
(7797|7810) Check if 'udp1' is among negotiated [udp1]?
(7798|7798)  16:23:01.930247 Writer::run_test done writing.
(7798|7798)  16:23:02.930503 Writer::run_test starting to write pass 5
(7797|7810) Check if 'udp1' is among negotiated [udp1]?
(7798|7798)  16:23:02.931630 Writer::run_test done writing.
(7798|7798) Writer::run_test finished.
(7798|7798) Check if 'udp1' is among negotiated [udp1]?
(7797|7810) Check if 'udp1' is among negotiated [udp1]?
(7797|7797) Shutting subscriber down ...
(7797|7797) deleting entities1
(7798|7798) deleting entities1
(7798|7798) deleting participant1
(7798|7798) Shutting publisher down ...
(7797|7797) deleting participant1
(7798|7798) Publisher shutdown complete.
(7798|7798) done.
(7797|7797) Subscriber shutting down svc part
(7797|7797) Subscriber shutdown complete
(7797|7797) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->33
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7814
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 7821 started at 2023-04-26 16:23:04
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 7822 started at 2023-04-26 16:23:04
(7821|7821) Running colocation opt none
(7814|7814) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7822|7822) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7821|7821) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7822|7822) Topic name: Xyz::Foo
(7821|7821) Topic name: Xyz::Foo
(7822|7822) Check if 'mytcp1' is among supported [mytcp1]?
(7822|7822) Writer::run_test begins.
(7821|7821) Check if 'mytcp1' is among supported [mytcp1]?
(7822|7822)  16:23:04.457333 Writer::run_test starting to write pass 1
(7822|7822)  16:23:04.458027 Writer::run_test done writing.
(7821|7836) Check if 'mytcp1' is among negotiated [mytcp1]?
(7822|7822)  16:23:05.458284 Writer::run_test starting to write pass 2
(7822|7822)  16:23:05.458632 Writer::run_test done writing.
(7821|7836) Check if 'mytcp1' is among negotiated [mytcp1]?
(7822|7822)  16:23:06.458858 Writer::run_test starting to write pass 3
(7822|7822)  16:23:06.459100 Writer::run_test done writing.
(7821|7836) Check if 'mytcp1' is among negotiated [mytcp1]?
(7822|7822)  16:23:07.459301 Writer::run_test starting to write pass 4
(7822|7822)  16:23:07.459579 Writer::run_test done writing.
(7821|7836) Check if 'mytcp1' is among negotiated [mytcp1]?
(7822|7822)  16:23:08.459898 Writer::run_test starting to write pass 5
(7822|7822)  16:23:08.460164 Writer::run_test done writing.
(7821|7836) Check if 'mytcp1' is among negotiated [mytcp1]?
(7822|7822) Writer::run_test finished.
(7822|7822) Check if 'mytcp1' is among negotiated [mytcp1]?
(7821|7836) Check if 'mytcp1' is among negotiated [mytcp1]?
(7821|7821) Shutting subscriber down ...
(7821|7821) deleting entities1
(7822|7822) deleting entities1
(7822|7822) deleting participant1
(7822|7822) Shutting publisher down ...
(7821|7821) deleting participant1
(7822|7822) Publisher shutdown complete.
(7822|7822) done.
(7821|7821) Subscriber shutting down svc part
(7821|7821) Subscriber shutdown complete
(7821|7821) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->34
status->0
failed->0
PASSED: 34

auto_run_tests_finished: tests/DCPS/ConfigTransports/run_test.pl Time:151s Result:0

==============================================================================

tests/DCPS/RtpsMessages/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsMessages/RtpsMessagesTest  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile RtpsMessagesTest.log -DCPSPendingTimeout 3 
RtpsMessagesTest PID: 7840 started at 2023-04-26 16:23:09
test PASSED.

auto_run_tests_finished: tests/DCPS/RtpsMessages/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/RtpsDiscovery/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/RtpsDiscoveryTest -DCPSConfigFile rtps_disc.ini -DCPSPendingTimeout 3 
test PID: 7842 started at 2023-04-26 16:23:09
(7842|7842) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
7842 Sub Domain Participant GUID=0103000c.297a35f2.1ea2a594.000001c1(47f1638c)
7842 Pub Domain Participant GUID=0103000c.297a35f2.1ea2a595.000001c1(7a914a3c)
7842 Read Participant BIT GUID=0103000c.297a35f2.1ea2a595.000001c1(7a914a3c) handle=3
7842 0103000c.297a35f2.1ea2a594.000001c1(47f1638c) discovered 0103000c.297a35f2.1ea2a595.000001c1(7a914a3c)
7842 0103000c.297a35f2.1ea2a595.000001c1(7a914a3c) discovered 0103000c.297a35f2.1ea2a594.000001c1(47f1638c)
7842 Read Publication BIT with key: 0103000c.297a35f2.1ea2a595.00000003(16e0d8e1) and handle 10
	Participant's GUID=0103000c.297a35f2.1ea2a595.000001c1(7a914a3c)
	Topic: Movie Discussion List	Type: TestMsg
7842 Read Subscription BIT with key: 0103000c.297a35f2.1ea2a594.00000004(b5e464f2) and handle 12
	Participant's GUID=0103000c.297a35f2.1ea2a594.000001c1(47f1638c)
	Topic: Movie Discussion List	Type: TestMsg
7842 Read Publication BIT with key: 0103000c.297a35f2.1ea2a595.01000003(ae5cbf84) and handle 13
	Participant's GUID=0103000c.297a35f2.1ea2a595.000001c1(7a914a3c)
	Topic: Movie Discussion List	Type: TestMsg
7842 Read data sample: 42
7842 Read Participant BIT GUID=0103000c.297a35f2.1ea2a595.000001c1(7a914a3c) handle=3
7842 Read Publication BIT with key: 0103000c.297a35f2.1ea2a595.01000003(ae5cbf84) and handle 13
	Participant's GUID=0103000c.297a35f2.1ea2a595.000001c1(7a914a3c)
	Topic: Movie Discussion List	Type: TestMsg
7842 Read Subscription BIT with key: 0103000c.297a35f2.1ea2a594.00000004(b5e464f2) and handle 12
	Participant's GUID=0103000c.297a35f2.1ea2a594.000001c1(47f1638c)
	Topic: Movie Discussion List	Type: TestMsg
7842 Read Publication BIT with key: 0103000c.297a35f2.1ea2a595.01000003(ae5cbf84) and handle 13
	Participant's GUID=0103000c.297a35f2.1ea2a595.000001c1(7a914a3c)
	Topic: Movie Discussion List	Type: TestMsg
7842 Read Subscription BIT with key: 0103000c.297a35f2.1ea2a594.00000004(b5e464f2) and handle 12
	Participant's GUID=0103000c.297a35f2.1ea2a594.000001c1(47f1638c)
	Topic: Movie Discussion List	Type: TestMsg
7842 Cleaning up test
test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/RtpsDiscoveryTest -DCPSConfigFile rtps_disc_tcp.ini -DCPSPendingTimeout 3 
test PID: 7852 started at 2023-04-26 16:23:21
7852 Sub Domain Participant GUID=0103000c.297a35f2.1eac133d.000001c1(a66a155e)
7852 Pub Domain Participant GUID=0103000c.297a35f2.1eac133e.000001c1(e1ca6f8e)
7852 Read Participant BIT GUID=0103000c.297a35f2.1eac133e.000001c1(e1ca6f8e) handle=3
7852 0103000c.297a35f2.1eac133d.000001c1(a66a155e) discovered 0103000c.297a35f2.1eac133e.000001c1(e1ca6f8e)
7852 0103000c.297a35f2.1eac133e.000001c1(e1ca6f8e) discovered 0103000c.297a35f2.1eac133d.000001c1(a66a155e)
(7852|7852) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
7852 Read Publication BIT with key: 0103000c.297a35f2.1eac133e.00000003(8dbbfd53) and handle 10
	Participant's GUID=0103000c.297a35f2.1eac133e.000001c1(e1ca6f8e)
	Topic: Movie Discussion List	Type: TestMsg
7852 Read Subscription BIT with key: 0103000c.297a35f2.1eac133d.00000004(547f1220) and handle 12
	Participant's GUID=0103000c.297a35f2.1eac133d.000001c1(a66a155e)
	Topic: Movie Discussion List	Type: TestMsg
7852 Read Publication BIT with key: 0103000c.297a35f2.1eac133e.01000003(35079a36) and handle 13
	Participant's GUID=0103000c.297a35f2.1eac133e.000001c1(e1ca6f8e)
	Topic: Movie Discussion List	Type: TestMsg
7852 Read data sample: 42
7852 Read Participant BIT GUID=0103000c.297a35f2.1eac133e.000001c1(e1ca6f8e) handle=3
7852 Read Publication BIT with key: 0103000c.297a35f2.1eac133e.01000003(35079a36) and handle 13
	Participant's GUID=0103000c.297a35f2.1eac133e.000001c1(e1ca6f8e)
	Topic: Movie Discussion List	Type: TestMsg
7852 Read Subscription BIT with key: 0103000c.297a35f2.1eac133d.00000004(547f1220) and handle 12
	Participant's GUID=0103000c.297a35f2.1eac133d.000001c1(a66a155e)
	Topic: Movie Discussion List	Type: TestMsg
7852 Read Publication BIT with key: 0103000c.297a35f2.1eac133e.01000003(35079a36) and handle 13
	Participant's GUID=0103000c.297a35f2.1eac133e.000001c1(e1ca6f8e)
	Topic: Movie Discussion List	Type: TestMsg
7852 Read Subscription BIT with key: 0103000c.297a35f2.1eac133d.00000004(547f1220) and handle 12
	Participant's GUID=0103000c.297a35f2.1eac133d.000001c1(a66a155e)
	Topic: Movie Discussion List	Type: TestMsg
7852 Cleaning up test
test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/RtpsDiscoveryTest -DCPSConfigFile rtps_disc_group.ini -DCPSPendingTimeout 3 
test PID: 7868 started at 2023-04-26 16:23:33
(7868|7868) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
7868 Sub Domain Participant GUID=0103000c.297a35f2.1ebce7eb.000001c1(49025866)
7868 Pub Domain Participant GUID=0103000c.297a35f2.1ebce7ec.000001c1(fb228476)
7868 Read Participant BIT GUID=0103000c.297a35f2.1ebce7ec.000001c1(fb228476) handle=3
7868 0103000c.297a35f2.1ebce7eb.000001c1(49025866) discovered 0103000c.297a35f2.1ebce7ec.000001c1(fb228476)
7868 0103000c.297a35f2.1ebce7ec.000001c1(fb228476) discovered 0103000c.297a35f2.1ebce7eb.000001c1(49025866)
7868 Read Publication BIT with key: 0103000c.297a35f2.1ebce7ec.00000003(975316ab) and handle 10
	Participant's GUID=0103000c.297a35f2.1ebce7ec.000001c1(fb228476)
	Topic: Movie Discussion List	Type: TestMsg
7868 Read Subscription BIT with key: 0103000c.297a35f2.1ebce7eb.00000004(bb175f18) and handle 12
	Participant's GUID=0103000c.297a35f2.1ebce7eb.000001c1(49025866)
	Topic: Movie Discussion List	Type: TestMsg
7868 Read Publication BIT with key: 0103000c.297a35f2.1ebce7ec.01000003(2fef71ce) and handle 13
	Participant's GUID=0103000c.297a35f2.1ebce7ec.000001c1(fb228476)
	Topic: Movie Discussion List	Type: TestMsg
7868 Read data sample: 42
7868 Read Participant BIT GUID=0103000c.297a35f2.1ebce7ec.000001c1(fb228476) handle=3
7868 Read Publication BIT with key: 0103000c.297a35f2.1ebce7ec.01000003(2fef71ce) and handle 13
	Participant's GUID=0103000c.297a35f2.1ebce7ec.000001c1(fb228476)
	Topic: Movie Discussion List	Type: TestMsg
7868 Read Subscription BIT with key: 0103000c.297a35f2.1ebce7eb.00000004(bb175f18) and handle 12
	Participant's GUID=0103000c.297a35f2.1ebce7eb.000001c1(49025866)
	Topic: Movie Discussion List	Type: TestMsg
7868 Read Publication BIT with key: 0103000c.297a35f2.1ebce7ec.01000003(2fef71ce) and handle 13
	Participant's GUID=0103000c.297a35f2.1ebce7ec.000001c1(fb228476)
	Topic: Movie Discussion List	Type: TestMsg
7868 Read Subscription BIT with key: 0103000c.297a35f2.1ebce7eb.00000004(bb175f18) and handle 12
	Participant's GUID=0103000c.297a35f2.1ebce7eb.000001c1(49025866)
	Topic: Movie Discussion List	Type: TestMsg
7868 Cleaning up test
test PASSED.
Running sedp discovery leak test (different user data)
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/RtpsDiscoveryTest -DCPSConfigFile rtps_disc_group.ini -DCPSPendingTimeout 3 
test1 PID: 7878 started at 2023-04-26 16:23:44
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/RtpsDiscoveryTest -DCPSConfigFile rtps_disc_group.ini -value_base 100 -DCPSPendingTimeout 3 
test2 PID: 7879 started at 2023-04-26 16:23:44
(7879|7879) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(7878|7878) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
7879 TestConfig::set base=100
7878 Sub Domain Participant GUID=0103000c.297a35f2.1ec6da85.000001c1(9ffc0a5c)
7878 Pub Domain Participant GUID=0103000c.297a35f2.1ec6da86.000001c1(d85c708c)
7879 Sub Domain Participant GUID=0103000c.297a35f2.1ec71d79.000001c1(b8a292b8)
7879 Pub Domain Participant GUID=0103000c.297a35f2.1ec71d7a.000001c1(ff02e868)
7879 Read Participant BIT GUID=0103000c.297a35f2.1ec71d7a.000001c1(ff02e868) handle=3
7878 Read Participant BIT GUID=0103000c.297a35f2.1ec6da86.000001c1(d85c708c) handle=3
7878 0103000c.297a35f2.1ec6da85.000001c1(9ffc0a5c) discovered 0103000c.297a35f2.1ec6da86.000001c1(d85c708c)
7879 0103000c.297a35f2.1ec71d79.000001c1(b8a292b8) discovered 0103000c.297a35f2.1ec71d7a.000001c1(ff02e868)
7878 0103000c.297a35f2.1ec6da86.000001c1(d85c708c) discovered 0103000c.297a35f2.1ec6da85.000001c1(9ffc0a5c)
7879 0103000c.297a35f2.1ec71d7a.000001c1(ff02e868) discovered 0103000c.297a35f2.1ec71d79.000001c1(b8a292b8)
7879 Read Publication BIT with key: 0103000c.297a35f2.1ec71d7a.00000003(93737ab5) and handle 10
	Participant's GUID=0103000c.297a35f2.1ec71d7a.000001c1(ff02e868)
	Topic: Movie Discussion List	Type: TestMsg
7878 Read Publication BIT with key: 0103000c.297a35f2.1ec6da86.00000003(b42de251) and handle 10
	Participant's GUID=0103000c.297a35f2.1ec6da86.000001c1(d85c708c)
	Topic: Movie Discussion List	Type: TestMsg
7878 Read Subscription BIT with key: 0103000c.297a35f2.1ec6da85.00000004(6de90d22) and handle 12
	Participant's GUID=0103000c.297a35f2.1ec6da85.000001c1(9ffc0a5c)
	Topic: Movie Discussion List	Type: TestMsg
7879 Read Subscription BIT with key: 0103000c.297a35f2.1ec71d79.00000004(4ab795c6) and handle 12
	Participant's GUID=0103000c.297a35f2.1ec71d79.000001c1(b8a292b8)
	Topic: Movie Discussion List	Type: TestMsg
7879 Read Publication BIT with key: 0103000c.297a35f2.1ec71d7a.01000003(2bcf1dd0) and handle 13
	Participant's GUID=0103000c.297a35f2.1ec71d7a.000001c1(ff02e868)
	Topic: Movie Discussion List	Type: TestMsg
7879 Read data sample: 42
7878 Read Publication BIT with key: 0103000c.297a35f2.1ec6da86.01000003(0c918534) and handle 13
	Participant's GUID=0103000c.297a35f2.1ec6da86.000001c1(d85c708c)
	Topic: Movie Discussion List	Type: TestMsg
7878 Read data sample: 42
7879 Read Participant BIT GUID=0103000c.297a35f2.1ec71d7a.000001c1(ff02e868) handle=3
7879 Read Publication BIT with key: 0103000c.297a35f2.1ec71d7a.01000003(2bcf1dd0) and handle 13
	Participant's GUID=0103000c.297a35f2.1ec71d7a.000001c1(ff02e868)
	Topic: Movie Discussion List	Type: TestMsg
7879 Read Subscription BIT with key: 0103000c.297a35f2.1ec71d79.00000004(4ab795c6) and handle 12
	Participant's GUID=0103000c.297a35f2.1ec71d79.000001c1(b8a292b8)
	Topic: Movie Discussion List	Type: TestMsg
7878 Read Participant BIT GUID=0103000c.297a35f2.1ec6da86.000001c1(d85c708c) handle=3
7878 Read Publication BIT with key: 0103000c.297a35f2.1ec6da86.01000003(0c918534) and handle 13
	Participant's GUID=0103000c.297a35f2.1ec6da86.000001c1(d85c708c)
	Topic: Movie Discussion List	Type: TestMsg
7878 Read Subscription BIT with key: 0103000c.297a35f2.1ec6da85.00000004(6de90d22) and handle 12
	Participant's GUID=0103000c.297a35f2.1ec6da85.000001c1(9ffc0a5c)
	Topic: Movie Discussion List	Type: TestMsg
7879 Read Publication BIT with key: 0103000c.297a35f2.1ec71d7a.01000003(2bcf1dd0) and handle 13
	Participant's GUID=0103000c.297a35f2.1ec71d7a.000001c1(ff02e868)
	Topic: Movie Discussion List	Type: TestMsg
7879 Read Subscription BIT with key: 0103000c.297a35f2.1ec71d79.00000004(4ab795c6) and handle 12
	Participant's GUID=0103000c.297a35f2.1ec71d79.000001c1(b8a292b8)
	Topic: Movie Discussion List	Type: TestMsg
7878 Read Publication BIT with key: 0103000c.297a35f2.1ec6da86.01000003(0c918534) and handle 13
	Participant's GUID=0103000c.297a35f2.1ec6da86.000001c1(d85c708c)
	Topic: Movie Discussion List	Type: TestMsg
7878 Read Subscription BIT with key: 0103000c.297a35f2.1ec6da85.00000004(6de90d22) and handle 12
	Participant's GUID=0103000c.297a35f2.1ec6da85.000001c1(9ffc0a5c)
	Topic: Movie Discussion List	Type: TestMsg
7879 Cleaning up test
7878 Cleaning up test
test PASSED.
Running sedp discovery leak test (same user data)
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/RtpsDiscoveryTest -DCPSConfigFile rtps_disc_group.ini -DCPSPendingTimeout 3 
test1 PID: 7899 started at 2023-04-26 16:23:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/RtpsDiscoveryTest -DCPSConfigFile rtps_disc_group.ini  -DCPSPendingTimeout 3 
test2 PID: 7900 started at 2023-04-26 16:23:56
(7899|7899) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(7900|7900) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
7900 Sub Domain Participant GUID=0103000c.297a35f2.1edc2009.000001c1(9c4dae30)
7900 Pub Domain Participant GUID=0103000c.297a35f2.1edc200a.000001c1(dbedd4e0)
7899 Sub Domain Participant GUID=0103000c.297a35f2.1edbc3d5.000001c1(853f6bca)
7899 Pub Domain Participant GUID=0103000c.297a35f2.1edbc3d6.000001c1(c29f111a)
7899 Read Participant BIT GUID=0103000c.297a35f2.1edbc3d6.000001c1(c29f111a) handle=3
7900 Read Participant BIT GUID=0103000c.297a35f2.1edc200a.000001c1(dbedd4e0) handle=3
7900 0103000c.297a35f2.1edc2009.000001c1(9c4dae30) discovered 0103000c.297a35f2.1edc200a.000001c1(dbedd4e0)
7899 0103000c.297a35f2.1edbc3d5.000001c1(853f6bca) discovered 0103000c.297a35f2.1edbc3d6.000001c1(c29f111a)
7899 0103000c.297a35f2.1edbc3d6.000001c1(c29f111a) discovered 0103000c.297a35f2.1edbc3d5.000001c1(853f6bca)
7900 0103000c.297a35f2.1edc200a.000001c1(dbedd4e0) discovered 0103000c.297a35f2.1edc2009.000001c1(9c4dae30)
7900 Read Publication BIT with key: 0103000c.297a35f2.1edc200a.00000003(b79c463d) and handle 10
	Participant's GUID=0103000c.297a35f2.1edc200a.000001c1(dbedd4e0)
	Topic: Movie Discussion List	Type: TestMsg
7899 Read Publication BIT with key: 0103000c.297a35f2.1edbc3d6.00000003(aeee83c7) and handle 10
	Participant's GUID=0103000c.297a35f2.1edbc3d6.000001c1(c29f111a)
	Topic: Movie Discussion List	Type: TestMsg
7899 Read Subscription BIT with key: 0103000c.297a35f2.1edbc3d5.00000004(772a6cb4) and handle 12
	Participant's GUID=0103000c.297a35f2.1edbc3d5.000001c1(853f6bca)
	Topic: Movie Discussion List	Type: TestMsg
7900 Read Subscription BIT with key: 0103000c.297a35f2.1edc2009.00000004(6e58a94e) and handle 12
	Participant's GUID=0103000c.297a35f2.1edc2009.000001c1(9c4dae30)
	Topic: Movie Discussion List	Type: TestMsg
7900 Read Publication BIT with key: 0103000c.297a35f2.1edc200a.01000003(0f202158) and handle 13
	Participant's GUID=0103000c.297a35f2.1edc200a.000001c1(dbedd4e0)
	Topic: Movie Discussion List	Type: TestMsg
7899 Read Publication BIT with key: 0103000c.297a35f2.1edbc3d6.01000003(1652e4a2) and handle 13
	Participant's GUID=0103000c.297a35f2.1edbc3d6.000001c1(c29f111a)
	Topic: Movie Discussion List	Type: TestMsg
7900 Read data sample: 42
7899 Read data sample: 42
7899 Read Participant BIT GUID=0103000c.297a35f2.1edbc3d6.000001c1(c29f111a) handle=3
7899 Read Publication BIT with key: 0103000c.297a35f2.1edbc3d6.01000003(1652e4a2) and handle 13
	Participant's GUID=0103000c.297a35f2.1edbc3d6.000001c1(c29f111a)
	Topic: Movie Discussion List	Type: TestMsg
7899 Read Subscription BIT with key: 0103000c.297a35f2.1edbc3d5.00000004(772a6cb4) and handle 12
	Participant's GUID=0103000c.297a35f2.1edbc3d5.000001c1(853f6bca)
	Topic: Movie Discussion List	Type: TestMsg
7900 Read Participant BIT GUID=0103000c.297a35f2.1edc200a.000001c1(dbedd4e0) handle=3
7900 Read Publication BIT with key: 0103000c.297a35f2.1edc200a.01000003(0f202158) and handle 13
	Participant's GUID=0103000c.297a35f2.1edc200a.000001c1(dbedd4e0)
	Topic: Movie Discussion List	Type: TestMsg
7900 Read Subscription BIT with key: 0103000c.297a35f2.1edc2009.00000004(6e58a94e) and handle 12
	Participant's GUID=0103000c.297a35f2.1edc2009.000001c1(9c4dae30)
	Topic: Movie Discussion List	Type: TestMsg
7900 Read Publication BIT with key: 0103000c.297a35f2.1edc200a.01000003(0f202158) and handle 13
	Participant's GUID=0103000c.297a35f2.1edc200a.000001c1(dbedd4e0)
	Topic: Movie Discussion List	Type: TestMsg
7900 Read Subscription BIT with key: 0103000c.297a35f2.1edc2009.00000004(6e58a94e) and handle 12
	Participant's GUID=0103000c.297a35f2.1edc2009.000001c1(9c4dae30)
	Topic: Movie Discussion List	Type: TestMsg
7899 Read Publication BIT with key: 0103000c.297a35f2.1edbc3d6.01000003(1652e4a2) and handle 13
	Participant's GUID=0103000c.297a35f2.1edbc3d6.000001c1(c29f111a)
	Topic: Movie Discussion List	Type: TestMsg
7899 Read Subscription BIT with key: 0103000c.297a35f2.1edbc3d5.00000004(772a6cb4) and handle 12
	Participant's GUID=0103000c.297a35f2.1edbc3d5.000001c1(853f6bca)
	Topic: Movie Discussion List	Type: TestMsg
7900 Cleaning up test
7899 Cleaning up test
test PASSED.

auto_run_tests_finished: tests/DCPS/RtpsDiscovery/run_test.pl Time:58s Result:0

==============================================================================

tests/DCPS/MultiDiscovery/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7922
*********************************
MultiDiscoveryTest creates 3 processes, each with a DW and DR.
DW's in each process use different forms of discovery to find/associate with the DR in their respective domain.
One DW is specified as the 'origin' and sends 10 messages to its associated DR.
Upon receipt, DR's pass the message to their process's DW which add's its id to the message's from field
and relays the messgae on until the loop is completed at the 'origin' DR
*********************************
Spawning alpha - Writer (12) in domain 12 using default discovery and Reader (13) in domain 31 using rtps discovery
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiDiscovery/MultiDiscoveryTest -DCPSConfigFile config.ini -DCPSDebugLevel 0 -origin 1 -reliable 1 -dw_static_disc 0 -dr_static_disc 0 -wdomain 12 -rdomain 31 -writer 000012 -reader 000013 -DCPSPendingTimeout 3 
alpha PID: 7929 started at 2023-04-26 16:24:07
Spawning beta - Writer (23) in domain 23 using static discovery and Reader (21) in domain 12 using default discovery
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiDiscovery/MultiDiscoveryTest -DCPSConfigFile config.ini -DCPSDebugLevel 0 -origin 0 -reliable 1 -dw_static_disc 1 -dr_static_disc 0 -wdomain 23 -rdomain 12 -dw_participant 000000000023 -writer 000023 -reader 000021 -DCPSPendingTimeout 3 
beta PID: 7930 started at 2023-04-26 16:24:07
Spawning gamma - Writer (31) in domain 31 using rtps discovery and Reader (32) in domain 23 using static discovery
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiDiscovery/MultiDiscoveryTest -DCPSConfigFile config.ini -DCPSDebugLevel 0 -origin 0 -reliable 1 -dw_static_disc 0 -dr_static_disc 1 -wdomain 31 -rdomain 23 -dr_participant 000000000032 -writer 000031 -reader 000032 -DCPSPendingTimeout 3 
gamma PID: 7931 started at 2023-04-26 16:24:07
(7929|7929) INFO: set_DCPS_debug_level: set to 0
(7929|7929) NOTICE: using DCPSDebugLevel value from command option (overrides value if it's in config file)
(7929|7929) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(7930|7930) INFO: set_DCPS_debug_level: set to 0
(7930|7930) NOTICE: using DCPSDebugLevel value from command option (overrides value if it's in config file)
(7930|7930) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(7929|7929) Service_Participant::get_domain_participant_factory: This is OpenDDS 3.25.0-dev using ACE 6.2a_p27
(7929|7929) Service_Participant::get_domain_participant_factory: log_level: debug DCPS_debug_level: 0
(7929|7929) Service_Participant::get_domain_participant_factory: machine: flea, x86_64 platform: Linux, 2.6.32-642.el6.x86_64, #1 SMP Wed Apr 13 00:51:26 EDT 2016
(7929|7929) Service_Participant::get_domain_participant_factory: compiler: g++ version 4.4.0
(7930|7930) Service_Participant::get_domain_participant_factory: This is OpenDDS 3.25.0-dev using ACE 6.2a_p27
(7930|7930) Service_Participant::get_domain_participant_factory: log_level: debug DCPS_debug_level: 0
(7930|7930) Service_Participant::get_domain_participant_factory: machine: flea, x86_64 platform: Linux, 2.6.32-642.el6.x86_64, #1 SMP Wed Apr 13 00:51:26 EDT 2016
(7930|7930) Service_Participant::get_domain_participant_factory: compiler: g++ version 4.4.0
(7929|7929) main() - writer domain: 12
(7929|7929) main() - reader domain: 31
(7930|7930) main() - writer domain: 23
(7930|7930) main() - reader domain: 12
(7931|7931) INFO: set_DCPS_debug_level: set to 0
(7931|7931) NOTICE: using DCPSDebugLevel value from command option (overrides value if it's in config file)
(7931|7931) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(7931|7931) Service_Participant::get_domain_participant_factory: This is OpenDDS 3.25.0-dev using ACE 6.2a_p27
(7931|7931) Service_Participant::get_domain_participant_factory: log_level: debug DCPS_debug_level: 0
(7931|7931) Service_Participant::get_domain_participant_factory: machine: flea, x86_64 platform: Linux, 2.6.32-642.el6.x86_64, #1 SMP Wed Apr 13 00:51:26 EDT 2016
(7931|7931) Service_Participant::get_domain_participant_factory: compiler: g++ version 4.4.0
(7931|7931) main() - writer domain: 31
(7931|7931) main() - reader domain: 23
(7929|7935) INFO: MulticastManager::join: joined group 239.255.0.1:15282 on eth0/10.201.200.79 (0x21217a0 joined count 1)
(7929|7935) INFO: MulticastManager::join: joined group ff03::1:15282 on eth0/fe80::20c:29ff:fe7a:35f2 (0x21217a0 joined count 2)
(7929|7935) INFO: MulticastManager::join: joined group 239.255.0.1:15282 on lo/127.0.0.1 (0x21217a0 joined count 3)
(7929|7935) INFO: MulticastManager::join: joined group ff03::1:15282 on lo/::1 (0x21217a0 joined count 4)
(7929|7935) INFO: MulticastManager::join: joined group 239.255.0.1:15282 on virbr0/192.168.122.1 (0x21217a0 joined count 5)
(7931|7940) INFO: MulticastManager::join: joined group 239.255.0.1:15282 on eth0/10.201.200.79 (0x17da470 joined count 1)
(7931|7931) Starting DataWriter 000031
(7931|7940) INFO: MulticastManager::join: joined group ff03::1:15282 on eth0/fe80::20c:29ff:fe7a:35f2 (0x17da470 joined count 2)
(7931|7940) INFO: MulticastManager::join: joined group 239.255.0.1:15282 on lo/127.0.0.1 (0x17da470 joined count 3)
(7931|7940) INFO: MulticastManager::join: joined group ff03::1:15282 on lo/::1 (0x17da470 joined count 4)
(7931|7940) INFO: MulticastManager::join: joined group 239.255.0.1:15282 on virbr0/192.168.122.1 (0x17da470 joined count 5)
(7922|7922) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7931|7931) Starting DataReader 000032 using writer with id: 000031
(7930|7930) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7929|7929) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7930|7930) Starting DataWriter 000023
(7929|7929) Starting DataWriter 000012
(7930|7930) Starting DataReader 000021 using writer with id: 000023
(7929|7929) Starting DataReader 000013 using writer with id: 000012
(7929|7929) DataWriter 000012 is the 'origin' so spawn writer task
(7929|7959) WriterTask::svc - starting for writer_id: 000012
(7929|7959) DataWriter 000012 has 0 of 1 readers
(7929|7959) DataWriter 000012 has 1 of 1 readers
(7930|7955) DataReader 000021 has received message: 0 from: 000012
(7930|7955) DataWriter 000023 has 0 of 1 readers
(7929|7959) DataWriter 000012 is waiting for acknowledgments
(7930|7955) DataWriter 000023 has 1 of 1 readers
(7930|7955) DataReader 000021 has received message: 1 from: 000012
(7930|7955) DataWriter 000023 has 1 of 1 readers
(7930|7955) DataReader 000021 has received message: 2 from: 000012
(7930|7955) DataWriter 000023 has 1 of 1 readers
(7930|7955) DataReader 000021 has received message: 3 from: 000012
(7930|7955) DataWriter 000023 has 1 of 1 readers
(7930|7955) DataReader 000021 has received message: 4 from: 000012
(7930|7955) DataWriter 000023 has 1 of 1 readers
(7930|7955) DataReader 000021 has received message: 5 from: 000012
(7930|7955) DataWriter 000023 has 1 of 1 readers
(7930|7955) DataReader 000021 has received message: 6 from: 000012
(7930|7955) DataWriter 000023 has 1 of 1 readers
(7930|7955) DataReader 000021 has received message: 7 from: 000012
(7930|7955) DataWriter 000023 has 1 of 1 readers
(7930|7955) DataReader 000021 has received message: 8 from: 000012
(7930|7955) DataWriter 000023 has 1 of 1 readers
(7930|7955) DataReader 000021 has received message: 9 from: 000012
(7930|7955) DataWriter 000023 has 1 of 1 readers
(7930|7955) DataReader 000021 has received expected number of samples
(7930|7955) DataWriter 000023 is waiting for acknowledgments
(7931|7946) DataReader 000032 has received message: 0 from: 000012->000023
(7931|7946) DataWriter 000031 has 0 of 1 readers
(7931|7946) DataWriter 000031 has 1 of 1 readers
(7931|7946) DataReader 000032 has received message: 1 from: 000012->000023
(7931|7946) DataWriter 000031 has 1 of 1 readers
(7931|7946) DataReader 000032 has received message: 2 from: 000012->000023
(7931|7946) DataWriter 000031 has 1 of 1 readers
(7931|7946) DataReader 000032 has received message: 3 from: 000012->000023
(7931|7946) DataWriter 000031 has 1 of 1 readers
(7931|7946) DataReader 000032 has received message: 4 from: 000012->000023
(7931|7946) DataWriter 000031 has 1 of 1 readers
(7931|7946) DataReader 000032 has received message: 5 from: 000012->000023
(7931|7946) DataWriter 000031 has 1 of 1 readers
(7931|7946) DataReader 000032 has received message: 6 from: 000012->000023
(7931|7946) DataWriter 000031 has 1 of 1 readers
(7931|7946) DataReader 000032 has received message: 7 from: 000012->000023
(7931|7946) DataWriter 000031 has 1 of 1 readers
(7931|7946) DataReader 000032 has received message: 8 from: 000012->000023
(7931|7946) DataWriter 000031 has 1 of 1 readers
(7931|7946) DataReader 000032 has received message: 9 from: 000012->000023
(7931|7946) DataWriter 000031 has 1 of 1 readers
(7931|7946) DataReader 000032 has received expected number of samples
(7931|7946) DataWriter 000031 is waiting for acknowledgments
(7929|7958) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(7929|7958) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(7929|7958) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(7929|7958) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(7929|7958) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(7929|7958) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(7929|7958) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(7929|7958) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(7929|7958) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(7929|7958) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(7929|7958) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(7929|7958) DataReader 000013 has received message: 0 from: 000012->000023->000031
(7929|7958) DataReader 000013 has received message: 1 from: 000012->000023->000031
(7929|7958) DataReader 000013 has received message: 2 from: 000012->000023->000031
(7929|7958) DataReader 000013 has received message: 3 from: 000012->000023->000031
(7929|7958) DataReader 000013 has received message: 4 from: 000012->000023->000031
(7929|7958) DataReader 000013 has received message: 5 from: 000012->000023->000031
(7929|7958) DataReader 000013 has received message: 6 from: 000012->000023->000031
(7929|7958) DataReader 000013 has received message: 7 from: 000012->000023->000031
(7929|7958) DataReader 000013 has received message: 8 from: 000012->000023->000031
(7929|7958) DataReader 000013 has received message: 9 from: 000012->000023->000031
(7929|7958) DataReader 000013 has received expected number of samples
(7929|7959) DataWriter 000012 is done
(7931|7931) DataReader 000032 is done
(7931|7931) DataReader 000032 Expected number of samples received
(7929|7929) DataReader 000013 is done
(7929|7929) DataReader 000013 Expected number of samples received
(7930|7930) DataReader 000021 is done
(7930|7930) DataReader 000021 Expected number of samples received
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/MultiDiscovery/run_test.pl Time:7s Result:0

==============================================================================

tests/DCPS/DomainRange/run_test.pl #

*********************************
DomainRangeTest creates a single process with 1 DW and 4 DRs.

Domains and transports are dynamically configured from the
templates in config.ini. The DW in each domain sends 10
messages to its DRs.
*********************************
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DomainRange/DomainRangeTest -DCPSConfigFile config.ini -DCPSDebugLevel 10  -domain 2 -domain 8 -domain 20 -domain 50 -domain 8 -DCPSTransportDebugLevel 1 -ORBLogFile alpha.log -DCPSPendingTimeout 3 
alpha PID: 7962 started at 2023-04-26 16:24:14
test PASSED.
*********************************
DomainRangeTest creates a single process with 1 DW and 4 DRs.

Domains and transports are dynamically configured from the
templates in config.ini. The DW in each domain sends 10
messages to its DRs.
*********************************
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DomainRange/DomainRangeTest -DCPSConfigFile config.ini -DCPSDebugLevel 10 -bind secondary_config -domain 2 -domain 8 -domain 20 -domain 50 -domain 8 -DCPSTransportDebugLevel 1 -ORBLogFile alpha.log -DCPSPendingTimeout 3 
alpha PID: 8070 started at 2023-04-26 16:24:24
test PASSED.

auto_run_tests_finished: tests/DCPS/DomainRange/run_test.pl Time:19s Result:0

==============================================================================

tests/DCPS/StaticDiscoveryReconnect/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StaticDiscoveryReconnect/StaticDiscoveryTest -DCPSConfigFile config.ini -writer -DCPSPendingTimeout 3 
writer1 PID: 8186 started at 2023-04-26 16:24:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StaticDiscoveryReconnect/StaticDiscoveryTest -DCPSConfigFile config.ini -reader -toggle -DCPSPendingTimeout 3 
reader1 PID: 8187 started at 2023-04-26 16:24:34
(8187|8187) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(8186|8186) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
Creating reader
Writer found reader
Deleting reader
Writer lost reader
Creating reader
Writer found reader
test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StaticDiscoveryReconnect/StaticDiscoveryTest -DCPSConfigFile config.ini -reader -DCPSPendingTimeout 3 
reader2 PID: 8194 started at 2023-04-26 16:25:07
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StaticDiscoveryReconnect/StaticDiscoveryTest -DCPSConfigFile config.ini -writer -toggle -DCPSPendingTimeout 3 
writer2 PID: 8195 started at 2023-04-26 16:25:07
(8194|8194) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(8195|8195) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
Creating writer
Reader found writer
Deleting writer
Reader lost writer
Creating writer
Reader found writer
test PASSED.

auto_run_tests_finished: tests/DCPS/StaticDiscoveryReconnect/run_test.pl Time:67s Result:0

==============================================================================

tests/transport/rtps/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps/subscriber -h 127.0.0.1 -p 16911 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 8214 started at 2023-04-26 16:25:40
STARTING MAIN IN SUBSCRIBER
***Ready written to subready.txt
***Association Data created for Publication for SimpleDataReader to init
Associating with pub...
***Simple Data Reader init:: publication completed
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps/publisher -h 127.0.0.1 -p 16911 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 8218 started at 2023-04-26 16:25:40
test PASSED.
Running with multicast...
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps/subscriber -h 127.0.0.1 -p 10610 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 8222 started at 2023-04-26 16:25:41
STARTING MAIN IN SUBSCRIBER
***Ready written to subready.txt
***Association Data created for Publication for SimpleDataReader to init
Associating with pub...
***Simple Data Reader init:: publication completed
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps/publisher -h 239.255.0.2 -p 7401 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 8226 started at 2023-04-26 16:25:41
test PASSED.

auto_run_tests_finished: tests/transport/rtps/run_test.pl Time:2s Result:0

==============================================================================

tests/transport/rtps_reliability/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_reliability/rtps_reliability  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile rtps_reliability.log -DCPSPendingTimeout 3 
rtps_reliability PID: 8231 started at 2023-04-26 16:25:42
>>> Starting test of DataReader
data_received with seq#: 1
Received submessage type: 14
recv_an() data retransmit 1
Received submessage type: 14
recv_an() no retransmission requested
Received submessage type: 14
recv_an() data retransmit 2
recv_an() gap retransmit 4
data_received with seq#: 2
data_received with seq#: 3
data_received with seq#: 5
Received submessage type: 14
recv_an() no retransmission requested
Received submessage type: 14
recv_an() no retransmission requested
recv_nackfrag() retransmit 6:2
data_received with seq#: 6
Received submessage type: 14
recv_an() no retransmission requested
Received submessage type: 14
recv_an() no retransmission requested
Received submessage type: 14
recv_an() no retransmission requested
recv_nackfrag() retransmit 7:2
Received submessage type: 14
recv_an() no retransmission requested
data_received with seq#: 7
data_received with seq#: 8
Received submessage type: 14
recv_an() no retransmission requested
>>> Starting test of DataWriter
Received submessage type: 14
recv_hb() first = 1 last = 0
Received submessage type: 14
recv_hb() first = 1 last = 0
Received submessage type: 14
recv_hb() first = 1 last = 0
Received submessage type: 14
recv_hb() first = 1 last = 0
sending with seq#: 1
sending with seq#: 2
sending with seq#: 3
Received submessage type: 9
recv_data() seq = 1
Received submessage type: 9
recv_data() seq = 2
Received submessage type: 9
recv_data() seq = 3
Received submessage type: 14
recv_hb() first = 1 last = 3
recv_hb() requesting retransmit of #2
SimpleDataWriter::data_delivered()
Received submessage type: 9
recv_data() seq = 2
Received submessage type: 14
recv_hb() first = 2 last = 3
Received submessage type: 14
recv_hb() first = 2 last = 3
sending with seq#: 5
recv_gap() gapStart = 4 gapListBase = 5
Received submessage type: 9
recv_data() seq = 5
Received submessage type: 14
recv_hb() first = 2 last = 3
Received submessage type: 14
recv_hb() first = 2 last = 5
SimpleDataWriter::data_delivered()
SimpleDataWriter::data_delivered()
SimpleDataWriter::data_delivered()
test PASSED.

auto_run_tests_finished: tests/transport/rtps_reliability/run_test.pl Time:14s Result:0

==============================================================================

tests/transport/spdp/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/spdp/spdp_transport  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile spdp_transport.log -DCPSPendingTimeout 3 
spdp_transport PID: 8237 started at 2023-04-26 16:25:56
(8237|8237) INFO: set_DCPS_debug_level: set to 1
(8237|8240) INFO: MulticastManager::join: joined group 239.255.0.1:7402 on eth0/10.201.200.79 (0x1aec0b8 joined count 1)
(8237|8240) INFO: MulticastManager::join: joined group ff03::2:7401 on eth0/fe80::20c:29ff:fe7a:35f2 (0x1aec0b8 joined count 2)
(8237|8240) INFO: MulticastManager::join: joined group 239.255.0.1:7402 on lo/127.0.0.1 (0x1aec0b8 joined count 3)
(8237|8240) INFO: MulticastManager::join: joined group ff03::2:7401 on lo/::1 (0x1aec0b8 joined count 4)
(8237|8240) INFO: MulticastManager::join: joined group 239.255.0.1:7402 on virbr0/192.168.122.1 (0x1aec0b8 joined count 5)
(8237|8240) INFO: MulticastManager::join: joined group 239.255.0.1:7532 on eth0/10.201.200.79 (0x1ac1640 joined count 1)
(8237|8240) INFO: MulticastManager::join: joined group ff03::1:7532 on eth0/fe80::20c:29ff:fe7a:35f2 (0x1ac1640 joined count 2)
(8237|8240) INFO: MulticastManager::join: joined group 239.255.0.1:7532 on lo/127.0.0.1 (0x1ac1640 joined count 3)
(8237|8240) INFO: MulticastManager::join: joined group ff03::1:7532 on lo/::1 (0x1ac1640 joined count 4)
(8237|8240) INFO: MulticastManager::join: joined group 239.255.0.1:7532 on virbr0/192.168.122.1 (0x1ac1640 joined count 5)
(8237|8237) spdp_transport.cpp:run_test() addr_array[0]: 127.0.0.1:12345
(8237|8237) spdp_transport.cpp:run_test() addr_array[1]: 10.201.200.79:12345
(8237|8237) spdp_transport.cpp:run_test() addr_array[2]: 192.168.122.1:12345
(8237|8237) spdp_transport.cpp:run_test() addr_array[3]: ::1:12345
(8237|8237) spdp_transport.cpp:run_test() addr_array[4]: fe80::20c:29ff:fe7a:35f2%2:12345
Basic Reset Test
seq: 1
(8237|8240) Spdp::handle_participant_data - 0103000c.297a35f2.202d8873.000001c1(882eb5ff) discovered 0103000c.297a35f2.202d3553.000001c1(efbb9a50) lease 5:00 from 10.201.200.79:53178 (0)
(8237|8240) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.202d8873.000300c3(7d7d5bcb) remote 0103000c.297a35f2.202d3553.000300c4(848ce1c7)
(8237|8240) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.202d8873.000301c3(64666a8a) remote 0103000c.297a35f2.202d3553.000301c4(9d97d086)
(8237|8240) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.202d8873.000003c2(231186c7) remote 0103000c.297a35f2.202d3553.000003c7(34ee5de7)
(8237|8240) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.202d8873.000004c2(6c501000) remote 0103000c.297a35f2.202d3553.000004c7(7bafcb20)
(8237|8240) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.202d8873.000200c2(0bb8016a) remote 0103000c.297a35f2.202d3553.000200c7(1c47da4a)
seq: 2
seq: 3
seq: 4
seq: 5
seq: 1
seq: 2
seq: 3
seq: 4
(8237|8240) Spdp::handle_participant_data - 0103000c.297a35f2.202d8873.000001c1(882eb5ff) discovered 0103000c.297a35f2.202d3553.000001c1(efbb9a50) lease 5:00 from 10.201.200.79:53178 (0)
(8237|8240) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.202d8873.000300c3(7d7d5bcb) remote 0103000c.297a35f2.202d3553.000300c4(848ce1c7)
(8237|8240) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.202d8873.000301c3(64666a8a) remote 0103000c.297a35f2.202d3553.000301c4(9d97d086)
(8237|8240) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.202d8873.000003c2(231186c7) remote 0103000c.297a35f2.202d3553.000003c7(34ee5de7)
(8237|8240) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.202d8873.000004c2(6c501000) remote 0103000c.297a35f2.202d3553.000004c7(7bafcb20)
(8237|8240) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.202d8873.000200c2(0bb8016a) remote 0103000c.297a35f2.202d3553.000200c7(1c47da4a)
seq: 5
seq: 6
seq: 7
Reset Within Limits Test
seq: 8
seq: 6
seq: 7
seq: 8
seq: 9
Duplicate Sequence Numbers Test
seq: 1
(8237|8240) Spdp::handle_participant_data - 0103000c.297a35f2.202d8873.000001c1(882eb5ff) discovered 0103000c.297a35f2.202d3553.000001c1(efbb9a50) lease 5:00 from 10.201.200.79:53178 (0)
(8237|8240) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.202d8873.000300c3(7d7d5bcb) remote 0103000c.297a35f2.202d3553.000300c4(848ce1c7)
(8237|8240) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.202d8873.000301c3(64666a8a) remote 0103000c.297a35f2.202d3553.000301c4(9d97d086)
(8237|8240) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.202d8873.000003c2(231186c7) remote 0103000c.297a35f2.202d3553.000003c7(34ee5de7)
(8237|8240) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.202d8873.000004c2(6c501000) remote 0103000c.297a35f2.202d3553.000004c7(7bafcb20)
(8237|8240) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.202d8873.000200c2(0bb8016a) remote 0103000c.297a35f2.202d3553.000200c7(1c47da4a)
  seq: 2
  seq: 3
  seq: 4
seq: 2
  seq: 3
  seq: 4
  seq: 5
seq: 3
  seq: 4
  seq: 5
  seq: 6
seq: 4
  seq: 5
  seq: 6
  seq: 7
seq: 5
  seq: 6
  seq: 7
  seq: 8
Overflow Test
  seq: 2147483647 4294967290
(8237|8240) Spdp::handle_participant_data - 0103000c.297a35f2.202d8873.000001c1(882eb5ff) discovered 0103000c.297a35f2.202d3553.000001c1(efbb9a50) lease 5:00 from 10.201.200.79:53178 (0)
(8237|8240) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.202d8873.000300c3(7d7d5bcb) remote 0103000c.297a35f2.202d3553.000300c4(848ce1c7)
(8237|8240) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.202d8873.000301c3(64666a8a) remote 0103000c.297a35f2.202d3553.000301c4(9d97d086)
(8237|8240) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.202d8873.000003c2(231186c7) remote 0103000c.297a35f2.202d3553.000003c7(34ee5de7)
(8237|8240) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.202d8873.000004c2(6c501000) remote 0103000c.297a35f2.202d3553.000004c7(7bafcb20)
(8237|8240) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.202d8873.000200c2(0bb8016a) remote 0103000c.297a35f2.202d3553.000200c7(1c47da4a)
  seq: 2147483647 4294967291
  seq: 2147483647 4294967292
  seq: 2147483647 4294967293
  seq: 2147483647 4294967294
  seq: 2147483647 4294967295
  seq: 0 0
  seq: 0 1
  seq: 0 2
  seq: 0 3
(8237|8237) WARNING: DataLink[0x1aec118]::~DataLink() - link still in use by 5 entities when deleted!
(8237|8237) Service_Participant::shutdown
(8237|8237) DomainParticipantFactoryImpl::~DomainParticipantFactoryImpl()
(8237|8237) Service_Participant::~Service_Participant
(8237|8237) Service_Participant::shutdown
test PASSED.

auto_run_tests_finished: tests/transport/spdp/run_test.pl Time:24s Result:0

==============================================================================

tests/transport/rtps_directed_write/run_test.pl #

Testing with best-effort readers...
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/subscriber -h 127.0.0.1 -p 14064 -r 0 -ORBLogFile sub_BestEffort.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
subscriber PID: 8246 started at 2023-04-26 16:26:21
Reader 01030000.01234567.76543210.11111107(3bef1e14) called associate()
Reader 01030000.01234567.76543210.22222207(0b3777a2) called associate()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/publisher -h 127.0.0.1 -p 14064 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 8250 started at 2023-04-26 16:26:21
test PASSED.

Testing with best-effort readers, multicast...
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/subscriber -h 127.0.0.1 -p 17034 -r 0 -ORBLogFile sub_BestEffort_multicast.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
subscriber PID: 8252 started at 2023-04-26 16:26:22
Reader 01030000.01234567.76543210.11111107(3bef1e14) called associate()
Reader 01030000.01234567.76543210.22222207(0b3777a2) called associate()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/publisher -h 239.255.0.2 -p 7401 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 8256 started at 2023-04-26 16:26:22
test PASSED.

Testing with reliable readers...
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/subscriber -h 127.0.0.1 -p 16288 -r 1 -ORBLogFile sub_Reliable.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
subscriber PID: 8258 started at 2023-04-26 16:26:23
Reader 01030000.01234567.76543210.11111107(3bef1e14) called associate()
Reader 01030000.01234567.76543210.22222207(0b3777a2) called associate()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/publisher -h 127.0.0.1 -p 16288 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 8262 started at 2023-04-26 16:26:23
test PASSED.

Testing with reliable readers, multicast...
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/subscriber -h 127.0.0.1 -p 15498 -r 1 -ORBLogFile sub_Reliable_multicast.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
subscriber PID: 8264 started at 2023-04-26 16:26:24
Reader 01030000.01234567.76543210.11111107(3bef1e14) called associate()
Reader 01030000.01234567.76543210.22222207(0b3777a2) called associate()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/publisher -h 239.255.0.2 -p 7401 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 8268 started at 2023-04-26 16:26:24
test PASSED.

auto_run_tests_finished: tests/transport/rtps_directed_write/run_test.pl Time:5s Result:0

==============================================================================

tests/transport/best_effort_reader/run_test.pl #

Testing best-effort readers...
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/best_effort_reader/subscriber -h 127.0.0.1 -p 11623 -ORBLogFile sub.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
subscriber PID: 8272 started at 2023-04-26 16:26:25
01030000.01234567.11111111.11111102(3bc047cf) <- 01030000.01234567.22222222.11111107(bd0cef44) associated
01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.11111107(bd0cef44) associated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.11111107(bd0cef44) associated
Reader1 associated with 3 writer(s)

01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.22222207(8dd486f2) associated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.22222207(8dd486f2) associated
Reader2 associated with 2 writer(s)

01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.33333307(2b4c5c5f) associated
Reader3 associated with 1 writer(s)

*** Ready written to subready.txt ***
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/best_effort_reader/publisher -h 127.0.0.1 -p 11623 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 8276 started at 2023-04-26 16:26:25
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.33333307(2b4c5c5f) disassociated
01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.22222207(8dd486f2) disassociated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.22222207(8dd486f2) disassociated
01030000.01234567.11111111.11111102(3bc047cf) <- 01030000.01234567.22222222.11111107(bd0cef44) disassociated
01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.11111107(bd0cef44) disassociated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.11111107(bd0cef44) disassociated
test PASSED.

Testing best-effort readers, multicast...
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/best_effort_reader/subscriber -h 127.0.0.1 -p 10105 -ORBLogFile sub_multicast.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
subscriber PID: 8278 started at 2023-04-26 16:26:26
01030000.01234567.11111111.11111102(3bc047cf) <- 01030000.01234567.22222222.11111107(bd0cef44) associated
01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.11111107(bd0cef44) associated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.11111107(bd0cef44) associated
Reader1 associated with 3 writer(s)

01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.22222207(8dd486f2) associated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.22222207(8dd486f2) associated
Reader2 associated with 2 writer(s)

01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.33333307(2b4c5c5f) associated
Reader3 associated with 1 writer(s)

*** Ready written to subready.txt ***
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/best_effort_reader/publisher -h 239.255.0.2 -p 7401 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 8282 started at 2023-04-26 16:26:26
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.33333307(2b4c5c5f) disassociated
01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.22222207(8dd486f2) disassociated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.22222207(8dd486f2) disassociated
01030000.01234567.11111111.11111102(3bc047cf) <- 01030000.01234567.22222222.11111107(bd0cef44) disassociated
01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.11111107(bd0cef44) disassociated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.11111107(bd0cef44) disassociated
test PASSED.

auto_run_tests_finished: tests/transport/best_effort_reader/run_test.pl Time:2s Result:0

==============================================================================

tests/DCPS/ManyTopicTest/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 8285
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/publisher -t all -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3  -o /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/
publisher PID: 8292 started at 2023-04-26 16:26:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/subscriber -t all -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber1.log -DCPSPendingTimeout 3  -o /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/
subscriber1 PID: 8293 started at 2023-04-26 16:26:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/subscriber -t all -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber2.log -DCPSPendingTimeout 3  -o /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/
subscriber2 PID: 8294 started at 2023-04-26 16:26:27
(8292|8292)  16:26:27.910931 publisher main
(8294|8294)  16:26:27.932506 subscriber main
(8293|8293)  16:26:27.936862 subscriber main
(8285|8285) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 112060000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 112060000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 172472000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 172472000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 178933000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 178933000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 183009000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 183009000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 183250000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 183250000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 189225000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 189225000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 207927000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 207927000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 223174000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 223174000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 245267000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 245267000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 249337000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 249337000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 252833000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 252833000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 270803000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 270803000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 302033000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 302033000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 314753000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 314753000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 329818000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 329818000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 337482000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 337482000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 376341000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 376341000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 377476000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 377476000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 404763000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 404763000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 413324000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 413324000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 428139000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 428139000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 446005000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 446005000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 446399000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 446399000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 454493000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 454493000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 464663000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 464663000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 472723000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 472723000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 477059000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 477059000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 487619000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 487619000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 504400000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 504400000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 511394000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 511394000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 514465000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 514465000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 525938000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 525938000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 558144000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 558144000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 566672000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 566672000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 570260000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 570260000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 602293000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 602293000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 654047000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 654047000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 654558000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 654558000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 728126000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 728126000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 747459000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544390 747459000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 3
foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 1
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 3
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 3
foo2[0]: text = message 1, key = 2
foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 4
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 4
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 4
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 3
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 3
foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 1
foo2[0]: text = message 2, key = 2
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 3
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 4
foo2[0]: text = message 3, key = 2
foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 1
foo2[0]: text = message 4, key = 2
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 3
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 4
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 3
foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 1
foo2[0]: text = message 5, key = 2
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 4
foo2[0]: text = message 6, key = 2
foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 1
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 3
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 3
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 4
foo2[0]: text = message 7, key = 2
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 4
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 4
foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 1
foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 1
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 4
foo2[0]: text = message 8, key = 2
foo2[0]: text = message 9, key = 2
foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 1
foo2[0]: text = message 10, key = 2
foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 1
foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 1

*** T1 received 10 samples.

*** T2 received 10 samples.

*** T3 received 10 samples.

*** T4 received 10 samples.
foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 3
foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 1
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 3
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 3
foo2[0]: text = message 1, key = 2
foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 4
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 4
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 4
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 3
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 3
foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 1
foo2[0]: text = message 2, key = 2
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 3
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 4
foo2[0]: text = message 3, key = 2
foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 1
foo2[0]: text = message 4, key = 2
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 3
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 4
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 3
foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 1
foo2[0]: text = message 5, key = 2
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 4
foo2[0]: text = message 6, key = 2
foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 1
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 3
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 3
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 4
foo2[0]: text = message 7, key = 2
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 4
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 4
foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 1
foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 1
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 4
foo2[0]: text = message 8, key = 2
foo2[0]: text = message 9, key = 2
foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 1
foo2[0]: text = message 10, key = 2
foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 1
foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 1

*** T1 received 10 samples.

*** T2 received 10 samples.

*** T3 received 10 samples.

*** T4 received 10 samples.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ManyTopicTest/run_test.pl Time:4s Result:0

==============================================================================

tests/DCPS/ManyTopicTest/run_test.pl rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/publisher -t all -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3  -o /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/
publisher PID: 8320 started at 2023-04-26 16:26:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/subscriber -t all -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber1.log -DCPSPendingTimeout 3  -o /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/
subscriber1 PID: 8321 started at 2023-04-26 16:26:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/subscriber -t all -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber2.log -DCPSPendingTimeout 3  -o /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/
subscriber2 PID: 8322 started at 2023-04-26 16:26:31
(8320|8320)  16:26:31.784513 publisher main
(8322|8322)  16:26:31.796429 subscriber main
(8321|8321)  16:26:31.800091 subscriber main
  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 81424999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 81424999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 94376999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 94376999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 103748999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 103748999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 117317999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 117317999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 128319999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 128319999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 166774999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 166774999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 179935999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 179935999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 188898999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 188898999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 191126999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 191126999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 194811999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 194811999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 220194999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 220194999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 251162999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 251162999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 252173999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 252173999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 256206999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 256206999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 257872999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 257872999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 288865999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 288865999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 289197999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 289197999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 310631999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 310631999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 339028999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 339028999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 362701999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 362701999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 372352999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 372352999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 399413999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 399413999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 410950999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 410950999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 425642999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 425642999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 434411999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 434411999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 434804999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 434804999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 440317999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 440317999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 460232999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 460232999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 468509999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 468509999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 469137999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 469137999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 512865999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 512865999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 518152999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 518152999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 518730999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 518730999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 544804999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 544804999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 563535999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 563535999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 589078999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 589078999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 595420999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 595420999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 598183999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 598183999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 648430999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 648430999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 689558999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682544394 689558999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

foo2[0]: text = message 1, key = 2
foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 4
foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 3
foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 1
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 4
foo2[0]: text = message 2, key = 2
foo2[0]: text = message 3, key = 2
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 3
foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 1
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 4
foo2[0]: text = message 4, key = 2
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 3
foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 1
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 4
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 3
foo2[0]: text = message 5, key = 2
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 4
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 3
foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 1
foo2[0]: text = message 6, key = 2
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 4
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 3
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 3
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 4
foo2[0]: text = message 7, key = 2
foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 1
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 3
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 4
foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 1
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 3
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 4
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 4
foo2[0]: text = message 8, key = 2
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 3
foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 1
foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 1
foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 1
foo2[0]: text = message 9, key = 2
foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 1
foo2[0]: text = message 10, key = 2

*** T1 received 10 samples.

*** T2 received 10 samples.

*** T3 received 10 samples.

*** T4 received 10 samples.
foo2[0]: text = message 1, key = 2
foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 4
foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 3
foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 1
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 4
foo2[0]: text = message 2, key = 2
foo2[0]: text = message 3, key = 2
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 3
foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 1
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 4
foo2[0]: text = message 4, key = 2
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 3
foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 1
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 4
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 3
foo2[0]: text = message 5, key = 2
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 4
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 3
foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 1
foo2[0]: text = message 6, key = 2
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 4
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 3
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 3
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 4
foo2[0]: text = message 7, key = 2
foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 1
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 3
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 4
foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 1
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 3
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 4
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 4
foo2[0]: text = message 8, key = 2
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 3
foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 1
foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 1
foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 1
foo2[0]: text = message 9, key = 2
foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 1
foo2[0]: text = message 10, key = 2

*** T1 received 10 samples.

*** T2 received 10 samples.

*** T3 received 10 samples.

*** T4 received 10 samples.
test PASSED.

auto_run_tests_finished: tests/DCPS/ManyTopicTest/run_test.pl rtps Time:4s Result:0

==============================================================================

tests/DCPS/ManyTopicMultiProcess/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 8352
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/publisher -p1 -p2 -s6 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile proc1.log -DCPSPendingTimeout 3  -T /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/
proc1 PID: 8359 started at 2023-04-26 16:26:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/publisher -p3 -p4 -p5 -s7 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile proc2.log -DCPSPendingTimeout 3  -T /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/
proc2 PID: 8360 started at 2023-04-26 16:26:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/subscriber -s1 -s2 -s3 -s4 -s5 -p6 -p7 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile proc3.log -DCPSPendingTimeout 3  -T /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/
proc3 PID: 8361 started at 2023-04-26 16:26:35
(8359|8359)  16:26:35.725479 publisher main
(8360|8360)  16:26:35.739557 publisher main
(8352|8352) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(8361|8361)  16:26:35.760292 subscriber main
T1 foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 0.000000
	foo4[0][1]: value = -1.000000
	foo4[0][2]: value = -2.000000
	foo4[0][3]: value = -3.000000
	foo4[0][4]: value = -4.000000
	foo4[0][5]: value = -5.000000
	foo4[0][6]: value = -6.000000
	foo4[0][7]: value = -7.000000
	foo4[0][8]: value = -8.000000
	foo4[0][9]: value = -9.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 0.000000
	foo4[0][1]: value = -1.000000
	foo4[0][2]: value = -2.000000
	foo4[0][3]: value = -3.000000
	foo4[0][4]: value = -4.000000
	foo4[0][5]: value = -5.000000
	foo4[0][6]: value = -6.000000
	foo4[0][7]: value = -7.000000
	foo4[0][8]: value = -8.000000
	foo4[0][9]: value = -9.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 0.000000
	foo4[0][1]: value = -1.000000
	foo4[0][2]: value = -2.000000
	foo4[0][3]: value = -3.000000
	foo4[0][4]: value = -4.000000
	foo4[0][5]: value = -5.000000
	foo4[0][6]: value = -6.000000
	foo4[0][7]: value = -7.000000
	foo4[0][8]: value = -8.000000
	foo4[0][9]: value = -9.000000
T3 foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 1
T4 foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 2
T5 foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 3
T1 foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 1.000000
	foo4[0][1]: value = 0.000000
	foo4[0][2]: value = -1.000000
	foo4[0][3]: value = -2.000000
	foo4[0][4]: value = -3.000000
	foo4[0][5]: value = -4.000000
	foo4[0][6]: value = -5.000000
	foo4[0][7]: value = -6.000000
	foo4[0][8]: value = -7.000000
	foo4[0][9]: value = -8.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 1.000000
	foo4[0][1]: value = 0.000000
	foo4[0][2]: value = -1.000000
	foo4[0][3]: value = -2.000000
	foo4[0][4]: value = -3.000000
	foo4[0][5]: value = -4.000000
	foo4[0][6]: value = -5.000000
	foo4[0][7]: value = -6.000000
	foo4[0][8]: value = -7.000000
	foo4[0][9]: value = -8.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 1.000000
	foo4[0][1]: value = 0.000000
	foo4[0][2]: value = -1.000000
	foo4[0][3]: value = -2.000000
	foo4[0][4]: value = -3.000000
	foo4[0][5]: value = -4.000000
	foo4[0][6]: value = -5.000000
	foo4[0][7]: value = -6.000000
	foo4[0][8]: value = -7.000000
	foo4[0][9]: value = -8.000000
T3 foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 1
T4 foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 2
T5 foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 3
T1 foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 4.000000
	foo4[0][1]: value = 3.000000
	foo4[0][2]: value = 2.000000
	foo4[0][3]: value = 1.000000
	foo4[0][4]: value = 0.000000
	foo4[0][5]: value = -1.000000
	foo4[0][6]: value = -2.000000
	foo4[0][7]: value = -3.000000
	foo4[0][8]: value = -4.000000
	foo4[0][9]: value = -5.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 4.000000
	foo4[0][1]: value = 3.000000
	foo4[0][2]: value = 2.000000
	foo4[0][3]: value = 1.000000
	foo4[0][4]: value = 0.000000
	foo4[0][5]: value = -1.000000
	foo4[0][6]: value = -2.000000
	foo4[0][7]: value = -3.000000
	foo4[0][8]: value = -4.000000
	foo4[0][9]: value = -5.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 4.000000
	foo4[0][1]: value = 3.000000
	foo4[0][2]: value = 2.000000
	foo4[0][3]: value = 1.000000
	foo4[0][4]: value = 0.000000
	foo4[0][5]: value = -1.000000
	foo4[0][6]: value = -2.000000
	foo4[0][7]: value = -3.000000
	foo4[0][8]: value = -4.000000
	foo4[0][9]: value = -5.000000
T3 foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 1
T4 foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 2
T5 foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 3
T1 foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 9.000000
	foo4[0][1]: value = 8.000000
	foo4[0][2]: value = 7.000000
	foo4[0][3]: value = 6.000000
	foo4[0][4]: value = 5.000000
	foo4[0][5]: value = 4.000000
	foo4[0][6]: value = 3.000000
	foo4[0][7]: value = 2.000000
	foo4[0][8]: value = 1.000000
	foo4[0][9]: value = 0.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 9.000000
	foo4[0][1]: value = 8.000000
	foo4[0][2]: value = 7.000000
	foo4[0][3]: value = 6.000000
	foo4[0][4]: value = 5.000000
	foo4[0][5]: value = 4.000000
	foo4[0][6]: value = 3.000000
	foo4[0][7]: value = 2.000000
	foo4[0][8]: value = 1.000000
	foo4[0][9]: value = 0.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 9.000000
	foo4[0][1]: value = 8.000000
	foo4[0][2]: value = 7.000000
	foo4[0][3]: value = 6.000000
	foo4[0][4]: value = 5.000000
	foo4[0][5]: value = 4.000000
	foo4[0][6]: value = 3.000000
	foo4[0][7]: value = 2.000000
	foo4[0][8]: value = 1.000000
	foo4[0][9]: value = 0.000000
T3 foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 1
T4 foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 2
T5 foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 3
T1 foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 16.000000
	foo4[0][1]: value = 15.000000
	foo4[0][2]: value = 14.000000
	foo4[0][3]: value = 13.000000
	foo4[0][4]: value = 12.000000
	foo4[0][5]: value = 11.000000
	foo4[0][6]: value = 10.000000
	foo4[0][7]: value = 9.000000
	foo4[0][8]: value = 8.000000
	foo4[0][9]: value = 7.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 16.000000
	foo4[0][1]: value = 15.000000
	foo4[0][2]: value = 14.000000
	foo4[0][3]: value = 13.000000
	foo4[0][4]: value = 12.000000
	foo4[0][5]: value = 11.000000
	foo4[0][6]: value = 10.000000
	foo4[0][7]: value = 9.000000
	foo4[0][8]: value = 8.000000
	foo4[0][9]: value = 7.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 16.000000
	foo4[0][1]: value = 15.000000
	foo4[0][2]: value = 14.000000
	foo4[0][3]: value = 13.000000
	foo4[0][4]: value = 12.000000
	foo4[0][5]: value = 11.000000
	foo4[0][6]: value = 10.000000
	foo4[0][7]: value = 9.000000
	foo4[0][8]: value = 8.000000
	foo4[0][9]: value = 7.000000
T3 foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 1
T4 foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 2
T5 foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 3
T1 foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 25.000000
	foo4[0][1]: value = 24.000000
	foo4[0][2]: value = 23.000000
	foo4[0][3]: value = 22.000000
	foo4[0][4]: value = 21.000000
	foo4[0][5]: value = 20.000000
	foo4[0][6]: value = 19.000000
	foo4[0][7]: value = 18.000000
	foo4[0][8]: value = 17.000000
	foo4[0][9]: value = 16.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 25.000000
	foo4[0][1]: value = 24.000000
	foo4[0][2]: value = 23.000000
	foo4[0][3]: value = 22.000000
	foo4[0][4]: value = 21.000000
	foo4[0][5]: value = 20.000000
	foo4[0][6]: value = 19.000000
	foo4[0][7]: value = 18.000000
	foo4[0][8]: value = 17.000000
	foo4[0][9]: value = 16.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 25.000000
	foo4[0][1]: value = 24.000000
	foo4[0][2]: value = 23.000000
	foo4[0][3]: value = 22.000000
	foo4[0][4]: value = 21.000000
	foo4[0][5]: value = 20.000000
	foo4[0][6]: value = 19.000000
	foo4[0][7]: value = 18.000000
	foo4[0][8]: value = 17.000000
	foo4[0][9]: value = 16.000000
T3 foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 1
T4 foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 2
T5 foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 3
T1 foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 36.000000
	foo4[0][1]: value = 35.000000
	foo4[0][2]: value = 34.000000
	foo4[0][3]: value = 33.000000
	foo4[0][4]: value = 32.000000
	foo4[0][5]: value = 31.000000
	foo4[0][6]: value = 30.000000
	foo4[0][7]: value = 29.000000
	foo4[0][8]: value = 28.000000
	foo4[0][9]: value = 27.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 36.000000
	foo4[0][1]: value = 35.000000
	foo4[0][2]: value = 34.000000
	foo4[0][3]: value = 33.000000
	foo4[0][4]: value = 32.000000
	foo4[0][5]: value = 31.000000
	foo4[0][6]: value = 30.000000
	foo4[0][7]: value = 29.000000
	foo4[0][8]: value = 28.000000
	foo4[0][9]: value = 27.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 36.000000
	foo4[0][1]: value = 35.000000
	foo4[0][2]: value = 34.000000
	foo4[0][3]: value = 33.000000
	foo4[0][4]: value = 32.000000
	foo4[0][5]: value = 31.000000
	foo4[0][6]: value = 30.000000
	foo4[0][7]: value = 29.000000
	foo4[0][8]: value = 28.000000
	foo4[0][9]: value = 27.000000
T3 foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 1
T4 foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 2
T5 foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 3
T1 foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 49.000000
	foo4[0][1]: value = 48.000000
	foo4[0][2]: value = 47.000000
	foo4[0][3]: value = 46.000000
	foo4[0][4]: value = 45.000000
	foo4[0][5]: value = 44.000000
	foo4[0][6]: value = 43.000000
	foo4[0][7]: value = 42.000000
	foo4[0][8]: value = 41.000000
	foo4[0][9]: value = 40.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 49.000000
	foo4[0][1]: value = 48.000000
	foo4[0][2]: value = 47.000000
	foo4[0][3]: value = 46.000000
	foo4[0][4]: value = 45.000000
	foo4[0][5]: value = 44.000000
	foo4[0][6]: value = 43.000000
	foo4[0][7]: value = 42.000000
	foo4[0][8]: value = 41.000000
	foo4[0][9]: value = 40.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 49.000000
	foo4[0][1]: value = 48.000000
	foo4[0][2]: value = 47.000000
	foo4[0][3]: value = 46.000000
	foo4[0][4]: value = 45.000000
	foo4[0][5]: value = 44.000000
	foo4[0][6]: value = 43.000000
	foo4[0][7]: value = 42.000000
	foo4[0][8]: value = 41.000000
	foo4[0][9]: value = 40.000000
T3 foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 1
T4 foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 2
T5 foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 3
T1 foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 64.000000
	foo4[0][1]: value = 63.000000
	foo4[0][2]: value = 62.000000
	foo4[0][3]: value = 61.000000
	foo4[0][4]: value = 60.000000
	foo4[0][5]: value = 59.000000
	foo4[0][6]: value = 58.000000
	foo4[0][7]: value = 57.000000
	foo4[0][8]: value = 56.000000
	foo4[0][9]: value = 55.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 64.000000
	foo4[0][1]: value = 63.000000
	foo4[0][2]: value = 62.000000
	foo4[0][3]: value = 61.000000
	foo4[0][4]: value = 60.000000
	foo4[0][5]: value = 59.000000
	foo4[0][6]: value = 58.000000
	foo4[0][7]: value = 57.000000
	foo4[0][8]: value = 56.000000
	foo4[0][9]: value = 55.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 64.000000
	foo4[0][1]: value = 63.000000
	foo4[0][2]: value = 62.000000
	foo4[0][3]: value = 61.000000
	foo4[0][4]: value = 60.000000
	foo4[0][5]: value = 59.000000
	foo4[0][6]: value = 58.000000
	foo4[0][7]: value = 57.000000
	foo4[0][8]: value = 56.000000
	foo4[0][9]: value = 55.000000
T3 foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 1
T4 foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 2
T5 foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 3
T1 foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 81.000000
	foo4[0][1]: value = 80.000000
	foo4[0][2]: value = 79.000000
	foo4[0][3]: value = 78.000000
	foo4[0][4]: value = 77.000000
	foo4[0][5]: value = 76.000000
	foo4[0][6]: value = 75.000000
	foo4[0][7]: value = 74.000000
	foo4[0][8]: value = 73.000000
	foo4[0][9]: value = 72.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 81.000000
	foo4[0][1]: value = 80.000000
	foo4[0][2]: value = 79.000000
	foo4[0][3]: value = 78.000000
	foo4[0][4]: value = 77.000000
	foo4[0][5]: value = 76.000000
	foo4[0][6]: value = 75.000000
	foo4[0][7]: value = 74.000000
	foo4[0][8]: value = 73.000000
	foo4[0][9]: value = 72.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 81.000000
	foo4[0][1]: value = 80.000000
	foo4[0][2]: value = 79.000000
	foo4[0][3]: value = 78.000000
	foo4[0][4]: value = 77.000000
	foo4[0][5]: value = 76.000000
	foo4[0][6]: value = 75.000000
	foo4[0][7]: value = 74.000000
	foo4[0][8]: value = 73.000000
	foo4[0][9]: value = 72.000000
T3 foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 1
T4 foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 2
T5 foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 3

*** T1 received 10 samples.

*** T2 received 10 samples.

*** T2 received 10 samples.

*** T2 received 10 samples.

*** T3 receiveT6 foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 1
T6 foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 1
T6 foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 1
T6 foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 1
T6 foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 1
T6 foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 1
T6 foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 1
T6 foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 1
T6 foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 1
T6 foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 1

*** T6 received 10 samples.
T7 foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 2
T7 foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 2
T7 foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 2
T7 foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 2
T7 foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 2
T7 foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 2
T7 foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 2
T7 foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 2
T7 foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 2
T7 foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 2

*** T7 received 10 samples.
d 10 samples.

*** T4 received 10 samples.

*** T5 received 10 samples.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ManyTopicMultiProcess/run_test.pl Time:16s Result:0

==============================================================================

tests/DCPS/Monitor/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -DCPSDebugLevel 6 -ORBDebugLevel 10 -ORBLogFile DCPSInfoRepo.log -o repo.ior 
TAO (8391|8391) - Completed initializing the process-wide service context
TAO (8391|8391) - Default ORB services initialization begins
TAO (8391|8391) - ORBInitializer_Registry::register_orb_initializer 0 @0x1bc7950
TAO (8391|8391) - ORBInitializer_Registry::register_orb_initializer 1 @0x1bc4d50
TAO (8391|8391) - Default ORB services initialization completed
TAO (8391|8391) - We are the default ORB ...
TAO (8391|8391) - Initializing the orb-specific services
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Monitor/monitor  -DCPSTransportDebugLevel 6 -ORBDebugLevel 10 -ORBLogFile mon.log -DCPSConfigFile mon.ini -DCPSDebugLevel 10
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Monitor/publisher  -i 0 -ORBDebugLevel 10 -ORBLogFile pub.log -DCPSConfigFile pub.ini -DCPSDebugLevel 10
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Monitor/subscriber  -DCPSTransportDebugLevel 6 -ORBDebugLevel 10 -ORBLogFile sub.log -DCPSConfigFile sub.ini -DCPSDebugLevel 10
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
INFO: monitor being killed.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
mon_count=59
test PASSED.

auto_run_tests_finished: tests/DCPS/Monitor/run_test.pl Time:4s Result:0

==============================================================================

tests/DCPS/PersistentInfoRepo/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -ORBSvcConf mySvc.conf -orbendpoint iiop://:10303 
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/PersistentInfoRepo/publisher -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub1.log -DCPSBit 0   -DCPSConfigFile tcp.ini -stage 1 -messages 60
(8428)Pub Stage Creating App
(8428)Pub Creating topic
Options::parse
(8428)Pub Stage 1 Creating writer
(8428)Pub Stage 1 waiting for 1 readers
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/PersistentInfoRepo/subscriber -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub1.log -DCPSBit 0   -DCPSConfigFile tcp.ini -reliable 1 -stage 1
(8433)Sub Creating App
(8433)Sub Creating topic
Options::parse
(8433)Sub Creating Stage 1 reader
(8433)Sub Stage 1 waiting for 2 writer to come and go
(8428)Pub Stage 1 done waiting for reader
(8428)Pub Stage 1 sending id=1
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
(8428)Pub Stage 1 waiting for acks from sub
(8428)Pub Stage 1 done waiting for acks from sub
(8428)Pub Stage 1 waiting for 1 readers
(8428)Pub Stage 1 done waiting for reader
(8428)Pub Stage 1 sending id=2
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -ORBSvcConf mySvc.conf -orbendpoint iiop://:10303 
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/PersistentInfoRepo/publisher -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub2.log -DCPSBit 0   -DCPSConfigFile tcp.ini -stage 2 -messages 60
(8459)Pub Stage Creating App
(8459)Pub Creating topic
Options::parse
(8459)Pub Stage 2 Creating writer
(8459)Pub Stage 2 waiting for 2 readers
(8459)Pub Stage 2 done waiting for reader
(8459)Pub Stage 2 sending id=3
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/PersistentInfoRepo/subscriber -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub2.log -DCPSBit 0   -DCPSConfigFile tcp.ini -reliable 1 -stage 2
(8464)Sub Creating App
(8464)Sub Creating topic
Options::parse
(8464)Sub Creating Stage 2 reader
(8464)Sub Stage 2 waiting for 2 writer to come and go
(8428)Pub Stage 1 waiting for acks from sub
(8428)Pub Stage 1 done waiting for acks from sub
(8428)Pub Stage 1 DDSTopic going out of scope
(8428)Pub Stage 1 DDSApp going out of scope (shutdown)
(8428)Pub Stage 1 returning status=0
(8459)Pub Stage 2 waiting for acks from sub
(8459)Pub Stage 2 done waiting for acks from sub
(8459)Pub Stage 2 DDSTopic going out of scope
(8464)Sub Stage 2 done waiting
(8464)Sub Stage 2 DDSApp going out of scope
(8433)Sub Stage 1 done waiting
(8433)Sub Stage 1 DDSApp going out of scope
(8464)Sub Stage 2 returning status=0
(8433)Sub Stage 1 returning status=0
(8459)Pub Stage 2 DDSApp going out of scope (shutdown)
(8459)Pub Stage 2 returning status=0
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/PersistentInfoRepo/run_test.pl Time:122s Result:0

==============================================================================

tests/FACE/Messenger/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Subscriber/subscriber face_config.ini  -DCPSPendingTimeout 3 
Subscriber PID: 8480 started at 2023-04-26 16:28:57
Subscriber: about to Receive_Message()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Publisher/publisher face_config.ini -DCPSPendingTimeout 3 
Publisher PID: 8487 started at 2023-04-26 16:29:02
Publisher: about to Send_Message()
Hello, world.	0
test PASSED.

auto_run_tests_finished: tests/FACE/Messenger/run_test.pl Time:25s Result:0

==============================================================================

tests/FACE/Messenger/run_test.pl callback #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Subscriber/subscriber face_config.ini callback -DCPSPendingTimeout 3 
Subscriber PID: 8500 started at 2023-04-26 16:29:22
Subscriber: about to Register_Callback()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Publisher/publisher face_config.ini -DCPSPendingTimeout 3 
Publisher PID: 8506 started at 2023-04-26 16:29:27
Publisher: about to Send_Message()
In callback() (the 1 time): Hello, world.	0	message_type_id: 1	message_size: 32
In callback() (the 2 time): Hello, world.	0	message_type_id: 1	message_size: 32
test PASSED.

auto_run_tests_finished: tests/FACE/Messenger/run_test.pl callback Time:25s Result:0

==============================================================================

tests/FACE/Messenger/run_test.pl static #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Subscriber/subscriber face_config_static.ini  -DCPSPendingTimeout 3 
Subscriber PID: 8521 started at 2023-04-26 16:29:47
Subscriber: about to Receive_Message()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Publisher/publisher face_config_static.ini -DCPSPendingTimeout 3 
Publisher PID: 8525 started at 2023-04-26 16:29:52
Publisher: about to Send_Message()
Hello, world.	0
test PASSED.

auto_run_tests_finished: tests/FACE/Messenger/run_test.pl static Time:25s Result:0

==============================================================================

tests/FACE/Messenger/run_test.pl static callback #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Subscriber/subscriber face_config_static.ini callback -DCPSPendingTimeout 3 
Subscriber PID: 8536 started at 2023-04-26 16:30:12
Subscriber: about to Register_Callback()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Publisher/publisher face_config_static.ini -DCPSPendingTimeout 3 
Publisher PID: 8541 started at 2023-04-26 16:30:17
Publisher: about to Send_Message()
In callback() (the 1 time): Hello, world.	0	message_type_id: 1	message_size: 32
In callback() (the 2 time): Hello, world.	0	message_type_id: 1	message_size: 32
test PASSED.

auto_run_tests_finished: tests/FACE/Messenger/run_test.pl static callback Time:25s Result:0

==============================================================================

tests/FACE/MultiDomainMessenger/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/MultiDomainMessenger/Subscriber/subscriber  -DCPSPendingTimeout 3 
Subscriber PID: 8555 started at 2023-04-26 16:30:37
Subscriber1: about to Receive_Message()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/MultiDomainMessenger/Publisher/publisher  -DCPSPendingTimeout 3 
Publisher PID: 8565 started at 2023-04-26 16:30:42
Publisher1: about to Send_Message()
Publisher2: about to Send_Message()
Hello, world.	0
Subscriber2: about to Receive_Message()
Hello, world.	0
test PASSED.

auto_run_tests_finished: tests/FACE/MultiDomainMessenger/run_test.pl Time:26s Result:0

==============================================================================

tests/FACE/MultiDomainMessenger/run_test.pl callback #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/MultiDomainMessenger/Subscriber/subscriber callback -DCPSPendingTimeout 3 
Subscriber PID: 8576 started at 2023-04-26 16:31:03
Subscriber1: about to Register_Callback()
Subscriber2: about to Register_Callback()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/MultiDomainMessenger/Publisher/publisher  -DCPSPendingTimeout 3 
Publisher PID: 8587 started at 2023-04-26 16:31:08
Publisher1: about to Send_Message()
Publisher2: about to Send_Message()
In callback() (the 1 time): Hello, world.	0	message_type_id: 1	message_size: 32
In callback() (the 2 time): Hello, world.	0	message_type_id: 1	message_size: 32
In callback() (the 3 time): Hello, world.	0	message_type_id: 1	message_size: 32
In callback() (the 4 time): Hello, world.	0	message_type_id: 1	message_size: 32
test PASSED.

auto_run_tests_finished: tests/FACE/MultiDomainMessenger/run_test.pl callback Time:25s Result:0

==============================================================================

tests/FACE/SingleProcessMessenger/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/SingleProcessMessenger/SingleProcess/singleprocess face_config.ini  -DCPSPendingTimeout 3 
SingleProcess PID: 8599 started at 2023-04-26 16:31:28
Publisher: about to Send_Message()
Subscriber: about to Receive_Message()
Hello, world.	0
test PASSED.

auto_run_tests_finished: tests/FACE/SingleProcessMessenger/run_test.pl Time:5s Result:0

==============================================================================

tests/FACE/SingleProcessMessenger/run_test.pl callback #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/SingleProcessMessenger/SingleProcess/singleprocess face_config.ini callback -DCPSPendingTimeout 3 
SingleProcess PID: 8615 started at 2023-04-26 16:31:33
Subscriber: about to Register_Callback()
Publisher: about to Send_Message()
In callback() (the 1 time): Hello, world.	0	message_type_id: 1	message_size: 32
test PASSED.

auto_run_tests_finished: tests/FACE/SingleProcessMessenger/run_test.pl callback Time:10s Result:0

==============================================================================

tests/FACE/CallbackAndReceive/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/CallbackAndReceive/Subscriber/subscriber  -DCPSPendingTimeout 3 
Subscriber PID: 8622 started at 2023-04-26 16:31:43
Subscriber: about to Register_Callback()
Subscriber: about to Receive_Message()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/CallbackAndReceive/Publisher/publisher  -DCPSPendingTimeout 3 
Publisher PID: 8629 started at 2023-04-26 16:31:48
Publisher: about to send_message()
  sending 0
  sending 1
  sending 2
  sending 3
  sending 4
  sending 5
  sending 6
  sending 7
In callback() (the 1 time): Hello, world.	0	message_type_id: 1	message_size: 32
  sending 8
  sending 9
In callback() (the 2 time): Hello, world.	1	message_type_id: 1	message_size: 32
Subscriber: about to Unregister_Callback()
  sending 10
  sending 11
  sending 12
  sending 13
Receive_Message: (the 0 time): Hello, world.	2	ttid: 3
  sending 14
  sending 15
  sending 16
  sending 17
Receive_Message: (the 1 time): Hello, world.	4	ttid: 4
  sending 18
  sending 19
Receive_Message: (the 2 time): Hello, world.	5	ttid: 5
Receive_Message: (the 3 time): Hello, world.	6	ttid: 6
Receive_Message: (the 4 time): Hello, world.	7	ttid: 7
Receive_Message: (the 5 time): Hello, world.	9	ttid: 8
Receive_Message: (the 6 time): Hello, world.	10	ttid: 9
Receive_Message: (the 7 time): Hello, world.	11	ttid: 10
Receive_Message: (the 8 time): Hello, world.	12	ttid: 11
Receive_Message: (the 9 time): Hello, world.	13	ttid: 12
Receive_Message: (the 10 time): Hello, world.	14	ttid: 13
Receive_Message: (the 11 time): Hello, world.	15	ttid: 14
Receive_Message: (the 12 time): Hello, world.	16	ttid: 15
Receive_Message: (the 13 time): Hello, world.	17	ttid: 16
Receive_Message: (the 14 time): Hello, world.	18	ttid: 17
Receive_Message: (the 15 time): Hello, world.	19	ttid: 18
(8622|8622) Callback was triggered 2 times
(8622|8622) Receive Message was triggered 16 times
test PASSED.

auto_run_tests_finished: tests/FACE/CallbackAndReceive/run_test.pl Time:35s Result:0

==============================================================================

tests/FACE/Header/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Header/Subscriber/subscriber  -DCPSPendingTimeout 3 
Subscriber PID: 8636 started at 2023-04-26 16:32:19
Subscriber: about to Register_Callback()
Subscriber: about to Receive_Message()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Header/Publisher/publisher  -DCPSPendingTimeout 3 
Publisher PID: 8642 started at 2023-04-26 16:32:24
Publisher: about to send_message() 10x for callbacks
  sending 0
  sending 1
  sending 2
  sending 3
  sending 4
  sending 5
In callback() (the 1 time): Hello, world.	0	msg_instance_guid: 844c37f500000002	message_type_id: 1	message_size: 24	transaction_id: 1
In callback() Message Header - tid: 1
	platform view guid: 1
	source timestamp: 1682544754129701999
	instance guid: 844c37f500000002
	source guid: 9645061
	validity 1
  sending 6
  sending 7
In callback() (the 2 time): Hello, world.	1	msg_instance_guid: 844c37f500000003	message_type_id: 1	message_size: 24	transaction_id: 2
In callback() Message Header - tid: 2
	platform view guid: 1
	source timestamp: 1682544754130768999
	instance guid: 844c37f500000003
	source guid: 9645061
	validity 1
  sending 8
In callback() (the 3 time): Hello, world.	2	msg_instance_guid: 844c37f500000004	message_type_id: 1	message_size: 24	transaction_id: 3
In callback() Message Header - tid: 3
	platform view guid: 1
	source timestamp: 1682544754130983999
	instance guid: 844c37f500000004
	source guid: 9645061
	validity 1
  sending 9
Sleep - wait for callback to unregister
In callback() (the 4 time): Hello, world.	3	msg_instance_guid: 844c37f500000005	message_type_id: 1	message_size: 24	transaction_id: 4
In callback() Message Header - tid: 4
	platform view guid: 1
	source timestamp: 1682544754131178999
	instance guid: 844c37f500000005
	source guid: 9645061
	validity 1
In callback() (the 5 time): Hello, world.	4	msg_instance_guid: 844c37f500000006	message_type_id: 1	message_size: 24	transaction_id: 5
In callback() Message Header - tid: 5
	platform view guid: 1
	source timestamp: 1682544754131395999
	instance guid: 844c37f500000006
	source guid: 9645061
	validity 1
In callback() (the 6 time): Hello, world.	5	msg_instance_guid: 844c37f500000007	message_type_id: 1	message_size: 24	transaction_id: 6
In callback() Message Header - tid: 6
	platform view guid: 1
	source timestamp: 1682544754131592999
	instance guid: 844c37f500000007
	source guid: 9645061
	validity 1
In callback() (the 7 time): Hello, world.	6	msg_instance_guid: 844c37f500000008	message_type_id: 1	message_size: 24	transaction_id: 7
In callback() Message Header - tid: 7
	platform view guid: 1
	source timestamp: 1682544754131788999
	instance guid: 844c37f500000008
	source guid: 9645061
	validity 1
In callback() (the 8 time): Hello, world.	7	msg_instance_guid: 844c37f500000009	message_type_id: 1	message_size: 24	transaction_id: 8
In callback() Message Header - tid: 8
	platform view guid: 1
	source timestamp: 1682544754131990999
	instance guid: 844c37f500000009
	source guid: 9645061
	validity 1
In callback() (the 9 time): Hello, world.	8	msg_instance_guid: 844c37f50000000a	message_type_id: 1	message_size: 24	transaction_id: 9
In callback() Message Header - tid: 9
	platform view guid: 1
	source timestamp: 1682544754132193999
	instance guid: 844c37f50000000a
	source guid: 9645061
	validity 1
In callback() (the 10 time): Hello, world.	9	msg_instance_guid: 844c37f50000000b	message_type_id: 1	message_size: 24	transaction_id: 10
In callback() Message Header - tid: 10
	platform view guid: 1
	source timestamp: 1682544754132411999
	instance guid: 844c37f50000000b
	source guid: 9645061
	validity 1
Subscriber: about to Unregister_Callback()
Sleep - done waiting for callback to unregister
Publisher: about to send_message() 10x for receives
  sending 10
  sending 11
  sending 12
  sending 13
  sending 14
  sending 15
  sending 16
  sending 17
  sending 18
  sending 19
Receive_Message: (the 0 time): Hello, world.	10	msg_instance_guid: 844c37f50000000c	ttid: 11
Message Header - tid: 11
	platform view guid: 1
	source timestamp: 1682544774132869999
	instance guid: 844c37f50000000c
	source guid: 9645061
	validity 1
Receive_Message: (the 1 time): Hello, world.	15	msg_instance_guid: 844c37f500000011	ttid: 12
Message Header - tid: 12
	platform view guid: 1
	source timestamp: 1682544774134225999
	instance guid: 844c37f500000011
	source guid: 9645061
	validity 1
Receive_Message: (the 2 time): Hello, world.	16	msg_instance_guid: 844c37f500000012	ttid: 13
Message Header - tid: 13
	platform view guid: 1
	source timestamp: 1682544774134448999
	instance guid: 844c37f500000012
	source guid: 9645061
	validity 1
Receive_Message: (the 3 time): Hello, world.	17	msg_instance_guid: 844c37f500000013	ttid: 14
Message Header - tid: 14
	platform view guid: 1
	source timestamp: 1682544774134646999
	instance guid: 844c37f500000013
	source guid: 9645061
	validity 1
Receive_Message: (the 4 time): Hello, world.	18	msg_instance_guid: 844c37f500000014	ttid: 15
Message Header - tid: 15
	platform view guid: 1
	source timestamp: 1682544774134843999
	instance guid: 844c37f500000014
	source guid: 9645061
	validity 1
Receive_Message: (the 5 time): Hello, world.	19	msg_instance_guid: 844c37f500000015	ttid: 16
Message Header - tid: 16
	platform view guid: 1
	source timestamp: 1682544774135542999
	instance guid: 844c37f500000015
	source guid: 9645061
	validity 1
(8636|8636) Callback was triggered 10 times
(8636|8636) Receive Message was triggered 6 times
test PASSED.

auto_run_tests_finished: tests/FACE/Header/run_test.pl Time:51s Result:0

==============================================================================

tests/FACE/Reliability/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Reliability/Subscriber/subscriber  -DCPSPendingTimeout 3 
Subscriber PID: 8661 started at 2023-04-26 16:33:09
Subscriber: about to receive_message()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Reliability/Publisher/publisher  -DCPSPendingTimeout 3 
Publisher PID: 8667 started at 2023-04-26 16:33:14
Publisher: about to test timeout values in send_message()
Test 1: sending with TIMEOUT=1 MAX_BLOCKING=INF, should return INVALID_PARAM
Test 1: PASSED
Test 2: sending with TIMEOUT=0 MAX_BLOCKING=Default (100000000 nsec), should return INVALID_PARAM
Test 2: PASSED
Test 3: sending msg 0 with TIMEOUT=100000000 nsec MAX_BLOCKING=Default (100000000 nsec), should succeed
Hello, world.	0
Test 3: PASSED
Test 4: sending msg 1 with TIMEOUT=200000000 nsec MAX_BLOCKING=Default (100000000 nsec), should succeed
Hello, world.	1
Test 4: PASSED
Publisher: about to send_message()
  sending 2
Hello, world.	2
  sending 3
Hello, world.	3
  sending 4
Hello, world.	4
  sending 5
Hello, world.	5
  sending 6
Hello, world.	6
  sending 7
Hello, world.	7
  sending 8
Hello, world.	8
  sending 9
Hello, world.	9
  sending 10
Hello, world.	10
  sending 11
Hello, world.	11
  sending 12
Hello, world.	12
  sending 13
Hello, world.	13
  sending 14
Hello, world.	14
  sending 15
Hello, world.	15
  sending 16
Hello, world.	16
  sending 17
Hello, world.	17
  sending 18
Hello, world.	18
  sending 19
Hello, world.	19
test PASSED.

auto_run_tests_finished: tests/FACE/Reliability/run_test.pl Time:52s Result:0

==============================================================================

tests/FACE/Partition/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Subscriber/subscriber 1 -DCPSPendingTimeout 3 
Subscriber1 PID: 8683 started at 2023-04-26 16:34:01
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Subscriber/subscriber 2 -DCPSPendingTimeout 3 
Subscriber2 PID: 8684 started at 2023-04-26 16:34:01
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Subscriber/subscriber 3 -DCPSPendingTimeout 3 
Subscriber3 PID: 8685 started at 2023-04-26 16:34:01
(8683|8683) Subscriber: about to receive_message()
(8684|8684) Subscriber: about to receive_message()
(8685|8685) Subscriber: about to receive_message()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Publisher/publisher 1 -DCPSPendingTimeout 3 
Publisher1 PID: 8702 started at 2023-04-26 16:34:04
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Publisher/publisher 2 -DCPSPendingTimeout 3 
Publisher2 PID: 8703 started at 2023-04-26 16:34:04
(8702|8702) Publisher: about to send_message()
(8702|8702) sending part: 1
(8685|8685) Subscriber3: Hello, world. part: 1
(8685|8685) Subscriber: about to receive_message()
(8683|8683) Subscriber1: Hello, world. part: 1
(8703|8703) Publisher: about to send_message()
(8703|8703) sending part: 2
(8685|8685) Subscriber3: Hello, world. part: 2
(8685|8685) Subscriber: about to receive_message()
(8684|8684) Subscriber2: Hello, world. part: 2
(8684|8684) Subscriber: about to receive_message()
(8703|8703) sending part: 2
(8685|8685) Subscriber3: Hello, world. part: 2
(8684|8684) Subscriber2: Hello, world. part: 2
test PASSED.

auto_run_tests_finished: tests/FACE/Partition/run_test.pl Time:19s Result:0

==============================================================================

tests/FACE/Compiler/idl_test1_main/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test1_main/idl_test1  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile idl_test1.log -DCPSPendingTimeout 3 
idl_test1 PID: 8717 started at 2023-04-26 16:34:20
serialized_size_bound => <unbounded>
serialized_size => 90
BEFORE WRITING, LENGTH: 0, BUFFER:

AFTER WRITING, LENGTH: 90, BUFFER:
4d 00 00 00 13 00 00 00  00 ff 80 3d 02 00 00 00   M..........=....
07 00 0b 00 01 00 00 00  00 00 00 00 00 00 00 00   ................
05 00 00 00 66 6f 75 72  00 e0 18 a0 16 00 00 00   ....four........
00 c0 00 c1 a3 00 00 00  00 b0 65 e5 a4 00 00 00   ..........e.....
00 a4 70 7d 3f ae 47 e1  3d 00 00 00 00 09 00 00   ..p}?.G.=.......
00 61 20 73 74 72 69 6e  67 00                     .a string.      

AFTER READING, LENGTH: 0, BUFFER:
4d 00 00 00 13 00 00 00  00 ff 80 3d 02 00 00 00   M..........=....
07 00 0b 00 01 00 00 00  00 00 00 00 00 00 00 00   ................
05 00 00 00 66 6f 75 72  00 e0 18 a0 16 00 00 00   ....four........
00 c0 00 c1 a3 00 00 00  00 b0 65 e5 a4 00 00 00   ..........e.....
00 a4 70 7d 3f ae 47 e1  3d 00 00 00 00 09 00 00   ..p}?.G.=.......
00 61 20 73 74 72 69 6e  67 00                     .a string.      

key (expected:
4d 00 00 00                                        M...            
, observed:
4d 00 00 00                                        M...            
)
x (expected:
a4 70 7d 3f                                        .p}?            
, observed:
a4 70 7d 3f                                        .p}?            
)
y (expected:
ae 47 e1 3d                                        .G.=            
, observed:
ae 47 e1 3d                                        .G.=            
)
xcolor (expected:
00 00                                              ..              
, observed:
00 00                                              ..              
)
octer (expected:
13                                                 .               
, observed:
13                                                 .               
)
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test1_main/idl_test1 PASSED
test PASSED.

auto_run_tests_finished: tests/FACE/Compiler/idl_test1_main/run_test.pl Time:0s Result:0

==============================================================================

tests/FACE/Compiler/idl_test3_main/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test3_main/idl_test3  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile idl_test3.log -DCPSPendingTimeout 3 
idl_test3 PID: 8719 started at 2023-04-26 16:34:20
Xyz::StructOfArrayOfBoolean: serialized_size_bound(unaligned_encoding) => 5
Xyz::StructOfArrayOfBoolean: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfBoolean: serialized_size(unaligned_encoding, foo) => 5
Xyz::StructOfArrayOfBoolean: serialized_size(aligned_encoding, foo) => 5
Xyz::StructOfArrayOfBoolean: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfBoolean: AFTER WRITING, LENGTH: 5, BUFFER:
01 00 01 00 01                                     .....           

Xyz::StructOfArrayOfBoolean: try_marshaling PASSED
Xyz::StructOfArrayOfString: serialized_size_bound(unaligned_encoding) => 60
Xyz::StructOfArrayOfString: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfString: serialized_size(unaligned_encoding, foo) => 39
Xyz::StructOfArrayOfString: serialized_size(aligned_encoding, foo) => 49
Xyz::StructOfArrayOfString: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfString: AFTER WRITING, LENGTH: 39, BUFFER:
02 00 00 00 49 00 05 00  00 00 68 6f 70 65 00 05   ....I.....hope..
00 00 00 74 68 69 73 00  06 00 00 00 77 6f 72 6b   ...this.....work
73 00 01 00 00 00 00                               s......         

Xyz::StructOfArrayOfString: try_marshaling PASSED
Xyz::StructOfArrayOfChar: serialized_size_bound(unaligned_encoding) => 5
Xyz::StructOfArrayOfChar: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfChar: serialized_size(unaligned_encoding, foo) => 5
Xyz::StructOfArrayOfChar: serialized_size(aligned_encoding, foo) => 5
Xyz::StructOfArrayOfChar: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfChar: AFTER WRITING, LENGTH: 5, BUFFER:
41 42 43 44 45                                     ABCDE           

Xyz::StructOfArrayOfChar: try_marshaling PASSED
Xyz::StructOfArrayOfOctet: serialized_size_bound(unaligned_encoding) => 5
Xyz::StructOfArrayOfOctet: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfOctet: serialized_size(unaligned_encoding, foo) => 5
Xyz::StructOfArrayOfOctet: serialized_size(aligned_encoding, foo) => 5
Xyz::StructOfArrayOfOctet: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfOctet: AFTER WRITING, LENGTH: 5, BUFFER:
00 01 02 03 04                                     .....           

Xyz::StructOfArrayOfOctet: try_marshaling PASSED
Xyz::StructOfArrayOfLong: serialized_size_bound(unaligned_encoding) => 20
Xyz::StructOfArrayOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfLong: serialized_size(unaligned_encoding, foo) => 20
Xyz::StructOfArrayOfLong: serialized_size(aligned_encoding, foo) => 20
Xyz::StructOfArrayOfLong: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfLong: AFTER WRITING, LENGTH: 20, BUFFER:
00 00 00 00 01 00 00 00  02 00 00 00 03 00 00 00   ................
04 00 00 00                                        ....            

Xyz::StructOfArrayOfLong: try_marshaling PASSED
Xyz::StructOfArrayOfAnEnum: serialized_size_bound(unaligned_encoding) => 20
Xyz::StructOfArrayOfAnEnum: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfAnEnum: serialized_size(unaligned_encoding, foo) => 20
Xyz::StructOfArrayOfAnEnum: serialized_size(aligned_encoding, foo) => 20
Xyz::StructOfArrayOfAnEnum: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfAnEnum: AFTER WRITING, LENGTH: 20, BUFFER:
01 00 00 00 02 00 00 00  01 00 00 00 02 00 00 00   ................
01 00 00 00                                        ....            

Xyz::StructOfArrayOfAnEnum: try_marshaling PASSED
Xyz::StructOfArrayOfArrayOfLong: serialized_size_bound(unaligned_encoding) => 140
Xyz::StructOfArrayOfArrayOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfArrayOfLong: serialized_size(unaligned_encoding, foo) => 140
Xyz::StructOfArrayOfArrayOfLong: serialized_size(aligned_encoding, foo) => 140
Xyz::StructOfArrayOfArrayOfLong: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfArrayOfLong: AFTER WRITING, LENGTH: 140, BUFFER:
00 00 00 00 01 00 00 00  02 00 00 00 03 00 00 00   ................
04 00 00 00 05 00 00 00  06 00 00 00 07 00 00 00   ................
08 00 00 00 09 00 00 00  0a 00 00 00 0b 00 00 00   ................
0c 00 00 00 0d 00 00 00  0e 00 00 00 0f 00 00 00   ................
10 00 00 00 11 00 00 00  12 00 00 00 13 00 00 00   ................
14 00 00 00 15 00 00 00  16 00 00 00 17 00 00 00   ................
18 00 00 00 19 00 00 00  1a 00 00 00 1b 00 00 00   ................
1c 00 00 00 1d 00 00 00  1e 00 00 00 1f 00 00 00   ................
20 00 00 00 21 00 00 00  22 00 00 00                ...!..."...    

Xyz::StructOfArrayOfArrayOfLong: try_marshaling PASSED
Xyz::StructOfSeqOfBoolean: serialized_size_bound(unaligned_encoding) => 10
Xyz::StructOfSeqOfBoolean: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfBoolean: serialized_size(unaligned_encoding, foo) => 9
Xyz::StructOfSeqOfBoolean: serialized_size(aligned_encoding, foo) => 9
Xyz::StructOfSeqOfBoolean: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfBoolean: AFTER WRITING, LENGTH: 9, BUFFER:
05 00 00 00 01 00 01 00  01                        .........       

Xyz::StructOfSeqOfBoolean: try_marshaling PASSED
Xyz::StructOfSeqOfString: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size(unaligned_encoding, foo) => 43
Xyz::StructOfSeqOfString: serialized_size(aligned_encoding, foo) => 53
Xyz::StructOfSeqOfString: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfString: AFTER WRITING, LENGTH: 43, BUFFER:
05 00 00 00 02 00 00 00  49 00 05 00 00 00 68 6f   ........I.....ho
70 65 00 05 00 00 00 74  68 69 73 00 06 00 00 00   pe.....this.....
77 6f 72 6b 73 00 01 00  00 00 00                  works......     

Xyz::StructOfSeqOfString: try_marshaling PASSED
Xyz::StructOfSeqOfChar: serialized_size_bound(unaligned_encoding) => 10
Xyz::StructOfSeqOfChar: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfChar: serialized_size(unaligned_encoding, foo) => 9
Xyz::StructOfSeqOfChar: serialized_size(aligned_encoding, foo) => 9
Xyz::StructOfSeqOfChar: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfChar: AFTER WRITING, LENGTH: 9, BUFFER:
05 00 00 00 41 42 43 44  45                        ....ABCDE       

Xyz::StructOfSeqOfChar: try_marshaling PASSED
Xyz::StructOfSeqOfOctet: serialized_size_bound(unaligned_encoding) => 10
Xyz::StructOfSeqOfOctet: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfOctet: serialized_size(unaligned_encoding, foo) => 9
Xyz::StructOfSeqOfOctet: serialized_size(aligned_encoding, foo) => 9
Xyz::StructOfSeqOfOctet: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfOctet: AFTER WRITING, LENGTH: 9, BUFFER:
05 00 00 00 00 01 02 03  04                        .........       

Xyz::StructOfSeqOfOctet: try_marshaling PASSED
Xyz::StructOfSeqOfLong: serialized_size_bound(unaligned_encoding) => 28
Xyz::StructOfSeqOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfLong: serialized_size(unaligned_encoding, foo) => 24
Xyz::StructOfSeqOfLong: serialized_size(aligned_encoding, foo) => 24
Xyz::StructOfSeqOfLong: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfLong: AFTER WRITING, LENGTH: 24, BUFFER:
05 00 00 00 00 00 00 00  01 00 00 00 02 00 00 00   ................
03 00 00 00 04 00 00 00                            ........        

Xyz::StructOfSeqOfLong: try_marshaling PASSED
Xyz::StructOfSeqOfAnEnum: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::StructOfSeqOfAnEnum: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfAnEnum: serialized_size(unaligned_encoding, foo) => 24
Xyz::StructOfSeqOfAnEnum: serialized_size(aligned_encoding, foo) => 24
Xyz::StructOfSeqOfAnEnum: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfAnEnum: AFTER WRITING, LENGTH: 24, BUFFER:
05 00 00 00 01 00 00 00  02 00 00 00 01 00 00 00   ................
02 00 00 00 01 00 00 00                            ........        

Xyz::StructOfSeqOfAnEnum: try_marshaling PASSED
Xyz::StructOfArrayOfSeqOfLong: serialized_size_bound(unaligned_encoding) => 168
Xyz::StructOfArrayOfSeqOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfSeqOfLong: serialized_size(unaligned_encoding, foo) => 144
Xyz::StructOfArrayOfSeqOfLong: serialized_size(aligned_encoding, foo) => 144
Xyz::StructOfArrayOfSeqOfLong: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfSeqOfLong: AFTER WRITING, LENGTH: 144, BUFFER:
05 00 00 00 00 00 00 00  01 00 00 00 02 00 00 00   ................
03 00 00 00 04 00 00 00  05 00 00 00 05 00 00 00   ................
06 00 00 00 07 00 00 00  08 00 00 00 09 00 00 00   ................
05 00 00 00 0a 00 00 00  0b 00 00 00 0c 00 00 00   ................
0d 00 00 00 0e 00 00 00  05 00 00 00 0f 00 00 00   ................
10 00 00 00 11 00 00 00  12 00 00 00 13 00 00 00   ................
05 00 00 00 14 00 00 00  15 00 00 00 16 00 00 00   ................
17 00 00 00 18 00 00 00  05 00 00 00 19 00 00 00   ................
1a 00 00 00 1b 00 00 00  1c 00 00 00 1d 00 00 00   ................

Xyz::StructOfArrayOfSeqOfLong: try_marshaling PASSED
Xyz::StructOfSeqOfSeqOfLong: serialized_size_bound(unaligned_encoding) => 116
Xyz::StructOfSeqOfSeqOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfSeqOfLong: serialized_size(unaligned_encoding, foo) => 100
Xyz::StructOfSeqOfSeqOfLong: serialized_size(aligned_encoding, foo) => 100
Xyz::StructOfSeqOfSeqOfLong: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfSeqOfLong: AFTER WRITING, LENGTH: 100, BUFFER:
04 00 00 00 05 00 00 00  00 00 00 00 01 00 00 00   ................
02 00 00 00 03 00 00 00  04 00 00 00 05 00 00 00   ................
05 00 00 00 06 00 00 00  07 00 00 00 08 00 00 00   ................
09 00 00 00 05 00 00 00  0a 00 00 00 0b 00 00 00   ................
0c 00 00 00 0d 00 00 00  0e 00 00 00 05 00 00 00   ................
0f 00 00 00 10 00 00 00  11 00 00 00 12 00 00 00   ................
13 00 00 00                                        ....            

Xyz::StructOfSeqOfSeqOfLong: try_marshaling PASSED
Xyz::StructOfSeqOfString: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size(unaligned_encoding, foo) => 23
Xyz::StructOfSeqOfString: serialized_size(aligned_encoding, foo) => 26
Xyz::StructOfSeqOfString: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfString: AFTER WRITING, LENGTH: 23, BUFFER:
02 00 00 00 05 00 00 00  66 6f 75 72 00 06 00 00   ........four....
00 66 69 76 65 35 00                               .five5.         

Xyz::StructOfSeqOfString: try_marshaling PASSED
Xyz::Foo: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::Foo: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::Foo: serialized_size(unaligned_encoding, foo) => 3625
Xyz::Foo: serialized_size(aligned_encoding, foo) => 4136
Xyz::Foo: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::Foo: AFTER WRITING, LENGTH: 3625, BUFFER:
4d 00 00 00 13 14 00 00  00 00 ff 80 3d 05 00 00   M...........=...
00 66 6f 75 72 00 00 00  00 00 00 00 00 00 00 00   .four...........
00 00 00 01 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  01 00 00 00 00 01 00 00   ................
00 00 01 00 00 00 00 01  00 00 00 00 01 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 01 00  00 00 00 01 00 00 00 00   ................
01 00 00 00 00 01 00 00  00 00 01 00 00 00 00 01   ................
00 00 00 00 01 00 00 00  00 01 00 00 00 00 01 00   ................
00 00 00 01 00 00 00 00  01 00 00 00 00 01 00 00   ................
00 00 01 00 00 00 00 01  00 00 00 00 01 00 00 00   ................
00 01 00 00 00 00 01 00  00 00 00 01 00 00 00 00   ................
01 00 00 00 00 01 00 00  00 00 01 00 00 00 00 01   ................
00 00 00 00 01 00 00 00  00 01 00 00 00 00 01 00   ................
00 00 00 01 00 00 00 00  01 00 00 00 00 01 00 00   ................
00 00 01 00 00 00 00 01  00 00 00 00 01 00 00 00   ................
00 01 00 00 00 00 01 00  00 00 00 01 00 00 00 00   ................
01 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 5c f0 20   .............\. 
6b 3b 00 00 00 00 00 00  00 00 00 7b 38 e0 6b 3b   k;.........{8.k;
00 00 00 a0 c9 e8 14 10  7f 00 00 aa 82 20 6b 3b   ............. k;
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 b9 40 20 6c 3b  00 00 00 01 00 00 00 00   ....@ l;........
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 20 d0 ed 5b f9 ff 00  00 00 00 00 01 00 00 00   . ..[...........
00 fc 5c a1 3b 00 00 00  00 00 00 00 10 7f 00 00   ..\.;...........
00 01 00 00 00 00 01 00  00 00 00 01Xyz::Foo: try_marshaling PASSED
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test3_main/idl_test3 PASSED
test PASSED.

auto_run_tests_finished: tests/FACE/Compiler/idl_test3_main/run_test.pl Time:0s Result:0

==============================================================================

tests/FACE/Compiler/idl_test_fixed/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test_fixed/TestFixed  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile TestFixed.log -DCPSPendingTimeout 3 
TestFixed PID: 8721 started at 2023-04-26 16:34:21
test PASSED.

auto_run_tests_finished: tests/FACE/Compiler/idl_test_fixed/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/XTypes/run_test.pl #

----- IgnoreMemberNames_MutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableStructMatch.log --type MutableStruct --ignore-member-names -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableStructMatch PID: 8723 started at 2023-04-26 16:34:21
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableStructMatch.log --type ModifiedNameMutableStruct -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableStructMatch PID: 8724 started at 2023-04-26 16:34:21
test PASSED.
----- MutableUnionNoMatchDisc --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchDisc.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchDisc PID: 8735 started at 2023-04-26 16:34:21
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchDisc.log --type ModifiedDiscMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchDisc PID: 8736 started at 2023-04-26 16:34:21
test PASSED.
----- AppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableMatch.log --type AppendableStruct -DCPSPendingTimeout 3 
reader_AppendableMatch PID: 8747 started at 2023-04-26 16:34:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableMatch.log --type AdditionalPostfixFieldStruct -DCPSPendingTimeout 3 
writer_AppendableMatch PID: 8748 started at 2023-04-26 16:34:22
test PASSED.
----- FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructNoMatch.log --type FinalStructSub -DCPSPendingTimeout 3 
reader_FinalStructNoMatch PID: 8759 started at 2023-04-26 16:34:23
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructNoMatch.log --type ModifiedFinalStruct -DCPSPendingTimeout 3 
writer_FinalStructNoMatch PID: 8760 started at 2023-04-26 16:34:23
test PASSED.
----- IgnoreMemberNames_MutableUnionMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableUnionMatch.log --type MutableUnion --ignore-member-names -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableUnionMatch PID: 8771 started at 2023-04-26 16:34:23
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableUnionMatch.log --type ModifiedNameMutableUnion -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableUnionMatch PID: 8772 started at 2023-04-26 16:34:23
test PASSED.
----- NoXTypesMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName -DCPSPendingTimeout 3 
reader_NoXTypesMatchTypeNames PID: 8783 started at 2023-04-26 16:34:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName -DCPSPendingTimeout 3 
writer_NoXTypesMatchTypeNames PID: 8784 started at 2023-04-26 16:34:24
test PASSED.
----- Dependency --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Dependency.log --type AppendableStruct -DCPSPendingTimeout 3 
reader_Dependency PID: 8795 started at 2023-04-26 16:34:25
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Dependency.log --type AppendableStructWithDependency -DCPSPendingTimeout 3 
writer_Dependency PID: 8796 started at 2023-04-26 16:34:25
test PASSED.
----- DisallowTypeCoercion_FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructNoMatch.log --type FinalStructSub --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructNoMatch PID: 8807 started at 2023-04-26 16:34:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructNoMatch.log --type ModifiedFinalStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructNoMatch PID: 8808 started at 2023-04-26 16:34:26
test PASSED.
----- MutableUnion --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnion.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnion PID: 8819 started at 2023-04-26 16:34:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnion.log --type ModifiedMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnion PID: 8820 started at 2023-04-26 16:34:26
test PASSED.
----- MutableStruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStruct.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStruct PID: 8831 started at 2023-04-26 16:34:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStruct.log --type ModifiedMutableStruct -DCPSPendingTimeout 3 
writer_MutableStruct PID: 8832 started at 2023-04-26 16:34:27
test PASSED.
----- FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructMatch.log --type FinalStructSub -DCPSPendingTimeout 3 
reader_FinalStructMatch PID: 8843 started at 2023-04-26 16:34:28
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructMatch.log --type FinalStructPub -DCPSPendingTimeout 3 
writer_FinalStructMatch PID: 8844 started at 2023-04-26 16:34:28
test PASSED.
----- MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchType.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStructNoMatchType PID: 8855 started at 2023-04-26 16:34:29
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct -DCPSPendingTimeout 3 
writer_MutableStructNoMatchType PID: 8856 started at 2023-04-26 16:34:29
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes --force-type-validation -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_ny PID: 8867 started at 2023-04-26 16:34:29
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_ny PID: 8868 started at 2023-04-26 16:34:29
test PASSED.
----- Match_no_xtypes_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_nn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
reader_Match_no_xtypes_nn PID: 8879 started at 2023-04-26 16:34:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_nn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_Match_no_xtypes_nn PID: 8880 started at 2023-04-26 16:34:30
test PASSED.
----- DisallowTypeCoercion_FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructSub --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructMatch PID: 8891 started at 2023-04-26 16:34:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructPub -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructMatch PID: 8892 started at 2023-04-26 16:34:32
test PASSED.
----- Match_no_xtypes_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_yn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
reader_Match_no_xtypes_yn PID: 8903 started at 2023-04-26 16:34:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Match_no_xtypes_yn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_Match_no_xtypes_yn PID: 8904 started at 2023-04-26 16:34:32
test PASSED.
----- Match_no_xtypes_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Match_no_xtypes_ny.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
reader_Match_no_xtypes_ny PID: 8923 started at 2023-04-26 16:34:33
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_ny.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_Match_no_xtypes_ny PID: 8924 started at 2023-04-26 16:34:33
test PASSED.
----- ExtendedMutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedMutableStructMatch.log --type MutableStruct -DCPSPendingTimeout 3 
reader_ExtendedMutableStructMatch PID: 8935 started at 2023-04-26 16:34:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedMutableStructMatch.log --type MutableBaseStruct -DCPSPendingTimeout 3 
writer_ExtendedMutableStructMatch PID: 8936 started at 2023-04-26 16:34:34
test PASSED.
----- Tryconstruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Tryconstruct.log --type Trim20Struct -DCPSPendingTimeout 3 
reader_Tryconstruct PID: 8947 started at 2023-04-26 16:34:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Tryconstruct.log --type Trim64Struct -DCPSPendingTimeout 3 
writer_Tryconstruct PID: 8948 started at 2023-04-26 16:34:35
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchType.log --type MutableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchType PID: 8959 started at 2023-04-26 16:34:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchType PID: 8960 started at 2023-04-26 16:34:35
test PASSED.
----- ExtendedAppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedAppendableMatch.log --type ExtendedAppendableStruct -DCPSPendingTimeout 3 
reader_ExtendedAppendableMatch PID: 8971 started at 2023-04-26 16:34:36
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedAppendableMatch.log --type BaseAppendableStruct -DCPSPendingTimeout 3 
writer_ExtendedAppendableMatch PID: 8972 started at 2023-04-26 16:34:36
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch2 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AppendableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 8983 started at 2023-04-26 16:34:37
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AdditionalPrefixFieldStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 8984 started at 2023-04-26 16:34:37
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatchType.log --type MutableUnion --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatchType PID: 8995 started at 2023-04-26 16:34:37
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatchType PID: 8996 started at 2023-04-26 16:34:37
test PASSED.
----- NoXTypesNoMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type ReaderTypeName -DCPSPendingTimeout 3 
reader_NoXTypesNoMatchTypeNames PID: 9007 started at 2023-04-26 16:34:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type WriterTypeName -DCPSPendingTimeout 3 
writer_NoXTypesNoMatchTypeNames PID: 9008 started at 2023-04-26 16:34:38
test PASSED.
----- MutableStructNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchName.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStructNoMatchName PID: 9019 started at 2023-04-26 16:34:39
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchName.log --type ModifiedNameMutableStruct -DCPSPendingTimeout 3 
writer_MutableStructNoMatchName PID: 9020 started at 2023-04-26 16:34:39
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatch.log --type MutableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatch PID: 9031 started at 2023-04-26 16:34:39
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatch.log --type ModifiedMutableStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatch PID: 9032 started at 2023-04-26 16:34:39
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatch.log --type MutableUnion --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatch PID: 9043 started at 2023-04-26 16:34:40
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatch.log --type ModifiedMutableUnion -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatch PID: 9044 started at 2023-04-26 16:34:40
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes --force-type-validation -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_nn PID: 9055 started at 2023-04-26 16:34:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_nn PID: 9056 started at 2023-04-26 16:34:41
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes --force-type-validation -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_yn PID: 9067 started at 2023-04-26 16:34:42
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_yn PID: 9068 started at 2023-04-26 16:34:42
test PASSED.
----- MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchId.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStructNoMatchId PID: 9079 started at 2023-04-26 16:34:43
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchId.log --type ModifiedIdMutableStruct -DCPSPendingTimeout 3 
writer_MutableStructNoMatchId PID: 9080 started at 2023-04-26 16:34:43
test PASSED.
----- AppendableNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableNoMatch.log --type AppendableStruct -DCPSPendingTimeout 3 
reader_AppendableNoMatch PID: 9091 started at 2023-04-26 16:34:44
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableNoMatch.log --type AdditionalPrefixFieldStruct -DCPSPendingTimeout 3 
writer_AppendableNoMatch PID: 9092 started at 2023-04-26 16:34:44
test PASSED.
----- MutableUnionNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchName.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchName PID: 9103 started at 2023-04-26 16:34:44
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchName.log --type ModifiedNameMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchName PID: 9104 started at 2023-04-26 16:34:44
test PASSED.
----- PlainCdr --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_PlainCdr.log --type PlainCdrStruct -DCPSPendingTimeout 3 
reader_PlainCdr PID: 9115 started at 2023-04-26 16:34:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_PlainCdr.log --type PlainCdrStruct -DCPSPendingTimeout 3 
writer_PlainCdr PID: 9116 started at 2023-04-26 16:34:45
test PASSED.
----- MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchType.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchType PID: 9127 started at 2023-04-26 16:34:46
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchType PID: 9128 started at 2023-04-26 16:34:46
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch1 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AppendableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 9139 started at 2023-04-26 16:34:47
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AdditionalPostfixFieldStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 9140 started at 2023-04-26 16:34:47
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchId.log --type MutableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchId PID: 9151 started at 2023-04-26 16:34:47
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchId.log --type ModifiedIdMutableStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchId PID: 9152 started at 2023-04-26 16:34:47
test PASSED.

auto_run_tests_finished: tests/DCPS/XTypes/run_test.pl Time:27s Result:0

==============================================================================

tests/DCPS/XTypes/run_test.pl --dynamic-writers #

----- IgnoreMemberNames_MutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableStructMatch.log --type MutableStruct --ignore-member-names -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableStructMatch PID: 9164 started at 2023-04-26 16:34:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableStructMatch.log --type ModifiedNameMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableStructMatch PID: 9165 started at 2023-04-26 16:34:48
test PASSED.
----- MutableUnionNoMatchDisc --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchDisc.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchDisc PID: 9176 started at 2023-04-26 16:34:49
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchDisc.log --type ModifiedDiscMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchDisc PID: 9177 started at 2023-04-26 16:34:49
test PASSED.
----- AppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableMatch.log --type AppendableStruct -DCPSPendingTimeout 3 
reader_AppendableMatch PID: 9188 started at 2023-04-26 16:34:50
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableMatch.log --type AdditionalPostfixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_AppendableMatch PID: 9189 started at 2023-04-26 16:34:50
test PASSED.
----- FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructNoMatch.log --type FinalStructSub -DCPSPendingTimeout 3 
reader_FinalStructNoMatch PID: 9200 started at 2023-04-26 16:34:51
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructNoMatch.log --type ModifiedFinalStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_FinalStructNoMatch PID: 9201 started at 2023-04-26 16:34:51
test PASSED.
----- IgnoreMemberNames_MutableUnionMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableUnionMatch.log --type MutableUnion --ignore-member-names -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableUnionMatch PID: 9212 started at 2023-04-26 16:34:51
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableUnionMatch.log --type ModifiedNameMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableUnionMatch PID: 9213 started at 2023-04-26 16:34:51
test PASSED.
----- NoXTypesMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName -DCPSPendingTimeout 3 
reader_NoXTypesMatchTypeNames PID: 9224 started at 2023-04-26 16:34:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName --dynamic-ts -DCPSPendingTimeout 3 
writer_NoXTypesMatchTypeNames PID: 9225 started at 2023-04-26 16:34:52
test PASSED.
----- Dependency --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Dependency.log --type AppendableStruct -DCPSPendingTimeout 3 
reader_Dependency PID: 9236 started at 2023-04-26 16:34:53
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Dependency.log --type AppendableStructWithDependency --dynamic-ts -DCPSPendingTimeout 3 
writer_Dependency PID: 9237 started at 2023-04-26 16:34:53
test PASSED.
----- DisallowTypeCoercion_FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructNoMatch.log --type FinalStructSub --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructNoMatch PID: 9248 started at 2023-04-26 16:34:54
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructNoMatch.log --type ModifiedFinalStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructNoMatch PID: 9249 started at 2023-04-26 16:34:54
test PASSED.
----- MutableUnion --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnion.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnion PID: 9260 started at 2023-04-26 16:34:54
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnion.log --type ModifiedMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnion PID: 9261 started at 2023-04-26 16:34:54
test PASSED.
----- MutableStruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStruct.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStruct PID: 9272 started at 2023-04-26 16:34:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStruct.log --type ModifiedMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStruct PID: 9273 started at 2023-04-26 16:34:55
test PASSED.
----- FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructMatch.log --type FinalStructSub -DCPSPendingTimeout 3 
reader_FinalStructMatch PID: 9284 started at 2023-04-26 16:34:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructMatch.log --type FinalStructPub --dynamic-ts -DCPSPendingTimeout 3 
writer_FinalStructMatch PID: 9285 started at 2023-04-26 16:34:56
test PASSED.
----- MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchType.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStructNoMatchType PID: 9296 started at 2023-04-26 16:34:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStructNoMatchType PID: 9297 started at 2023-04-26 16:34:56
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes --force-type-validation -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_ny PID: 9308 started at 2023-04-26 16:34:57
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_ny PID: 9309 started at 2023-04-26 16:34:57
test PASSED.
----- Match_no_xtypes_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_nn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
reader_Match_no_xtypes_nn PID: 9320 started at 2023-04-26 16:34:58
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_nn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_Match_no_xtypes_nn PID: 9321 started at 2023-04-26 16:34:58
test PASSED.
----- DisallowTypeCoercion_FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructSub --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructMatch PID: 9332 started at 2023-04-26 16:34:59
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructPub --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructMatch PID: 9333 started at 2023-04-26 16:34:59
test PASSED.
----- Match_no_xtypes_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_yn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
reader_Match_no_xtypes_yn PID: 9344 started at 2023-04-26 16:34:59
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Match_no_xtypes_yn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_Match_no_xtypes_yn PID: 9345 started at 2023-04-26 16:34:59
test PASSED.
----- Match_no_xtypes_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Match_no_xtypes_ny.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
reader_Match_no_xtypes_ny PID: 9356 started at 2023-04-26 16:35:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_ny.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_Match_no_xtypes_ny PID: 9357 started at 2023-04-26 16:35:00
test PASSED.
----- ExtendedMutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedMutableStructMatch.log --type MutableStruct -DCPSPendingTimeout 3 
reader_ExtendedMutableStructMatch PID: 9368 started at 2023-04-26 16:35:01
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedMutableStructMatch.log --type MutableBaseStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_ExtendedMutableStructMatch PID: 9369 started at 2023-04-26 16:35:01
test PASSED.
----- Tryconstruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Tryconstruct.log --type Trim20Struct -DCPSPendingTimeout 3 
reader_Tryconstruct PID: 9380 started at 2023-04-26 16:35:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Tryconstruct.log --type Trim64Struct --dynamic-ts -DCPSPendingTimeout 3 
writer_Tryconstruct PID: 9381 started at 2023-04-26 16:35:02
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchType.log --type MutableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchType PID: 9392 started at 2023-04-26 16:35:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchType PID: 9393 started at 2023-04-26 16:35:03
test PASSED.
----- ExtendedAppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedAppendableMatch.log --type ExtendedAppendableStruct -DCPSPendingTimeout 3 
reader_ExtendedAppendableMatch PID: 9404 started at 2023-04-26 16:35:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedAppendableMatch.log --type BaseAppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_ExtendedAppendableMatch PID: 9405 started at 2023-04-26 16:35:03
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch2 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AppendableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 9416 started at 2023-04-26 16:35:04
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AdditionalPrefixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 9417 started at 2023-04-26 16:35:04
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatchType.log --type MutableUnion --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatchType PID: 9428 started at 2023-04-26 16:35:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatchType PID: 9429 started at 2023-04-26 16:35:05
test PASSED.
----- NoXTypesNoMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type ReaderTypeName -DCPSPendingTimeout 3 
reader_NoXTypesNoMatchTypeNames PID: 9440 started at 2023-04-26 16:35:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type WriterTypeName --dynamic-ts -DCPSPendingTimeout 3 
writer_NoXTypesNoMatchTypeNames PID: 9441 started at 2023-04-26 16:35:05
test PASSED.
----- MutableStructNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchName.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStructNoMatchName PID: 9452 started at 2023-04-26 16:35:06
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchName.log --type ModifiedNameMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStructNoMatchName PID: 9453 started at 2023-04-26 16:35:06
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatch.log --type MutableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatch PID: 9464 started at 2023-04-26 16:35:07
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatch.log --type ModifiedMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatch PID: 9465 started at 2023-04-26 16:35:07
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatch.log --type MutableUnion --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatch PID: 9476 started at 2023-04-26 16:35:08
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatch.log --type ModifiedMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatch PID: 9477 started at 2023-04-26 16:35:08
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes --force-type-validation -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_nn PID: 9489 started at 2023-04-26 16:35:09
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_nn PID: 9490 started at 2023-04-26 16:35:09
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes --force-type-validation -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_yn PID: 9501 started at 2023-04-26 16:35:10
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_yn PID: 9502 started at 2023-04-26 16:35:10
test PASSED.
----- MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchId.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStructNoMatchId PID: 9513 started at 2023-04-26 16:35:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchId.log --type ModifiedIdMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStructNoMatchId PID: 9514 started at 2023-04-26 16:35:11
test PASSED.
----- AppendableNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableNoMatch.log --type AppendableStruct -DCPSPendingTimeout 3 
reader_AppendableNoMatch PID: 9525 started at 2023-04-26 16:35:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableNoMatch.log --type AdditionalPrefixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_AppendableNoMatch PID: 9526 started at 2023-04-26 16:35:12
test PASSED.
----- MutableUnionNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchName.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchName PID: 9537 started at 2023-04-26 16:35:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchName.log --type ModifiedNameMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchName PID: 9538 started at 2023-04-26 16:35:12
test PASSED.
----- PlainCdr --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_PlainCdr.log --type PlainCdrStruct -DCPSPendingTimeout 3 
reader_PlainCdr PID: 9549 started at 2023-04-26 16:35:13
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_PlainCdr.log --type PlainCdrStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_PlainCdr PID: 9550 started at 2023-04-26 16:35:13
test PASSED.
----- MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchType.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchType PID: 9561 started at 2023-04-26 16:35:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchType PID: 9562 started at 2023-04-26 16:35:14
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch1 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AppendableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 9573 started at 2023-04-26 16:35:15
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AdditionalPostfixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 9574 started at 2023-04-26 16:35:15
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchId.log --type MutableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchId PID: 9585 started at 2023-04-26 16:35:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchId.log --type ModifiedIdMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchId PID: 9586 started at 2023-04-26 16:35:16
test PASSED.

auto_run_tests_finished: tests/DCPS/XTypes/run_test.pl --dynamic-writers Time:28s Result:0

==============================================================================

tests/DCPS/XTypes/run_test.pl --dynamic-readers #

----- IgnoreMemberNames_MutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableStructMatch.log --type MutableStruct --ignore-member-names --dynamic-ts -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableStructMatch PID: 9598 started at 2023-04-26 16:35:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableStructMatch.log --type ModifiedNameMutableStruct -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableStructMatch PID: 9599 started at 2023-04-26 16:35:16
test PASSED.
----- MutableUnionNoMatchDisc --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchDisc.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchDisc PID: 9611 started at 2023-04-26 16:35:17
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchDisc.log --type ModifiedDiscMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchDisc PID: 9612 started at 2023-04-26 16:35:17
test PASSED.
----- AppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableMatch.log --type AppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_AppendableMatch PID: 9623 started at 2023-04-26 16:35:18
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableMatch.log --type AdditionalPostfixFieldStruct -DCPSPendingTimeout 3 
writer_AppendableMatch PID: 9624 started at 2023-04-26 16:35:18
test PASSED.
----- FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructNoMatch.log --type FinalStructSub --dynamic-ts -DCPSPendingTimeout 3 
reader_FinalStructNoMatch PID: 9635 started at 2023-04-26 16:35:19
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructNoMatch.log --type ModifiedFinalStruct -DCPSPendingTimeout 3 
writer_FinalStructNoMatch PID: 9636 started at 2023-04-26 16:35:19
test PASSED.
----- IgnoreMemberNames_MutableUnionMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableUnionMatch.log --type MutableUnion --ignore-member-names --dynamic-ts -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableUnionMatch PID: 9647 started at 2023-04-26 16:35:20
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableUnionMatch.log --type ModifiedNameMutableUnion -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableUnionMatch PID: 9648 started at 2023-04-26 16:35:20
test PASSED.
----- NoXTypesMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName --dynamic-ts -DCPSPendingTimeout 3 
reader_NoXTypesMatchTypeNames PID: 9659 started at 2023-04-26 16:35:21
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName -DCPSPendingTimeout 3 
writer_NoXTypesMatchTypeNames PID: 9660 started at 2023-04-26 16:35:21
test PASSED.
----- Dependency --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Dependency.log --type AppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_Dependency PID: 9671 started at 2023-04-26 16:35:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Dependency.log --type AppendableStructWithDependency -DCPSPendingTimeout 3 
writer_Dependency PID: 9672 started at 2023-04-26 16:35:22
test PASSED.
----- DisallowTypeCoercion_FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructNoMatch.log --type FinalStructSub --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructNoMatch PID: 9683 started at 2023-04-26 16:35:23
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructNoMatch.log --type ModifiedFinalStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructNoMatch PID: 9684 started at 2023-04-26 16:35:23
test PASSED.
----- MutableUnion --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnion.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnion PID: 9695 started at 2023-04-26 16:35:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnion.log --type ModifiedMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnion PID: 9696 started at 2023-04-26 16:35:24
test PASSED.
----- MutableStruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStruct.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStruct PID: 9707 started at 2023-04-26 16:35:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStruct.log --type ModifiedMutableStruct -DCPSPendingTimeout 3 
writer_MutableStruct PID: 9708 started at 2023-04-26 16:35:24
test PASSED.
----- FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructMatch.log --type FinalStructSub --dynamic-ts -DCPSPendingTimeout 3 
reader_FinalStructMatch PID: 9719 started at 2023-04-26 16:35:25
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructMatch.log --type FinalStructPub -DCPSPendingTimeout 3 
writer_FinalStructMatch PID: 9720 started at 2023-04-26 16:35:25
test PASSED.
----- MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchType.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStructNoMatchType PID: 9731 started at 2023-04-26 16:35:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct -DCPSPendingTimeout 3 
writer_MutableStructNoMatchType PID: 9732 started at 2023-04-26 16:35:26
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes --force-type-validation --dynamic-ts -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_ny PID: 9743 started at 2023-04-26 16:35:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_ny PID: 9744 started at 2023-04-26 16:35:27
test PASSED.
----- Match_no_xtypes_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_nn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
reader_Match_no_xtypes_nn PID: 9755 started at 2023-04-26 16:35:28
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_nn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_Match_no_xtypes_nn PID: 9756 started at 2023-04-26 16:35:28
test PASSED.
----- DisallowTypeCoercion_FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructSub --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructMatch PID: 9767 started at 2023-04-26 16:35:29
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructPub -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructMatch PID: 9768 started at 2023-04-26 16:35:29
test PASSED.
----- Match_no_xtypes_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_yn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
reader_Match_no_xtypes_yn PID: 9780 started at 2023-04-26 16:35:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Match_no_xtypes_yn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_Match_no_xtypes_yn PID: 9781 started at 2023-04-26 16:35:30
test PASSED.
----- Match_no_xtypes_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Match_no_xtypes_ny.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
reader_Match_no_xtypes_ny PID: 9792 started at 2023-04-26 16:35:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_ny.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_Match_no_xtypes_ny PID: 9793 started at 2023-04-26 16:35:30
test PASSED.
----- ExtendedMutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedMutableStructMatch.log --type MutableStruct --dynamic-ts --skip-read -DCPSPendingTimeout 3 
reader_ExtendedMutableStructMatch PID: 9804 started at 2023-04-26 16:35:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedMutableStructMatch.log --type MutableBaseStruct -DCPSPendingTimeout 3 
writer_ExtendedMutableStructMatch PID: 9805 started at 2023-04-26 16:35:31
test PASSED.
----- Tryconstruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Tryconstruct.log --type Trim20Struct --dynamic-ts --skip-read -DCPSPendingTimeout 3 
reader_Tryconstruct PID: 9816 started at 2023-04-26 16:35:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Tryconstruct.log --type Trim64Struct -DCPSPendingTimeout 3 
writer_Tryconstruct PID: 9817 started at 2023-04-26 16:35:32
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchType.log --type MutableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchType PID: 9836 started at 2023-04-26 16:35:33
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchType PID: 9837 started at 2023-04-26 16:35:33
test PASSED.
----- ExtendedAppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedAppendableMatch.log --type ExtendedAppendableStruct --dynamic-ts --skip-read -DCPSPendingTimeout 3 
reader_ExtendedAppendableMatch PID: 9848 started at 2023-04-26 16:35:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedAppendableMatch.log --type BaseAppendableStruct -DCPSPendingTimeout 3 
writer_ExtendedAppendableMatch PID: 9849 started at 2023-04-26 16:35:34
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch2 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AppendableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 9860 started at 2023-04-26 16:35:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AdditionalPrefixFieldStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 9861 started at 2023-04-26 16:35:35
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatchType.log --type MutableUnion --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatchType PID: 9872 started at 2023-04-26 16:35:36
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatchType PID: 9873 started at 2023-04-26 16:35:36
test PASSED.
----- NoXTypesNoMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type ReaderTypeName --dynamic-ts -DCPSPendingTimeout 3 
reader_NoXTypesNoMatchTypeNames PID: 9884 started at 2023-04-26 16:35:37
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type WriterTypeName -DCPSPendingTimeout 3 
writer_NoXTypesNoMatchTypeNames PID: 9885 started at 2023-04-26 16:35:37
test PASSED.
----- MutableStructNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchName.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStructNoMatchName PID: 9896 started at 2023-04-26 16:35:37
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchName.log --type ModifiedNameMutableStruct -DCPSPendingTimeout 3 
writer_MutableStructNoMatchName PID: 9897 started at 2023-04-26 16:35:37
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatch.log --type MutableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatch PID: 9908 started at 2023-04-26 16:35:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatch.log --type ModifiedMutableStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatch PID: 9909 started at 2023-04-26 16:35:38
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatch.log --type MutableUnion --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatch PID: 9920 started at 2023-04-26 16:35:39
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatch.log --type ModifiedMutableUnion -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatch PID: 9921 started at 2023-04-26 16:35:39
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes --force-type-validation --dynamic-ts -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_nn PID: 9932 started at 2023-04-26 16:35:40
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_nn PID: 9933 started at 2023-04-26 16:35:40
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes --force-type-validation --dynamic-ts -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_yn PID: 9944 started at 2023-04-26 16:35:40
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_yn PID: 9945 started at 2023-04-26 16:35:40
test PASSED.
----- MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchId.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStructNoMatchId PID: 9956 started at 2023-04-26 16:35:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchId.log --type ModifiedIdMutableStruct -DCPSPendingTimeout 3 
writer_MutableStructNoMatchId PID: 9957 started at 2023-04-26 16:35:41
test PASSED.
----- AppendableNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableNoMatch.log --type AppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_AppendableNoMatch PID: 9968 started at 2023-04-26 16:35:42
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableNoMatch.log --type AdditionalPrefixFieldStruct -DCPSPendingTimeout 3 
writer_AppendableNoMatch PID: 9969 started at 2023-04-26 16:35:42
test PASSED.
----- MutableUnionNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchName.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchName PID: 9980 started at 2023-04-26 16:35:43
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchName.log --type ModifiedNameMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchName PID: 9981 started at 2023-04-26 16:35:43
test PASSED.
----- PlainCdr --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_PlainCdr.log --type PlainCdrStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_PlainCdr PID: 9992 started at 2023-04-26 16:35:43
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_PlainCdr.log --type PlainCdrStruct -DCPSPendingTimeout 3 
writer_PlainCdr PID: 9993 started at 2023-04-26 16:35:43
test PASSED.
----- MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchType.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchType PID: 10004 started at 2023-04-26 16:35:44
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchType PID: 10005 started at 2023-04-26 16:35:44
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch1 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AppendableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 10016 started at 2023-04-26 16:35:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AdditionalPostfixFieldStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 10017 started at 2023-04-26 16:35:45
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchId.log --type MutableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchId PID: 10028 started at 2023-04-26 16:35:46
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchId.log --type ModifiedIdMutableStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchId PID: 10029 started at 2023-04-26 16:35:46
test PASSED.

auto_run_tests_finished: tests/DCPS/XTypes/run_test.pl --dynamic-readers Time:30s Result:0

==============================================================================

tests/DCPS/XTypes/run_test.pl --dynamic-writers --dynamic-readers #

----- IgnoreMemberNames_MutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableStructMatch.log --type MutableStruct --ignore-member-names --dynamic-ts -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableStructMatch PID: 10041 started at 2023-04-26 16:35:46
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableStructMatch.log --type ModifiedNameMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableStructMatch PID: 10042 started at 2023-04-26 16:35:47
test PASSED.
----- MutableUnionNoMatchDisc --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchDisc.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchDisc PID: 10053 started at 2023-04-26 16:35:47
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchDisc.log --type ModifiedDiscMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchDisc PID: 10054 started at 2023-04-26 16:35:47
test PASSED.
----- AppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableMatch.log --type AppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_AppendableMatch PID: 10065 started at 2023-04-26 16:35:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableMatch.log --type AdditionalPostfixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_AppendableMatch PID: 10066 started at 2023-04-26 16:35:48
test PASSED.
----- FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructNoMatch.log --type FinalStructSub --dynamic-ts -DCPSPendingTimeout 3 
reader_FinalStructNoMatch PID: 10077 started at 2023-04-26 16:35:49
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructNoMatch.log --type ModifiedFinalStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_FinalStructNoMatch PID: 10078 started at 2023-04-26 16:35:49
test PASSED.
----- IgnoreMemberNames_MutableUnionMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableUnionMatch.log --type MutableUnion --ignore-member-names --dynamic-ts -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableUnionMatch PID: 10089 started at 2023-04-26 16:35:49
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableUnionMatch.log --type ModifiedNameMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableUnionMatch PID: 10090 started at 2023-04-26 16:35:49
test PASSED.
----- NoXTypesMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName --dynamic-ts -DCPSPendingTimeout 3 
reader_NoXTypesMatchTypeNames PID: 10101 started at 2023-04-26 16:35:50
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName --dynamic-ts -DCPSPendingTimeout 3 
writer_NoXTypesMatchTypeNames PID: 10102 started at 2023-04-26 16:35:50
test PASSED.
----- Dependency --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Dependency.log --type AppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_Dependency PID: 10113 started at 2023-04-26 16:35:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Dependency.log --type AppendableStructWithDependency --dynamic-ts -DCPSPendingTimeout 3 
writer_Dependency PID: 10114 started at 2023-04-26 16:35:52
test PASSED.
----- DisallowTypeCoercion_FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructNoMatch.log --type FinalStructSub --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructNoMatch PID: 10125 started at 2023-04-26 16:35:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructNoMatch.log --type ModifiedFinalStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructNoMatch PID: 10126 started at 2023-04-26 16:35:52
test PASSED.
----- MutableUnion --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnion.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnion PID: 10137 started at 2023-04-26 16:35:53
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnion.log --type ModifiedMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnion PID: 10138 started at 2023-04-26 16:35:53
test PASSED.
----- MutableStruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStruct.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStruct PID: 10149 started at 2023-04-26 16:35:54
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStruct.log --type ModifiedMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStruct PID: 10150 started at 2023-04-26 16:35:54
test PASSED.
----- FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructMatch.log --type FinalStructSub --dynamic-ts -DCPSPendingTimeout 3 
reader_FinalStructMatch PID: 10161 started at 2023-04-26 16:35:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructMatch.log --type FinalStructPub --dynamic-ts -DCPSPendingTimeout 3 
writer_FinalStructMatch PID: 10162 started at 2023-04-26 16:35:55
test PASSED.
----- MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchType.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStructNoMatchType PID: 10173 started at 2023-04-26 16:35:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStructNoMatchType PID: 10174 started at 2023-04-26 16:35:56
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes --force-type-validation --dynamic-ts -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_ny PID: 10185 started at 2023-04-26 16:35:57
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_ny PID: 10186 started at 2023-04-26 16:35:57
test PASSED.
----- Match_no_xtypes_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_nn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
reader_Match_no_xtypes_nn PID: 10197 started at 2023-04-26 16:35:57
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_nn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_Match_no_xtypes_nn PID: 10198 started at 2023-04-26 16:35:57
test PASSED.
----- DisallowTypeCoercion_FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructSub --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructMatch PID: 10209 started at 2023-04-26 16:35:58
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructPub --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructMatch PID: 10210 started at 2023-04-26 16:35:58
test PASSED.
----- Match_no_xtypes_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_yn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
reader_Match_no_xtypes_yn PID: 10221 started at 2023-04-26 16:35:59
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Match_no_xtypes_yn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_Match_no_xtypes_yn PID: 10222 started at 2023-04-26 16:35:59
test PASSED.
----- Match_no_xtypes_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Match_no_xtypes_ny.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
reader_Match_no_xtypes_ny PID: 10233 started at 2023-04-26 16:36:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_ny.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_Match_no_xtypes_ny PID: 10234 started at 2023-04-26 16:36:00
test PASSED.
----- ExtendedMutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedMutableStructMatch.log --type MutableStruct --dynamic-ts --skip-read -DCPSPendingTimeout 3 
reader_ExtendedMutableStructMatch PID: 10245 started at 2023-04-26 16:36:01
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedMutableStructMatch.log --type MutableBaseStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_ExtendedMutableStructMatch PID: 10246 started at 2023-04-26 16:36:01
test PASSED.
----- Tryconstruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Tryconstruct.log --type Trim20Struct --dynamic-ts --skip-read -DCPSPendingTimeout 3 
reader_Tryconstruct PID: 10257 started at 2023-04-26 16:36:01
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Tryconstruct.log --type Trim64Struct --dynamic-ts -DCPSPendingTimeout 3 
writer_Tryconstruct PID: 10258 started at 2023-04-26 16:36:01
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchType.log --type MutableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchType PID: 10269 started at 2023-04-26 16:36:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchType PID: 10270 started at 2023-04-26 16:36:02
test PASSED.
----- ExtendedAppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedAppendableMatch.log --type ExtendedAppendableStruct --dynamic-ts --skip-read -DCPSPendingTimeout 3 
reader_ExtendedAppendableMatch PID: 10281 started at 2023-04-26 16:36:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedAppendableMatch.log --type BaseAppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_ExtendedAppendableMatch PID: 10282 started at 2023-04-26 16:36:03
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch2 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AppendableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 10293 started at 2023-04-26 16:36:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AdditionalPrefixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 10294 started at 2023-04-26 16:36:03
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatchType.log --type MutableUnion --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatchType PID: 10305 started at 2023-04-26 16:36:04
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatchType PID: 10306 started at 2023-04-26 16:36:04
test PASSED.
----- NoXTypesNoMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type ReaderTypeName --dynamic-ts -DCPSPendingTimeout 3 
reader_NoXTypesNoMatchTypeNames PID: 10318 started at 2023-04-26 16:36:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type WriterTypeName --dynamic-ts -DCPSPendingTimeout 3 
writer_NoXTypesNoMatchTypeNames PID: 10319 started at 2023-04-26 16:36:05
test PASSED.
----- MutableStructNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchName.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStructNoMatchName PID: 10330 started at 2023-04-26 16:36:06
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchName.log --type ModifiedNameMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStructNoMatchName PID: 10331 started at 2023-04-26 16:36:06
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatch.log --type MutableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatch PID: 10342 started at 2023-04-26 16:36:06
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatch.log --type ModifiedMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatch PID: 10343 started at 2023-04-26 16:36:06
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatch.log --type MutableUnion --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatch PID: 10354 started at 2023-04-26 16:36:07
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatch.log --type ModifiedMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatch PID: 10355 started at 2023-04-26 16:36:07
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes --force-type-validation --dynamic-ts -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_nn PID: 10366 started at 2023-04-26 16:36:08
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_nn PID: 10367 started at 2023-04-26 16:36:08
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes --force-type-validation --dynamic-ts -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_yn PID: 10378 started at 2023-04-26 16:36:09
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_yn PID: 10379 started at 2023-04-26 16:36:09
test PASSED.
----- MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchId.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStructNoMatchId PID: 10390 started at 2023-04-26 16:36:10
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchId.log --type ModifiedIdMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStructNoMatchId PID: 10391 started at 2023-04-26 16:36:10
test PASSED.
----- AppendableNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableNoMatch.log --type AppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_AppendableNoMatch PID: 10402 started at 2023-04-26 16:36:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableNoMatch.log --type AdditionalPrefixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_AppendableNoMatch PID: 10403 started at 2023-04-26 16:36:11
test PASSED.
----- MutableUnionNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchName.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchName PID: 10414 started at 2023-04-26 16:36:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchName.log --type ModifiedNameMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchName PID: 10415 started at 2023-04-26 16:36:11
test PASSED.
----- PlainCdr --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_PlainCdr.log --type PlainCdrStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_PlainCdr PID: 10426 started at 2023-04-26 16:36:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_PlainCdr.log --type PlainCdrStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_PlainCdr PID: 10427 started at 2023-04-26 16:36:12
test PASSED.
----- MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchType.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchType PID: 10438 started at 2023-04-26 16:36:13
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchType PID: 10439 started at 2023-04-26 16:36:13
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch1 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AppendableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 10450 started at 2023-04-26 16:36:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AdditionalPostfixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 10451 started at 2023-04-26 16:36:14
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchId.log --type MutableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchId PID: 10462 started at 2023-04-26 16:36:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchId.log --type ModifiedIdMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchId PID: 10463 started at 2023-04-26 16:36:14
test PASSED.

auto_run_tests_finished: tests/DCPS/XTypes/run_test.pl --dynamic-writers --dynamic-readers Time:29s Result:0

==============================================================================

tests/DCPS/DynamicTypes/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder my_struct_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_my_struct_final_XCDR1.log -DCPSPendingTimeout 3 
reader_my_struct_final_XCDR1 PID: 10475 started at 2023-04-26 16:36:15
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub my_struct_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_my_struct_final_XCDR1.log -DCPSPendingTimeout 3 
writer_my_struct_final_XCDR1 PID: 10476 started at 2023-04-26 16:36:15
struct Dynamic::my_struct_final
  Dynamic::long_struct_arr_final my_long_struct_arr Dynamic::long_struct_final[2] =
    [0] struct Dynamic::long_struct_final
      Int32 my_long = 1
    [1] struct Dynamic::long_struct_final
      Int32 my_long = 2
  Dynamic::inner_union_seq_final my_inner_union_seq Dynamic::inner_union_final[2] =
    [0] union Dynamic::inner_union_final
      Int32 discriminator = -2147483647
      Boolean b = true
    [1] union Dynamic::inner_union_final
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = true
        [1] = false
  Dynamic::enum_arr my_enum_arr Dynamic::EnumType[2] =
    [0] = V1
    [1] = V2
  Int8 my_int8 = 1
  UInt8 my_uint8 = 2
  Int16 my_short = 3
  UInt16 my_ushort = 4
  Int32 my_long = 5
  UInt32 my_ulong = 6
  Int64 my_longlong = 7
  UInt64 my_ulonglong = 8
  Float32 my_float = 9.25
  Float64 my_double = 10.5
  Float128 my_longdouble = 11.075
  Boolean my_boolean = true
  Byte my_byte = 0x0c
  Char8 my_char = 'd'
  Char16 my_wchar = L'e'
  String8 my_string = "Hello"
  WString16 my_wstring = L"World"
  Dynamic::bool_seq my_alias_seq Boolean[2] =
    [0] = true
    [1] = false
  Dynamic::char_arr my_alias_array Char8[2] =
    [0] = 'a'
    [1] = 'b'
  Sequence my_anon_seq Dynamic::EnumType[2] =
    [0] = V2
    [1] = V1
  Array my_anon_arr Int16[2] =
    [0] = 5
    [1] = 6

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder my_struct_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_my_struct_final_XCDR2.log -DCPSPendingTimeout 3 
reader_my_struct_final_XCDR2 PID: 10487 started at 2023-04-26 16:36:15
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub my_struct_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_my_struct_final_XCDR2.log -DCPSPendingTimeout 3 
writer_my_struct_final_XCDR2 PID: 10488 started at 2023-04-26 16:36:15
struct Dynamic::my_struct_final
  Dynamic::long_struct_arr_final my_long_struct_arr Dynamic::long_struct_final[2] =
    [0] struct Dynamic::long_struct_final
      Int32 my_long = 1
    [1] struct Dynamic::long_struct_final
      Int32 my_long = 2
  Dynamic::inner_union_seq_final my_inner_union_seq Dynamic::inner_union_final[2] =
    [0] union Dynamic::inner_union_final
      Int32 discriminator = -2147483647
      Boolean b = true
    [1] union Dynamic::inner_union_final
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = true
        [1] = false
  Dynamic::enum_arr my_enum_arr Dynamic::EnumType[2] =
    [0] = V1
    [1] = V2
  Int8 my_int8 = 1
  UInt8 my_uint8 = 2
  Int16 my_short = 3
  UInt16 my_ushort = 4
  Int32 my_long = 5
  UInt32 my_ulong = 6
  Int64 my_longlong = 7
  UInt64 my_ulonglong = 8
  Float32 my_float = 9.25
  Float64 my_double = 10.5
  Float128 my_longdouble = 11.075
  Boolean my_boolean = true
  Byte my_byte = 0x0c
  Char8 my_char = 'd'
  Char16 my_wchar = L'e'
  String8 my_string = "Hello"
  WString16 my_wstring = L"World"
  Dynamic::bool_seq my_alias_seq Boolean[2] =
    [0] = true
    [1] = false
  Dynamic::char_arr my_alias_array Char8[2] =
    [0] = 'a'
    [1] = 'b'
  Sequence my_anon_seq Dynamic::EnumType[2] =
    [0] = V2
    [1] = V1
  Array my_anon_arr Int16[2] =
    [0] = 5
    [1] = 6

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder my_struct_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_my_struct_appendable_XCDR1.log -DCPSPendingTimeout 3 
reader_my_struct_appendable_XCDR1 PID: 10499 started at 2023-04-26 16:36:15
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub my_struct_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_my_struct_appendable_XCDR1.log -DCPSPendingTimeout 3 
writer_my_struct_appendable_XCDR1 PID: 10500 started at 2023-04-26 16:36:16
struct Dynamic::my_struct_appendable
  Dynamic::long_struct_arr_appendable my_long_struct_arr Dynamic::long_struct_appendable[2] =
    [0] struct Dynamic::long_struct_appendable
      Int32 my_long = 1
    [1] struct Dynamic::long_struct_appendable
      Int32 my_long = 2
  Dynamic::inner_union_seq_appendable my_inner_union_seq Dynamic::inner_union_appendable[2] =
    [0] union Dynamic::inner_union_appendable
      Int32 discriminator = -2147483647
      Boolean b = true
    [1] union Dynamic::inner_union_appendable
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = true
        [1] = false
  Dynamic::enum_arr my_enum_arr Dynamic::EnumType[2] =
    [0] = V1
    [1] = V2
  Int8 my_int8 = 1
  UInt8 my_uint8 = 2
  Int16 my_short = 3
  UInt16 my_ushort = 4
  Int32 my_long = 5
  UInt32 my_ulong = 6
  Int64 my_longlong = 7
  UInt64 my_ulonglong = 8
  Float32 my_float = 9.25
  Float64 my_double = 10.5
  Float128 my_longdouble = 11.075
  Boolean my_boolean = true
  Byte my_byte = 0x0c
  Char8 my_char = 'd'
  Char16 my_wchar = L'e'
  String8 my_string = "Hello"
  WString16 my_wstring = L"World"
  Dynamic::bool_seq my_alias_seq Boolean[2] =
    [0] = true
    [1] = false
  Dynamic::char_arr my_alias_array Char8[2] =
    [0] = 'a'
    [1] = 'b'
  Sequence my_anon_seq Dynamic::EnumType[2] =
    [0] = V2
    [1] = V1
  Array my_anon_arr Int16[2] =
    [0] = 5
    [1] = 6

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder my_struct_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_my_struct_appendable_XCDR2.log -DCPSPendingTimeout 3 
reader_my_struct_appendable_XCDR2 PID: 10511 started at 2023-04-26 16:36:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub my_struct_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_my_struct_appendable_XCDR2.log -DCPSPendingTimeout 3 
writer_my_struct_appendable_XCDR2 PID: 10512 started at 2023-04-26 16:36:16
struct Dynamic::my_struct_appendable
  Dynamic::long_struct_arr_appendable my_long_struct_arr Dynamic::long_struct_appendable[2] =
    [0] struct Dynamic::long_struct_appendable
      Int32 my_long = 1
    [1] struct Dynamic::long_struct_appendable
      Int32 my_long = 2
  Dynamic::inner_union_seq_appendable my_inner_union_seq Dynamic::inner_union_appendable[2] =
    [0] union Dynamic::inner_union_appendable
      Int32 discriminator = -2147483647
      Boolean b = true
    [1] union Dynamic::inner_union_appendable
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = true
        [1] = false
  Dynamic::enum_arr my_enum_arr Dynamic::EnumType[2] =
    [0] = V1
    [1] = V2
  Int8 my_int8 = 1
  UInt8 my_uint8 = 2
  Int16 my_short = 3
  UInt16 my_ushort = 4
  Int32 my_long = 5
  UInt32 my_ulong = 6
  Int64 my_longlong = 7
  UInt64 my_ulonglong = 8
  Float32 my_float = 9.25
  Float64 my_double = 10.5
  Float128 my_longdouble = 11.075
  Boolean my_boolean = true
  Byte my_byte = 0x0c
  Char8 my_char = 'd'
  Char16 my_wchar = L'e'
  String8 my_string = "Hello"
  WString16 my_wstring = L"World"
  Dynamic::bool_seq my_alias_seq Boolean[2] =
    [0] = true
    [1] = false
  Dynamic::char_arr my_alias_array Char8[2] =
    [0] = 'a'
    [1] = 'b'
  Sequence my_anon_seq Dynamic::EnumType[2] =
    [0] = V2
    [1] = V1
  Array my_anon_arr Int16[2] =
    [0] = 5
    [1] = 6

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder my_struct_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_my_struct_mutable_XCDR2.log -DCPSPendingTimeout 3 
reader_my_struct_mutable_XCDR2 PID: 10523 started at 2023-04-26 16:36:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub my_struct_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_my_struct_mutable_XCDR2.log -DCPSPendingTimeout 3 
writer_my_struct_mutable_XCDR2 PID: 10524 started at 2023-04-26 16:36:16
struct Dynamic::my_struct_mutable
  Dynamic::long_struct_arr_mutable my_long_struct_arr Dynamic::long_struct_mutable[2] =
    [0] struct Dynamic::long_struct_mutable
      Int32 my_long = 1
    [1] struct Dynamic::long_struct_mutable
      Int32 my_long = 2
  Dynamic::inner_union_seq_mutable my_inner_union_seq Dynamic::inner_union_mutable[2] =
    [0] union Dynamic::inner_union_mutable
      Int32 discriminator = -2147483647
      Boolean b = true
    [1] union Dynamic::inner_union_mutable
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = true
        [1] = false
  Dynamic::enum_arr my_enum_arr Dynamic::EnumType[2] =
    [0] = V1
    [1] = V2
  Int8 my_int8 = 1
  UInt8 my_uint8 = 2
  Int16 my_short = 3
  UInt16 my_ushort = 4
  Int32 my_long = 5
  UInt32 my_ulong = 6
  Int64 my_longlong = 7
  UInt64 my_ulonglong = 8
  Float32 my_float = 9.25
  Float64 my_double = 10.5
  Float128 my_longdouble = 11.075
  Boolean my_boolean = true
  Byte my_byte = 0x0c
  Char8 my_char = 'd'
  Char16 my_wchar = L'e'
  String8 my_string = "Hello"
  WString16 my_wstring = L"World"
  Dynamic::bool_seq my_alias_seq Boolean[2] =
    [0] = true
    [1] = false
  Dynamic::char_arr my_alias_array Char8[2] =
    [0] = 'a'
    [1] = 'b'
  Sequence my_anon_seq Dynamic::EnumType[2] =
    [0] = V2
    [1] = V1
  Array my_anon_arr Int16[2] =
    [0] = 5
    [1] = 6

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_struct_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_struct_final_XCDR1.log -DCPSPendingTimeout 3 
reader_outer_struct_final_XCDR1 PID: 10535 started at 2023-04-26 16:36:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_struct_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_struct_final_XCDR1.log -DCPSPendingTimeout 3 
writer_outer_struct_final_XCDR1 PID: 10536 started at 2023-04-26 16:36:16
struct Dynamic::outer_struct_final
  struct Dynamic::inner_struct_final is
    union Dynamic::inner_union_final iu
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = false
        [1] = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_struct_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_struct_final_XCDR2.log -DCPSPendingTimeout 3 
reader_outer_struct_final_XCDR2 PID: 10547 started at 2023-04-26 16:36:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_struct_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_struct_final_XCDR2.log -DCPSPendingTimeout 3 
writer_outer_struct_final_XCDR2 PID: 10548 started at 2023-04-26 16:36:16
struct Dynamic::outer_struct_final
  struct Dynamic::inner_struct_final is
    union Dynamic::inner_union_final iu
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = false
        [1] = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_struct_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_struct_appendable_XCDR1.log -DCPSPendingTimeout 3 
reader_outer_struct_appendable_XCDR1 PID: 10559 started at 2023-04-26 16:36:17
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_struct_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_struct_appendable_XCDR1.log -DCPSPendingTimeout 3 
writer_outer_struct_appendable_XCDR1 PID: 10560 started at 2023-04-26 16:36:17
struct Dynamic::outer_struct_appendable
  struct Dynamic::inner_struct_appendable is
    union Dynamic::inner_union_appendable iu
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = false
        [1] = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_struct_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_struct_appendable_XCDR2.log -DCPSPendingTimeout 3 
reader_outer_struct_appendable_XCDR2 PID: 10571 started at 2023-04-26 16:36:17
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_struct_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_struct_appendable_XCDR2.log -DCPSPendingTimeout 3 
writer_outer_struct_appendable_XCDR2 PID: 10572 started at 2023-04-26 16:36:17
struct Dynamic::outer_struct_appendable
  struct Dynamic::inner_struct_appendable is
    union Dynamic::inner_union_appendable iu
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = false
        [1] = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_struct_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_struct_mutable_XCDR2.log -DCPSPendingTimeout 3 
reader_outer_struct_mutable_XCDR2 PID: 10583 started at 2023-04-26 16:36:17
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_struct_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_struct_mutable_XCDR2.log -DCPSPendingTimeout 3 
writer_outer_struct_mutable_XCDR2 PID: 10584 started at 2023-04-26 16:36:17
struct Dynamic::outer_struct_mutable
  struct Dynamic::inner_struct_mutable is
    union Dynamic::inner_union_mutable iu
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = false
        [1] = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder inner_union_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_inner_union_final_XCDR1.log -DCPSPendingTimeout 3 
reader_inner_union_final_XCDR1 PID: 10595 started at 2023-04-26 16:36:17
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub inner_union_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_inner_union_final_XCDR1.log -DCPSPendingTimeout 3 
writer_inner_union_final_XCDR1 PID: 10596 started at 2023-04-26 16:36:17
union Dynamic::inner_union_final
  Int32 discriminator = -2147483647
  Boolean b = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder inner_union_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_inner_union_final_XCDR2.log -DCPSPendingTimeout 3 
reader_inner_union_final_XCDR2 PID: 10607 started at 2023-04-26 16:36:17
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub inner_union_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_inner_union_final_XCDR2.log -DCPSPendingTimeout 3 
writer_inner_union_final_XCDR2 PID: 10608 started at 2023-04-26 16:36:17
union Dynamic::inner_union_final
  Int32 discriminator = -2147483647
  Boolean b = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder inner_union_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_inner_union_appendable_XCDR1.log -DCPSPendingTimeout 3 
reader_inner_union_appendable_XCDR1 PID: 10619 started at 2023-04-26 16:36:18
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub inner_union_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_inner_union_appendable_XCDR1.log -DCPSPendingTimeout 3 
writer_inner_union_appendable_XCDR1 PID: 10620 started at 2023-04-26 16:36:18
union Dynamic::inner_union_appendable
  Int32 discriminator = -2147483647
  Boolean b = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder inner_union_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_inner_union_appendable_XCDR2.log -DCPSPendingTimeout 3 
reader_inner_union_appendable_XCDR2 PID: 10631 started at 2023-04-26 16:36:18
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub inner_union_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_inner_union_appendable_XCDR2.log -DCPSPendingTimeout 3 
writer_inner_union_appendable_XCDR2 PID: 10632 started at 2023-04-26 16:36:18
union Dynamic::inner_union_appendable
  Int32 discriminator = -2147483647
  Boolean b = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder inner_union_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_inner_union_mutable_XCDR2.log -DCPSPendingTimeout 3 
reader_inner_union_mutable_XCDR2 PID: 10643 started at 2023-04-26 16:36:18
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub inner_union_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_inner_union_mutable_XCDR2.log -DCPSPendingTimeout 3 
writer_inner_union_mutable_XCDR2 PID: 10644 started at 2023-04-26 16:36:18
union Dynamic::inner_union_mutable
  Int32 discriminator = -2147483647
  Boolean b = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_union_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_union_final_XCDR1.log -DCPSPendingTimeout 3 
reader_outer_union_final_XCDR1 PID: 10655 started at 2023-04-26 16:36:18
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_union_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_union_final_XCDR1.log -DCPSPendingTimeout 3 
writer_outer_union_final_XCDR1 PID: 10656 started at 2023-04-26 16:36:18
union Dynamic::outer_union_final
  Dynamic::EnumType discriminator = V1
  struct Dynamic::inner_struct_final is
    union Dynamic::inner_union_final iu
      Int32 discriminator = 1
      Int32 l = 5

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_union_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_union_final_XCDR2.log -DCPSPendingTimeout 3 
reader_outer_union_final_XCDR2 PID: 10667 started at 2023-04-26 16:36:19
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_union_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_union_final_XCDR2.log -DCPSPendingTimeout 3 
writer_outer_union_final_XCDR2 PID: 10668 started at 2023-04-26 16:36:19
union Dynamic::outer_union_final
  Dynamic::EnumType discriminator = V1
  struct Dynamic::inner_struct_final is
    union Dynamic::inner_union_final iu
      Int32 discriminator = 1
      Int32 l = 5

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_union_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_union_appendable_XCDR1.log -DCPSPendingTimeout 3 
reader_outer_union_appendable_XCDR1 PID: 10679 started at 2023-04-26 16:36:19
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_union_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_union_appendable_XCDR1.log -DCPSPendingTimeout 3 
writer_outer_union_appendable_XCDR1 PID: 10680 started at 2023-04-26 16:36:19
union Dynamic::outer_union_appendable
  Dynamic::EnumType discriminator = V1
  struct Dynamic::inner_struct_appendable is
    union Dynamic::inner_union_appendable iu
      Int32 discriminator = 1
      Int32 l = 5

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_union_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_union_appendable_XCDR2.log -DCPSPendingTimeout 3 
reader_outer_union_appendable_XCDR2 PID: 10691 started at 2023-04-26 16:36:19
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_union_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_union_appendable_XCDR2.log -DCPSPendingTimeout 3 
writer_outer_union_appendable_XCDR2 PID: 10692 started at 2023-04-26 16:36:19
union Dynamic::outer_union_appendable
  Dynamic::EnumType discriminator = V1
  struct Dynamic::inner_struct_appendable is
    union Dynamic::inner_union_appendable iu
      Int32 discriminator = 1
      Int32 l = 5

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_union_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_union_mutable_XCDR2.log -DCPSPendingTimeout 3 
reader_outer_union_mutable_XCDR2 PID: 10703 started at 2023-04-26 16:36:19
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_union_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_union_mutable_XCDR2.log -DCPSPendingTimeout 3 
writer_outer_union_mutable_XCDR2 PID: 10704 started at 2023-04-26 16:36:19
union Dynamic::outer_union_mutable
  Dynamic::EnumType discriminator = V1
  struct Dynamic::inner_struct_mutable is
    union Dynamic::inner_union_mutable iu
      Int32 discriminator = 1
      Int32 l = 5

test PASSED.
20 tests ran

auto_run_tests_finished: tests/DCPS/DynamicTypes/run_test.pl Time:5s Result:0

==============================================================================

tests/DCPS/UnregisterType/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 10716
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/UnregisterType/unregister_type_test -DCPSBit 0 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile unregister_type_test.log -DCPSPendingTimeout 3 
unregister_type_test PID: 10723 started at 2023-04-26 16:36:20
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/UnregisterType/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/DataRepresentation/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DataRepresentation/DataRepresentation -DCPSConfigFile rtps_disc.ini -DCPSPendingTimeout 3 
test PID: 10727 started at 2023-04-26 16:36:20
(10727|10727) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
INFO: register_type[DefaultType]
INFO: create_topic[DefaultType Topic]
(10727|10727) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: create_topic[DefaultType Topic XCDR2]
(10727|10727) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: create_topic[DefaultType Topic XML]
(10727|10727) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: unregister_type[DefaultType]
INFO: register_type[Xcdr2Xcdr1Type]
INFO: create_topic[Xcdr2Xcdr1Type Topic]
INFO: create_topic[Xcdr2Xcdr1Type Topic XCDR2]
INFO: unregister_type[Xcdr2Xcdr1Type]
INFO: register_type[Xcdr1Type]
INFO: create_topic[Xcdr1Type Topic]
INFO: create_topic[Xcdr1Type Topic XCDR2]
INFO: create_topic[Xcdr1Type Topic XML]
INFO: unregister_type[Xcdr1Type]
INFO: register_type[Xcdr2Type]
INFO: create_topic[Xcdr2Type Topic]
INFO: create_topic[Xcdr2Type Topic XCDR2]
INFO: unregister_type[Xcdr2Type]
INFO: register_type[XmlType]
INFO: create_topic[XmlType Topic]
(10727|10727) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 (10727|10727) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: unregister_type[XmlType]
INFO: 98 of 98 cases passed
test PASSED.

auto_run_tests_finished: tests/DCPS/DataRepresentation/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/DataRepresentation/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DataRepresentation/DataRepresentation -DCPSConfigFile rtps_disc.ini -DCPSPendingTimeout 3 
test PID: 10734 started at 2023-04-26 16:36:20
(10734|10734) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
INFO: register_type[DefaultType]
INFO: create_topic[DefaultType Topic]
(10734|10734) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: create_topic[DefaultType Topic XCDR2]
(10734|10734) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: create_topic[DefaultType Topic XML]
(10734|10734) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: unregister_type[DefaultType]
INFO: register_type[Xcdr2Xcdr1Type]
INFO: create_topic[Xcdr2Xcdr1Type Topic]
INFO: create_topic[Xcdr2Xcdr1Type Topic XCDR2]
INFO: unregister_type[Xcdr2Xcdr1Type]
INFO: register_type[Xcdr1Type]
INFO: create_topic[Xcdr1Type Topic]
INFO: create_topic[Xcdr1Type Topic XCDR2]
INFO: create_topic[Xcdr1Type Topic XML]
INFO: unregister_type[Xcdr1Type]
INFO: register_type[Xcdr2Type]
INFO: create_topic[Xcdr2Type Topic]
INFO: create_topic[Xcdr2Type Topic XCDR2]
INFO: unregister_type[Xcdr2Type]
INFO: register_type[XmlType]
INFO: create_topic[XmlType Topic]
(10734|10734) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 (10734|10734) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: unregister_type[XmlType]
INFO: 98 of 98 cases passed
test PASSED.

auto_run_tests_finished: tests/DCPS/DataRepresentation/run_test.pl rtps_disc Time:0s Result:0

==============================================================================

tests/DCPS/HelloWorld/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 10741
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/HelloWorld/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 10748 started at 2023-04-26 16:36:21
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/HelloWorld/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 10749 started at 2023-04-26 16:36:21
(10741|10741) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/HelloWorld/run_test.pl Time:3s Result:0

==============================================================================

tests/DCPS/HelloWorld/run_test.pl ini=rtps.ini #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/HelloWorld/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSConfigFile rtps.ini  -DCPSPendingTimeout 3 
publisher PID: 10765 started at 2023-04-26 16:36:23
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/HelloWorld/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSConfigFile rtps.ini  -DCPSPendingTimeout 3 
subscriber PID: 10766 started at 2023-04-26 16:36:23
test PASSED.

auto_run_tests_finished: tests/DCPS/HelloWorld/run_test.pl ini=rtps.ini Time:2s Result:0

==============================================================================

tests/DCPS/ZeroEnum/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroEnum/publisher -DCPSDebugLevel 4 -DCPSConfigFile rtps.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 10779 started at 2023-04-26 16:36:25
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroEnum/subscriber -DCPSDebugLevel 4 -DCPSConfigFile rtps.ini  -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 10780 started at 2023-04-26 16:36:25
test PASSED.

auto_run_tests_finished: tests/DCPS/ZeroEnum/run_test.pl Time:3s Result:0

==============================================================================

tests/DCPS/TypeSupportPlugin/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TypeSupportPlugin/TypeSupportPluginUser  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile test.log -DCPSPendingTimeout 3 
test PID: 10792 started at 2023-04-26 16:36:28
test PASSED.

auto_run_tests_finished: tests/DCPS/TypeSupportPlugin/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/DynamicData/run_test.pl dyn=dw ini=rtps.ini #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicData/publisher -dynamic -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSConfigFile rtps.ini  -DCPSPendingTimeout 3 
publisher PID: 10799 started at 2023-04-26 16:36:28
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicData/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSConfigFile rtps.ini  -DCPSPendingTimeout 3 
subscriber PID: 10800 started at 2023-04-26 16:36:28
test PASSED.

auto_run_tests_finished: tests/DCPS/DynamicData/run_test.pl dyn=dw ini=rtps.ini Time:2s Result:0

==============================================================================

tests/DCPS/DynamicData/run_test.pl dyn=dr ini=rtps.ini #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicData/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSConfigFile rtps.ini  -DCPSPendingTimeout 3 
publisher PID: 10812 started at 2023-04-26 16:36:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicData/subscriber -dynamic -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSConfigFile rtps.ini  -DCPSPendingTimeout 3 
subscriber PID: 10813 started at 2023-04-26 16:36:30
test PASSED.

auto_run_tests_finished: tests/DCPS/DynamicData/run_test.pl dyn=dr ini=rtps.ini Time:2s Result:0

End #

Wed Apr 26 21:36:32 2023 UTC