Daily Build Log


Begin #

Sat Apr 22 02:30:00 2023 UTC


Setup #

GIT

Sat Apr 22 02:30:01 2023 UTC

Running: git  log -1
commit e25a5ca644b278240a3641783fbd8cd1efafc148
Author: Justin Wilson <wilsonj@objectcomputing.com>
Date:   Fri Apr 21 14:14:25 2023 -0500

    Merge pull request #4122 from mitza-oci/typesupport-data-conversions
    
    IDL-accessible encoding conversions for topic types
cannot remove id 2392080 (Invalid argument)
cannot remove id 2424849 (Invalid argument)
cannot remove id 6586386 (Invalid argument)
cannot remove id 6619155 (Invalid argument)
cannot remove id 9732117 (Invalid argument)
cannot remove id 9764886 (Invalid argument)
cannot remove id 12877847 (Invalid argument)
cannot remove id 12910616 (Invalid argument)
cannot remove id 13926425 (Invalid argument)
cannot remove id 13959194 (Invalid argument)
cannot remove id 124649499 (Invalid argument)
cannot remove id 138969117 (Invalid argument)
cannot remove id 146079774 (Invalid argument)

Config #

print OS Version

Sat Apr 22 02:30:01 2023 UTC

Hostname

flea

OS version (uname -a)

Linux flea 2.6.32-642.el6.x86_64 #1 SMP Wed Apr 13 00:51:26 EDT 2016 x86_64 x86_64 x86_64 GNU/Linux

Linux Standard Base and Distribution information (lsb_release -a)

LSB Version: :base-4.0-amd64:base-4.0-noarch:core-4.0-amd64:core-4.0-noarch:graphics-4.0-amd64:graphics-4.0-noarch:printing-4.0-amd64:printing-4.0-noarch Distributor ID: RedHatEnterpriseServer Description: Red Hat Enterprise Linux Server release 6.8 (Santiago) Release: 6.8 Codename: Santiago

RedHat Linux Version (/etc/redhat-release)

Red Hat Enterprise Linux Server release 6.8 (Santiago)

Linux Kernel Version (/proc/version)

Linux version 2.6.32-642.el6.x86_64 (mockbuild@x86-033.build.eng.bos.redhat.com) (gcc version 4.4.7 20120313 (Red Hat 4.4.7-17) (GCC) ) #1 SMP Wed Apr 13 00:51:26 EDT 2016

IP network address information (ip addr show)

1: lo: <LOOPBACK,UP,LOWER_UP> mtu 65536 qdisc noqueue state UNKNOWN link/loopback 00:00:00:00:00:00 brd 00:00:00:00:00:00 inet 127.0.0.1/8 scope host lo inet6 ::1/128 scope host valid_lft forever preferred_lft forever 2: eth0: <BROADCAST,MULTICAST,UP,LOWER_UP> mtu 1500 qdisc mq state UP qlen 1000 link/ether 00:0c:29:7a:35:f2 brd ff:ff:ff:ff:ff:ff inet 10.201.200.79/22 brd 10.201.203.255 scope global eth0 inet6 fe80::20c:29ff:fe7a:35f2/64 scope link valid_lft forever preferred_lft forever 3: virbr0: <BROADCAST,MULTICAST,UP,LOWER_UP> mtu 1500 qdisc noqueue state UNKNOWN link/ether 52:54:00:73:46:ea brd ff:ff:ff:ff:ff:ff inet 192.168.122.1/24 brd 192.168.122.255 scope global virbr0 4: virbr0-nic: <BROADCAST,MULTICAST> mtu 1500 qdisc noop state DOWN qlen 500 link/ether 52:54:00:73:46:ea brd ff:ff:ff:ff:ff:ff

Disk space information (df -k)

Filesystem 1K-blocks Used Available Use% Mounted on /dev/mapper/vg_flea-lv_root 14225776 7625968 5870516 57% / /dev/sdb1 41153840 37504156 1552536 97% /tao_builds

Processor info

model name : Intel(R) Xeon(R) CPU X5550 @ 2.67GHz model name : Intel(R) Xeon(R) CPU X5550 @ 2.67GHz

Memory info

MemTotal: 3924416 kB

Approximate BogoMIPS (larger means faster)

14913.0808888889

print Environment Variables

Sat Apr 22 02:30:01 2023 UTC

Environment:

ACE_ROOT=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE ACE_TEST_LOG_STUCK_STACKS=1 ACE_WORKSPACE=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0 AUTOBUILD_ROOT=/distcomp/nightly/autobuild BOOST_ROOT=/usr BUILD_CAUSE=UPSTREAMTRIGGER BUILD_CAUSE_UPSTREAMTRIGGER=true BUILD_DISPLAY_NAME=#1582 BUILD_ID=1582 BUILD_NUMBER=1582 BUILD_TAG=jenkins-dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1-1582 BUILD_URL=http://jenkins.ociweb.com:8080/job/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/1582/ CI=true DDS_ROOT=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS EXECUTOR_NUMBER=0 GIT_BRANCH=origin/master GIT_CHECKOUT_DIR=OpenDDS GIT_COMMIT=e25a5ca644b278240a3641783fbd8cd1efafc148 GIT_PREVIOUS_COMMIT=e25a5ca644b278240a3641783fbd8cd1efafc148 GIT_PREVIOUS_SUCCESSFUL_COMMIT=e25a5ca644b278240a3641783fbd8cd1efafc148 GIT_URL=git://git.ociweb.com/git/opendds/OpenDDS.git HOME=/home/jenkins HUDSON_COOKIE=0f21b78b-36ae-4438-83a0-9c3f1920635e HUDSON_HOME=/tao_builds/jenkins HUDSON_SERVER_COOKIE=d5d63b175c08171a HUDSON_URL=http://jenkins.ociweb.com:8080/ JAVA_HOME=/usr JENKINS_HOME=/tao_builds/jenkins JENKINS_SERVER_COOKIE=d5d63b175c08171a JENKINS_URL=http://jenkins.ociweb.com:8080/ JOB_BASE_NAME=dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1 JOB_DISPLAY_URL=http://jenkins.ociweb.com:8080/job/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/display/redirect JOB_NAME=dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1 JOB_URL=http://jenkins.ociweb.com:8080/job/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/ LD_LIBRARY_PATH=/usr/sfw/lib:/usr/local/lib:/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/lib:/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/lib: LOGNAME=jenkins MAIL=/var/mail/jenkins MPC_ROOT=/tao_builds/jenkins/workspace/mpc_flea NIGHTLY_ROOT=/distcomp/nightly NODE_LABELS=boost flea git jdk16 linux NODE_NAME=flea OPENDDS_RTPS_DEFAULT_D0=132 PATH=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin:/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin:/usr/local/bin:/usr/bin:/bin:/usr/sbin:/sbin:/usr/ccs/bin: PWD=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1 ROOT_BUILD_CAUSE=TIMERTRIGGER ROOT_BUILD_CAUSE_TIMERTRIGGER=true RUN_ARTIFACTS_DISPLAY_URL=http://jenkins.ociweb.com:8080/job/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/1582/display/redirect?page=artifacts RUN_CHANGES_DISPLAY_URL=http://jenkins.ociweb.com:8080/job/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/1582/display/redirect?page=changes RUN_DISPLAY_URL=http://jenkins.ociweb.com:8080/job/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/1582/display/redirect RUN_TESTS_DISPLAY_URL=http://jenkins.ociweb.com:8080/job/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/1582/display/redirect?page=tests SHELL=/bin/bash SHLVL=1 SSH_CLIENT=10.201.200.26 51908 22 SSH_CONNECTION=10.201.200.26 51908 10.201.200.79 22 TAO_ROOT=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/TAO USER=jenkins WORKSPACE=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1 WORKSPACE_TMP=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1@tmp

PrintACEConfig

Sat Apr 22 02:30:01 2023 UTC

XML Config file: /tmp/8NdJSxcvfd.xml
================ Autobuild ChangeLog ================
================ ACE OCIChangeLog ================
Fri Feb  3 16:15:46 UTC 2023  Adam Mitz  <mitza@objectcomputing.com>
================ TAO OCIChangeLog ================
Fri Feb  3 16:15:46 UTC 2023  Adam Mitz  <mitza@objectcomputing.com>
================ config.h ================
#include "ace/config-linux.h"
================ default.features ================
ipv6=1
================ platform_macros.GNU ================
debug=1
optimize=0
ipv6=1

include $(ACE_ROOT)/include/makeinclude/platform_linux.GNU

print make Version

Sat Apr 22 02:30:02 2023 UTC

Make version (make -v)

GNU Make 3.81 Copyright (C) 2006 Free Software Foundation, Inc. This is free software; see the source for copying conditions. There is NO warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. This program built for x86_64-redhat-linux-gnu

CMake Version ("/tao_builds/taoadmin/cmake/bin/cmake" --version)

Sat Apr 22 02:30:02 2023 UTC

cmake version 3.15.1

CMake suite maintained and supported by Kitware (kitware.com/cmake).

check compiler gcc

Sat Apr 22 02:30:03 2023 UTC

================ Compiler version ================
Using built-in specs.
Target: x86_64-redhat-linux
Configured with: ../configure --prefix=/usr --mandir=/usr/share/man --infodir=/usr/share/info --with-bugurl=http://bugzilla.redhat.com/bugzilla --enable-bootstrap --enable-shared --enable-threads=posix --enable-checking=release --with-system-zlib --enable-__cxa_atexit --disable-libunwind-exceptions --enable-gnu-unique-object --enable-languages=c,c++,objc,obj-c++,java,fortran,ada --enable-java-awt=gtk --disable-dssi --with-java-home=/usr/lib/jvm/java-1.5.0-gcj-1.5.0.0/jre --enable-libgcj-multifile --enable-java-maintainer-mode --with-ecj-jar=/usr/share/java/eclipse-ecj.jar --disable-libjava-multilib --with-ppl --with-cloog --with-tune=generic --with-arch_32=i686 --build=x86_64-redhat-linux
Thread model: posix
gcc version 4.4.7 20120313 (Red Hat 4.4.7-17) (GCC) 
GNU ld version 2.20.51.0.2-5.44.el6 20100205

PrintAutobuildConfig

Sat Apr 22 02:30:03 2023 UTC

================ Autobuild file /tmp/8NdJSxcvfd.xml ================
<autobuild>
  <configuration>
    <environment name="ACE_ROOT"        value="/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE"/>
    <variable name="cmake_command" value="/tao_builds/taoadmin/cmake/bin/cmake"/>
    <environment name="DDS_ROOT"        value="/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS"/>
    <environment name="LD_LIBRARY_PATH" value="/usr/sfw/lib:/usr/local/lib:/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/lib:/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/lib:"/>
    <environment name="OPENDDS_RTPS_DEFAULT_D0" value="132"/>
    <environment name="PATH"            value="/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin:/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin:/usr/local/bin:/usr/bin:/bin:/usr/sbin:/sbin:/usr/ccs/bin:"/>
    <environment name="TAO_ROOT"        value="/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/TAO"/>
    <environment name="ACE_TEST_LOG_STUCK_STACKS"  value="1"/>
    <variable    name="build_name"      value="gcc_i1d1o0"/>
    <variable    name="creator"         value="mitza"/>
    <variable    name="log_file"        value="gcc_i1d1o0.log"/>
    <variable    name="log_root"        value="/www-docs/autobuild_logs/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1"/>
    <variable    name="project_root"    value="/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS"/>
    <variable    name="root"            value="/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1"/>
    <variable    name="junit_xml_output" value="Tests"/>
  </configuration>

  <!-- Build preparation -->
  <command name="status"             options="on"/>
  <command name="log"                options="on"/>
  <command name="git"                options="dir=OpenDDS log -1"/>
  <command name="rem_sems"/>
  <command name="file_manipulation"  options="type=create file=setenv.sh">
export ACE_ROOT="${ACE_WORKSPACE}/ACE"
export DDS_ROOT="${WORKSPACE}/OpenDDS"
export LD_LIBRARY_PATH="/usr/sfw/lib:/usr/local/lib:${WORKSPACE}/OpenDDS/lib:${ACE_WORKSPACE}/ACE/lib:"
export MPC_ROOT="/home/taoadmin/MPC"
export PATH="${WORKSPACE}/OpenDDS/bin:${ACE_WORKSPACE}/ACE/bin:/usr/local/bin:/usr/bin:/bin:/usr/sbin:/sbin:/usr/ccs/bin:"
export TAO_ROOT="${ACE_WORKSPACE}/TAO"
export ACE_TEST_LOG_STUCK_STACKS=1
export OPENDDS_RTPS_DEFAULT_D0=132
  </command>
  <command name="file_manipulation"  options="type=create file=OpenDDS/user_macros.GNU">
content_subscription=0
ownership_profile=0
object_model_profile=0
persistence_profile=0
  </command>
  <command name="print_os_version"/>
  <command name="print_env_vars"/>
  <command name="print_ace_config"   options="ACE=OCIChangeLog TAO=OCIChangeLog CIAO=OCIChangeLog XML_URL=https://svn.ociweb.com/viewvc/nightly"/>
  <command name="print_make_version"/>
  <command name="print_cmake_version"/>
  <command name="check_compiler"     options="gcc"/>
  <command name="print_autobuild_config"/>
  <command name="generate_workspace" options="-type gnuace DDS.mwc -features content_subscription=0,ownership_profile=0,object_model_profile=0,persistence_profile=0"/>

  <!-- Build dependencies -->
  <command name="make" options="-s -k -j2 find=*akefile dir=. depend"/>
  <command name="cmake" dir="OpenDDS/tests/googletest">
    <arg name="add_config_args">-Wno-deprecated</arg>
    <arg name="var_CMAKE_INSTALL_PREFIX">install</arg>
    <arg name="var_CMAKE_INSTALL_LIBDIR">lib</arg>
    <arg name="add_build_args">--target install -- -s -k -j2</arg>
  </command>

  <!-- Build targets -->
  <command name="make" options="-s -k -j2 find=*akefile dir=."/>

  <!-- Post build -->
  <command name="auto_run_tests"  options="script_path=tests dir=. -Config DDS_NO_OBJECT_MODEL_PROFILE -Config DDS_NO_OWNERSHIP_PROFILE -Config DDS_NO_PERSISTENCE_PROFILE -Config DDS_NO_CONTENT_SUBSCRIPTION -Config IPV6"/>
  <command name="log"             options="off"/>
  <command name="process_logs"    options="copy=1 prettify=1"/>
  <command name="status"          options="off"/>
</autobuild>

Setup #

Generate Workspaces

Sat Apr 22 02:30:03 2023 UTC

Running: perl "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin/mwc.pl" -type gnuace DDS.mwc -features content_subscription=0,ownership_profile=0,object_model_profile=0,persistence_profile=0
MPC_ROOT was set to /tao_builds/jenkins/workspace/mpc_flea.
Using .../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin/MakeProjectCreator/config/MPC.cfg
CIAO_ROOT was used in the configuration file, but was not defined.
DANCE_ROOT was used in the configuration file, but was not defined.
Generating 'gnuace' output using DDS.mwc
Skipping ishapes (ishapes.mpc); it requires qt5.
Skipping Bench_dashboard_summarizer (Bench_dashboard_summarizer.mpc); it avoids no_cxx11.
Skipping Bench_Common (Bench_Common.mpc); it avoids no_cxx11.
Skipping Bench_udp_latency (Bench_udp_latency.mpc); it avoids no_cxx11.
Skipping Bench_Idl (Bench_Idl.mpc); it avoids no_cxx11.
Skipping Bench_Worker (Bench_Worker.mpc); it avoids no_cxx11.
Skipping Unit_Tests_ScenarioAllocationTest (unit_tests.mpc); it avoids no_rapidjson.
Skipping Bench_test_controller (Bench_test_controller.mpc); it avoids no_cxx11.
Skipping Bench_Builder (Bench_Builder.mpc); it avoids no_cxx11.
Skipping Bench_tcp_latency (Bench_tcp_latency.mpc); it avoids no_cxx11.
Skipping Bench_report_parser (Bench_report_parser.mpc); it avoids no_cxx11.
Skipping Bench_node_controller (Bench_node_controller.mpc); it avoids no_cxx11.
Skipping dissector (dissector.mpc); it requires wireshark_any.
Skipping RtpsRelay (RtpsRelay.mpc); it requires xerces.
Skipping ExcelRTD (ExcelRTD.mpc); it requires qt5.
Skipping RtpsRelayLib (RtpsRelayLib.mpc); it avoids no_cxx11.
Skipping Monitor_App (Monitor.mpc); it requires qt5.
Skipping OpenDDS_Security (DdsSecurity.mpc); it requires xerces.
Skipping OpenDDS_XML_QOS_XSC_Generation (qos_xml_handler.mpc); it requires xsc.
Skipping OpenDDS_QOS_XML_XSC_Handler (qos_xml_handler.mpc); it requires xerces.
Skipping OpenDDS_Corba (OpenDDS_Corba.mpc); it avoids no_opendds_safety_profile.
Skipping DDS_Ownership_Idl (Ownership.mpc); it requires ownership_profile.
Skipping DDS_Ownership_Publisher (Ownership.mpc); it requires ownership_profile.
Skipping DDS_Ownership_Subscriber (Ownership.mpc); it requires ownership_profile.
Skipping MetaStructTest (MetaStructTest.mpc); it requires content_subscription.
Skipping ParticipantLocationTopic (ParticipantLocation.mpc); it requires xerces.
Skipping UnionTopic (UnionTopic.mpc); it requires ownership_profile.
Skipping Presentation (Presentation.mpc); it requires object_model_profile.
Skipping DDS_Cxx11_Messenger_Subscriber (DDS_Cxx11_Messenger_Subscriber.mpc); it avoids no_cxx11.
Skipping DDS_Cxx11_Messenger_Idl (DDS_Cxx11_Messenger_Idl.mpc); it avoids no_cxx11.
Skipping DDS_Cxx11_Messenger_Publisher (DDS_Cxx11_Messenger_Publisher.mpc); it avoids no_cxx11.
Skipping SubscriberCycle_Subscriber (SubscriberCycle.mpc); it requires content_subscription.
Skipping TopicExpressionTest (TopicExpressionTest.mpc); it requires content_subscription.
Skipping FilterExpressionTest (FilterExpressionTest.mpc); it requires content_subscription.
Skipping DDS_SampleLost_Publisher (SampleLost.mpc); it requires persistence_profile.
Skipping DDS_SampleLost_Subscriber (SampleLost.mpc); it requires persistence_profile.
Skipping keywords_cpp11 (keywords_cpp11.mpc); it avoids no_cxx11.
Skipping anonymous_types_cpp11 (anonymous_types_cpp11.mpc); it avoids no_cxx11.
Skipping cxx11_idl_test2_lib (cxx11_idl_test2_lib.mpc); it avoids no_cxx11.
Skipping cxx11_idl_test3_lib (cxx11_idl_test3_lib.mpc); it avoids no_cxx11.
Skipping cxx11_idl_test3_main (cxx11_idl_test3_main.mpc); it avoids no_cxx11.
Skipping cxx11_idl_test1_lib (cxx11_idl_test1_lib.mpc); it avoids no_cxx11.
Skipping cxx11_idl_test_nested_types_lib (cxx11_idl_test_nested_types_lib.mpc); it avoids no_cxx11.
Skipping cxx11_idl_test1_main (cxx11_idl_test1_main.mpc); it avoids no_cxx11.
Skipping Compiler_Typecode_C++11 (typecode_C++11.mpc); it avoids no_cxx11.
Skipping VreadVwriteTest (VreadVwriteTest.mpc); it avoids no_rapidjson.
Skipping Compiler_TryConstruct_C++11 (TryConstruct_C++11.mpc); it avoids no_cxx11.
Skipping union_defaults_C++11 (union_defaults_C++11.mpc); it avoids no_cxx11.
Skipping underscore_fields (underscore_fields.mpc); it avoids no_cxx11.
Skipping explicit_ints_cpp11 (explicit_ints_cpp11.mpc); it avoids no_cxx11.
Skipping char_literals_cpp11 (char_literals_cpp11.mpc); it avoids no_cxx11.
Skipping DDS_GroupPresentation_Idl (GroupPresentation.mpc); it requires object_model_profile.
Skipping DDS_GroupPresentation_Publisher (GroupPresentation.mpc); it requires object_model_profile.
Skipping DDS_GroupPresentation_Subscriber (GroupPresentation.mpc); it requires object_model_profile.
Skipping DDS_PersistentDurability_Publisher (PersistentDurability.mpc); it requires persistence_profile.
Skipping DDS_PersistentDurability_Subscriber (PersistentDurability.mpc); it requires persistence_profile.
Skipping StaticDiscoveryTest (StaticDiscoveryTest.mpc); it requires ownership_profile.
Skipping QueryCondition (QueryCondition.mpc); it requires content_subscription.
Skipping ContentFilteredTopic (ContentFilteredTopic.mpc); it requires content_subscription.
Skipping DDS_Inconsistent_Qos_Inconsistent_Qos (Inconsistent_Qos.mpc); it requires xerces.
Skipping DDS_TransientDurability_Publisher (TransientDurability.mpc); it requires persistence_profile.
Skipping DDS_TransientDurability_Subscriber (TransientDurability.mpc); it requires persistence_profile.
Skipping RtpsRelayPublisher (Smoke.mpc); it avoids no_cxx11.
Skipping RtpsRelaySubscriber (Smoke.mpc); it avoids no_cxx11.
Skipping RtpsRelayMonitor (Smoke.mpc); it avoids no_cxx11.
Skipping RtpsRelayMetaChecker (Smoke.mpc); it avoids no_cxx11.
Skipping StunClient (STUN.mpc); it avoids no_cxx11.
Skipping MultiTopicTest_Classic (MultiTopicTest_Classic.mpc); it requires content_subscription.
Skipping MultiTopicTest_Cpp11 (MultiTopicTest_Cpp11.mpc); it requires content_subscription.
Skipping qos_dumpXMLString (qos_dumpXMLString.mpc); it requires xerces.
Skipping qos_dump (qos_dump.mpc); it requires xerces.
Skipping Security_TopicWithoutPermissions (TopicWithoutPermissions.mpc); it requires xerces.
Skipping CheckInstance (CheckInstance.mpc); it requires xerces.
Skipping Security_IDL_Serialization (IDL_Serialization.mpc); it avoids no_opendds_security.
Skipping DDS_SecurityAttributesTest_Idl (SecurityAttributesTest.mpc); it avoids no_opendds_security.
Skipping DDS_SecurityAttributesTest_Publisher (SecurityAttributesTest.mpc); it requires xerces.
Skipping DDS_SecurityAttributesTest_Subscriber (SecurityAttributesTest.mpc); it requires xerces.
Skipping gov_gen (SecurityAttributesTest.mpc); it requires xerces.
Skipping ConcurrentAuthLimit (ConcurrentAuthLimit.mpc); it requires xerces.
Skipping SingleParticipantWithSecurity (SingleParticipantWithSecurity.mpc); it requires xerces.
Skipping idl2jni_corba (idl2jni_corba.mpc); it requires java.
Skipping idl2jni_codegen (idl2jni_codegen.mpc); it requires java.
Skipping idl2jni_runtime (idl2jni_runtime.mpc); it requires java.
Skipping idl2jni_test_union (idl2jni_test_union.mpc); it requires java.
Skipping idl2jni_test_explicit_ints (idl2jni_test_explicit_ints.mpc); it requires java.
Skipping idl2jni_test_simple (idl2jni_test_simple.mpc); it requires java.
Skipping dcps_java (dcps_java.mpc); it requires java.
Skipping participant_location_test (participant_location_test.mpc); it requires java.
Skipping multirepo_test (multirepo_test.mpc); it requires java.
Skipping complex_idl_test (complex_idl_test.mpc); it requires java.
Skipping builtintopics_test (builtintopics_test.mpc); it requires java.
Skipping publisher_idl_test (publisher_idl_test.mpc); it requires java.
Skipping java_both_test (java_both_test.mpc); it requires java.
Skipping subscriber_idl_test (subscriber_idl_test.mpc); it requires java.
Skipping messenger_idl_test (messenger_idl_test.mpc); it requires java.
Skipping transport_config (transport_config.mpc); it requires java.
Skipping java_vread_vwrite_test (vread_vwrite_test.mpc); it requires java.
Skipping internal_thread_status_test (internal_thread_status_test.mpc); it requires java.
Skipping hello_java_client (hello_java_client.mpc); it requires java.
Skipping two_idl (two_idl.mpc); it requires java.
Skipping zerocopy_java_test (zerocopy.mpc); it requires java.
Skipping tao_java (tao_java.mpc); it requires java.
Generation Time: 2m 4s

Compile #

make

Sat Apr 22 02:32:07 2023 UTC

Pattern: *akefile
Running: make -f GNUmakefile -s -k -j2   depend
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Info.idl.
GNUmakefile idl dependencies unchanged for DataReaderRemote.idl.
GNUmakefile idl dependencies unchanged for DataWriterRemote.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for DdsDcpsGuid.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsCore.idl.
GNUmakefile idl dependencies unchanged for OpenddsDcpsExt.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsInfoUtils.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsConditionSeq.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsDataReaderSeq.idl.
GNUmakefile idl dependencies unchanged for DdsSecurityParams.idl.
GNUmakefile idl dependencies unchanged for DdsSecurityCore.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsGuidTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsCoreTypeSupport.idl.
GNUmakefile idl dependencies unchanged for OpenddsDcpsExtTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsInfrastructureTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DdsDcps.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsDomain.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsInfrastructure.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsPublication.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsSubscription.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for DdsDcpsSubscriptionExt.idl.
GNUmakefile idl dependencies unchanged for RtpsCore.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsTopic.idl.
GNUmakefile idl dependencies unchanged for RtpsSecurity.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsTypeSupportExt.idl.
GNUmakefile idl dependencies unchanged for RtpsRpc.idl.
GNUmakefile idl dependencies unchanged for DdsDynamicDataSeq.idl.
GNUmakefile idl dependencies unchanged for TypeLookup.idl.
GNUmakefile idl dependencies unchanged for DdsDynamicData.idl.
GNUmakefile idl dependencies unchanged for DdsDynamicTypeSupport.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for FaceMessageTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for Federator.idl.
GNUmakefile idl dependencies unchanged for FederatorTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for monitor.idl.
GNUmakefile idl dependencies unchanged for monitorTypeSupport.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for FileInfoTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FileInfo.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for StockQuoterTypeSupport.idl.
GNUmakefile idl dependencies unchanged for StockQuoter.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Sync.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for SyncExt.idl.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for SyncExt.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for SyncExt.idl.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for SyncExt.idl.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for PTDefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for PTDef.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for TestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Test.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for DDSPerfTestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DDSPerfTest.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for testMessageTypeSupport.idl.
GNUmakefile idl dependencies unchanged for testMessageTypeSupport.idl.
GNUmakefile idl dependencies unchanged for testMessage.idl.
GNUmakefile idl dependencies unchanged for testMessage.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for BuilderTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Builder.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for ZeroEnumTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ZeroEnum.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for Extensibility.idl.
GNUmakefile idl dependencies unchanged for TryConstructBaseTypes.idl.
GNUmakefile idl dependencies unchanged for ExtensibilityTypeSupport.idl.
GNUmakefile idl dependencies unchanged for NestedTrimStruct.idl.
GNUmakefile idl dependencies unchanged for AnonTypesTypeSupport.idl.
GNUmakefile idl dependencies unchanged for TryConstructTypeSupport.idl.
GNUmakefile idl dependencies unchanged for TryConstruct.idl.
GNUmakefile idl dependencies unchanged for AnonTypes.idl.
GNUmakefile idl dependencies unchanged for NestedTrimStructTypeSupport.idl.
GNUmakefile idl dependencies unchanged for TryConstructBaseTypesTypeSupport.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for testTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ../test.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for testTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ../test.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for testTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ../test.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile idl dependencies unchanged for NamespacesTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Namespaces.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for BazDefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for BazDef.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile idl dependencies unchanged for FooDef2TypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooDef2.idl.
GNUmakefile idl dependencies unchanged for FooDef3.idl.
GNUmakefile idl dependencies unchanged for SharedTypes.idl.
GNUmakefile idl dependencies unchanged for SharedTypesTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDef3TypeSupport.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for NestedTypesTest.idl.
GNUmakefile idl dependencies unchanged for NestedTypesTestTypeSupport.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for ../is_topic_type.idl.
GNUmakefile idl dependencies unchanged for is_topic_typeTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ../default_nested_is_topic_type.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for default_nested_is_topic_typeTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ../is_topic_type.idl.
GNUmakefile idl dependencies unchanged for is_topic_typeTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ../default_nested_is_topic_type.idl.
GNUmakefile idl dependencies unchanged for default_nested_is_topic_typeTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for StructTest.idl.
GNUmakefile idl dependencies unchanged for UnionTestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for StructTestTypeSupport.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for UnionTest.idl.
GNUmakefile idl dependencies unchanged for key_annotation.idl.
GNUmakefile idl dependencies unchanged for key_annotationTypeSupport.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for Nsc.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for NscTypeSupport.idl.
GNUmakefile idl dependencies unchanged for testTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ../test.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for sequenceTypeSupport.idl.
GNUmakefile idl dependencies unchanged for sequence.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for typecodeTypeSupport.idl.
GNUmakefile idl dependencies unchanged for typecode.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for TypeObjectTestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for TypeObjectTest.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for union_defaultsTypeSupport.idl.
GNUmakefile idl dependencies unchanged for union_defaults.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for appendable_mixed.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for mutable_types2TypeSupport.idl.
GNUmakefile idl dependencies unchanged for mutable_typesTypeSupport.idl.
GNUmakefile idl dependencies unchanged for xcdrbasetypes.idl.
GNUmakefile idl dependencies unchanged for keyonly.idl.
GNUmakefile idl dependencies unchanged for appendable_mixedTypeSupport.idl.
GNUmakefile idl dependencies unchanged for keyonlyTypeSupport.idl.
GNUmakefile idl dependencies unchanged for xcdrbasetypesTypeSupport.idl.
GNUmakefile idl dependencies unchanged for mutable_types.idl.
GNUmakefile idl dependencies unchanged for mutable_types2.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for Messenger2TypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Messenger2.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for FooTypeTypeSupport.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for FooType.idl.
GNUmakefile idl dependencies unchanged for DataRepresentation.idl.
GNUmakefile idl dependencies unchanged for DataRepresentationTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for DelayedDurableTypeSupport.idl.
GNUmakefile idl dependencies unchanged for TestFramework.idl.
GNUmakefile idl dependencies unchanged for DelayedDurable.idl.
GNUmakefile idl dependencies unchanged for TestFrameworkTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for HelloWorld.idl.
GNUmakefile idl dependencies unchanged for HelloWorld.idl.
GNUmakefile idl dependencies unchanged for HelloWorldTypeSupport.idl.
GNUmakefile idl dependencies unchanged for HelloWorldTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for DynamicResponseNotCompleteTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DynamicResponseTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DynamicResponse.idl.
GNUmakefile idl dependencies unchanged for DynamicResponseNotComplete.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for dynamic.idl.
GNUmakefile idl dependencies unchanged for dynamicTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile dependencies updated.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for HelloWorld.idl.
GNUmakefile idl dependencies unchanged for HelloWorld.idl.
GNUmakefile idl dependencies unchanged for HelloWorldTypeSupport.idl.
GNUmakefile idl dependencies unchanged for HelloWorldTypeSupport.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for NoKeyData.idl.
GNUmakefile idl dependencies unchanged for NoKeyDataTypeSupport.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for KeyedData.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile idl dependencies unchanged for KeyedDataTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for BoundTest2TypeSupport.idl.
GNUmakefile idl dependencies unchanged for KeyTest2TypeSupport.idl.
GNUmakefile idl dependencies unchanged for BoundTestTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for KeyTestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for KeyTest.idl.
GNUmakefile idl dependencies unchanged for KeyTest2.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for BoundTest.idl.
GNUmakefile idl dependencies unchanged for BoundTest2.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for Satellite.idl.
GNUmakefile idl dependencies unchanged for SatelliteTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for Foo4Def.idl.
GNUmakefile idl dependencies unchanged for Foo1DefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Foo4DefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Foo1Def.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for Foo3Def.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Foo2Def.idl.
GNUmakefile idl dependencies unchanged for Foo3DefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Foo2DefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Foo1DefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Foo1Def.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for MyTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TestMsg.idl.
GNUmakefile idl dependencies unchanged for TestMsgTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for TestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Test.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for TestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Test.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for GeneratedCode/MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Reliability.idl.
GNUmakefile idl dependencies unchanged for ReliabilityTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for TestMsg.idl.
GNUmakefile idl dependencies unchanged for TestMsgTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for SkipSerializeTypeSupport.idl.
GNUmakefile idl dependencies unchanged for SkipSerialize.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for TestMsg.idl.
GNUmakefile idl dependencies unchanged for TestMsgTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for TypeSupportPlugin.idl.
GNUmakefile idl dependencies unchanged for TypeSupportPluginTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for TestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Test.idl.
GNUmakefile idl dependencies unchanged for Simple.idl.
GNUmakefile idl dependencies unchanged for SimpleTypeSupport.idl.
GNUmakefile dependencies updated.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Test.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for Simple.idl.
GNUmakefile idl dependencies unchanged for SimpleTypeSupport.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for Common.idl.
GNUmakefile idl dependencies unchanged for Subscriber.idl.
GNUmakefile idl dependencies unchanged for CommonTypeSupport.idl.
GNUmakefile idl dependencies unchanged for SubscriberTypeSupport.idl.
GNUmakefile dependencies updated.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Common.idl.
GNUmakefile idl dependencies unchanged for PublisherNonMutableStructs.idl.
GNUmakefile idl dependencies unchanged for PublisherMutableStructs.idl.
GNUmakefile idl dependencies unchanged for PublisherUnions.idl.
GNUmakefile idl dependencies unchanged for CommonTypeSupport.idl.
GNUmakefile idl dependencies unchanged for PublisherNonMutableStructsTypeSupport.idl.
GNUmakefile idl dependencies unchanged for PublisherMutableStructsTypeSupport.idl.
GNUmakefile idl dependencies unchanged for PublisherUnionsTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for Simple.idl.
GNUmakefile idl dependencies unchanged for SimpleTypeSupport.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for ZeroEnumTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ZeroEnum.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for ZeroEnumTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ZeroEnum.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for FaceMessageTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for NamespacesTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for BazDefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for FooDef3TypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDef2TypeSupport.idl.
GNUmakefile idl dependencies unchanged for SharedTypesTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for FixedTypeSupport.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for topTypeSupport.idl.
GNUmakefile idl dependencies unchanged for struct_onlyTypeSupport.idl.
GNUmakefile idl dependencies unchanged for typedef_onlyTypeSupport.idl.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for SampleModelTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for FaceHeaderTestMsgTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for FaceMessageTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for FaceMessageTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for FaceMessageTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for transmission_specsTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ecuTypeSupport.idl.
GNUmakefile idl dependencies unchanged for engine_specsTypeSupport.idl.
GNUmakefile idl dependencies unchanged for fuel_statsTypeSupport.idl.
GNUmakefile idl dependencies unchanged for transmission_statesTypeSupport.idl.
GNUmakefile idl dependencies unchanged for engine/engine_specs.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for engine/engine_stats/fuel_stats.idl.
GNUmakefile idl dependencies unchanged for transmission/transmission_specs.idl.
GNUmakefile idl dependencies unchanged for transmission/transmission_states.idl.
GNUmakefile idl dependencies unchanged for ecu.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies updated.
GNUmakefile idl dependencies unchanged for CompleteToMinimalTypeObjectTypeSupport.idl.
GNUmakefile idl dependencies unchanged for XTypesUtilsTypeSupport.idl.
GNUmakefile idl dependencies unchanged for CompleteToDynamicTypeTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DynamicDataAdapterTypeSupport.idl.
GNUmakefile idl dependencies unchanged for key_annotationTypeSupport.idl.
GNUmakefile idl dependencies unchanged for dds/DCPS/XTypes/CompleteToDynamicType.idl.
GNUmakefile idl dependencies unchanged for dds/DCPS/XTypes/CompleteToMinimalTypeObject.idl.
GNUmakefile idl dependencies unchanged for dds/DCPS/XTypes/DynamicDataXcdrReadImpl.idl.
GNUmakefile idl dependencies unchanged for dds/DCPS/XTypes/DynamicDataImpl.idl.
GNUmakefile idl dependencies unchanged for dds/DCPS/XTypes/XTypesUtils.idl.
GNUmakefile idl dependencies unchanged for dds/DCPS/XTypes/DynamicDataAdapter.idl.
GNUmakefile idl dependencies unchanged for ../DCPS/Compiler/key_annotation/key_annotation.idl.

cmake

Sat Apr 22 02:33:46 2023 UTC

-- The C compiler identification is GNU 4.4.7
-- The CXX compiler identification is GNU 4.4.7
-- Check for working C compiler: /usr/bin/cc
-- Check for working C compiler: /usr/bin/cc -- works
-- Detecting C compiler ABI info
-- Detecting C compiler ABI info - done
-- Detecting C compile features
-- Detecting C compile features - done
-- Check for working CXX compiler: /usr/bin/c++
-- Check for working CXX compiler: /usr/bin/c++ -- works
-- Detecting CXX compiler ABI info
-- Detecting CXX compiler ABI info - done
-- Detecting CXX compile features
-- Detecting CXX compile features - done
-- Found PythonInterp: /usr/bin/python (found version "2.6.6") 
-- Looking for pthread.h
-- Looking for pthread.h - found
-- Performing Test CMAKE_HAVE_LIBC_PTHREAD
-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Failed
-- Check if compiler accepts -pthread
-- Check if compiler accepts -pthread - yes
-- Found Threads: TRUE  
-- Configuring done
-- Generating done
-- Build files have been written to: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build
Scanning dependencies of target gtest
[ 12%] Building CXX object googlemock/gtest/CMakeFiles/gtest.dir/src/gtest-all.cc.o
[ 25%] Linking CXX static library libgtest.a
[ 25%] Built target gtest
Scanning dependencies of target gtest_main
[ 37%] Building CXX object googlemock/gtest/CMakeFiles/gtest_main.dir/src/gtest_main.cc.o
Scanning dependencies of target gmock
[ 50%] Building CXX object googlemock/CMakeFiles/gmock.dir/src/gmock-all.cc.o
[ 62%] Linking CXX static library libgtest_main.a
[ 62%] Built target gtest_main
[ 75%] Linking CXX static library libgmock.a
[ 75%] Built target gmock
Scanning dependencies of target gmock_main
[ 87%] Building CXX object googlemock/CMakeFiles/gmock_main.dir/src/gmock_main.cc.o
[100%] Linking CXX static library libgmock_main.a
[100%] Built target gmock_main
Install the project...
-- Install configuration: ""
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-matchers.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-function-mockers.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-cardinalities.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-actions.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/gmock-generated-internal-utils.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/gmock-internal-utils.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/gmock-port.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/gmock-generated-internal-utils.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/custom
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/custom/gmock-generated-actions.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/custom/README.md
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/custom/gmock-port.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/custom/gmock-generated-actions.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/custom/gmock-matchers.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-more-matchers.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-spec-builders.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-more-actions.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-nice-strict.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-actions.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-nice-strict.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-matchers.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-actions.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-function-mockers.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-matchers.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/libgmock.a
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/libgmock_main.a
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/pkgconfig/gmock.pc
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/pkgconfig/gmock_main.pc
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/cmake/GTest/GTestTargets.cmake
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/cmake/GTest/GTestTargets-noconfig.cmake
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/cmake/GTest/GTestConfigVersion.cmake
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/cmake/GTest/GTestConfig.cmake
-- Up-to-date: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-message.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-param-test.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-spi.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-printers.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-port.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-tuple.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-type-util.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-death-test-internal.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-tuple.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-port-arch.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-internal.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-param-util-generated.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-param-util.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-linked_ptr.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-filepath.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-string.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/custom
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/custom/gtest-port.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/custom/README.md
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/custom/gtest-printers.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/custom/gtest.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-param-util-generated.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-type-util.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest_pred_impl.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest_prod.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-param-test.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-test-part.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-death-test.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-typed-test.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/libgtest.a
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/libgtest_main.a
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/pkgconfig/gtest.pc
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/pkgconfig/gtest_main.pc

make

Sat Apr 22 02:33:54 2023 UTC

Pattern: *akefile
Running: make -f GNUmakefile -s -k -j2  

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_IOGR_Imr/GNUmakefile.DDS_Messenger_IOGR_Imr_Aggregator #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/GNUmakefile.OpenDDS_Util #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/Sync/GNUmakefile.Sync_Idl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/SimpleLatency/raw_tcp/publisher/GNUmakefile.DCPS_Perf_Raw_Tcp_Latency_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/SimpleLatency/raw_tcp/subscriber/GNUmakefile.DCPS_Perf_Raw_Tcp_Latency_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/TCPProfilingTest/raw_tcp/publisher/GNUmakefile.DCPS_Perf_Raw_Tcp_Publisher #

ln -s libOpenDDS_Util.so.3.25.0-dev libOpenDDS_Util.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/TCPProfilingTest/raw_tcp/subscriber/GNUmakefile.DCPS_Perf_Raw_Tcp_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TcpReconnect/GNUmakefile.stub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/idl/GNUmakefile.opendds_idl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/Sync/GNUmakefile.Sync_ServerLib #

ln -s libSyncServer.so.3.25.0-dev libSyncServer.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/Sync/GNUmakefile.Sync_ClientLib #

ln -s libSyncClient.so.3.25.0-dev libSyncClient.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/Sync/GNUmakefile.Sync_Server #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/GNUmakefile.OpenDDS_Dcps #

ln -s libOpenDDS_Dcps.so.3.25.0-dev libOpenDDS_Dcps.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger.minimal/GNUmakefile.MessengerMinimal_Idl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/modeling/codegen/model/GNUmakefile.Model_Lib #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/transport/tcp/GNUmakefile.OpenDDS_Tcp #

ln -s libOpenDDS_Model.so.3.25.0-dev libOpenDDS_Model.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger/GNUmakefile.Messenger_Idl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/RTPS/GNUmakefile.OpenDDS_Rtps #

ln -s libOpenDDS_Tcp.so.3.25.0-dev libOpenDDS_Tcp.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger_ZeroCopy/GNUmakefile.Messenger_ZeroCopy_Idl #

ln -s libMessenger_ZeroCopy_Idl.so.3.25.0-dev libMessenger_ZeroCopy_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/FACE/GNUmakefile.OpenDDS_FACE #

ln -s libOpenDDS_FACE.so.3.25.0-dev libOpenDDS_FACE.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/transport/multicast/GNUmakefile.OpenDDS_Multicast #

ln -s libOpenDDS_Multicast.so.3.25.0-dev libOpenDDS_Multicast.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/transport/shmem/GNUmakefile.OpenDDS_Shmem #

ln -s libOpenDDS_Rtps.so.3.25.0-dev libOpenDDS_Rtps.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/transport/udp/GNUmakefile.OpenDDS_Udp #

ln -s libOpenDDS_Shmem.so.3.25.0-dev libOpenDDS_Shmem.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/monitor/GNUmakefile.OpenDDS_monitor #

ln -s libOpenDDS_Udp.so.3.25.0-dev libOpenDDS_Udp.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/IntroductionToOpenDDS/GNUmakefile.StockQuoter_Common #

ln -s libStockQuoterCommon.so.3.25.0-dev libStockQuoterCommon.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_IOGR_Imr/GNUmakefile.DDS_Messenger_IOGR_Imr_Idl #

ln -s libDDS_Messenger_IOGR_Imr_Idl.so.3.25.0-dev libDDS_Messenger_IOGR_Imr_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_Imr/GNUmakefile.DDS_Messenger_Imr_Idl #

ln -s libDDS_Messenger_Imr_Idl.so.3.25.0-dev libDDS_Messenger_Imr_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/GNUmakefile.InfoRepo_Population_Idl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/TypeNoKeyBounded/GNUmakefile.DCPS_Perf_TypeNoKeyBounded #

ln -s libDCPS_Perf_TypeNoKeyBounded.so.3.25.0-dev libDCPS_Perf_TypeNoKeyBounded.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/Priority/GNUmakefile.Perf_Priority_Idl #

ln -s libOpenDDS_monitor.so.3.25.0-dev libOpenDDS_monitor.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/SimpleLatency/GNUmakefile.SimpleLatency_Idl #

ln -s libPerf_Priority_Idl.so.3.25.0-dev libPerf_Priority_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/bench/builder_idl/GNUmakefile.Bench_Builder_Idl #

ln -s libSimpleLatency_Idl.so.3.25.0-dev libSimpleLatency_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/AddressLookup/GNUmakefile.AddressLookup #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooType4/GNUmakefile.DcpsFooType4 #

ln -s libDcpsFooType4.so.3.25.0-dev libDcpsFooType4.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConsolidatedMessengerIdl/GNUmakefile.ConsolidatedMessengerIdl #

ln -s libBench_Builder_Idl.so.3.25.0-dev libBench_Builder_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/common/GNUmakefile.common #

ln -s libcommon.so.3.25.0-dev libcommon.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/TryConstruct/GNUmakefile.Compiler_TryConstruct #

ln -s libConsolidatedMessengerIdl.so.3.25.0-dev libConsolidatedMessengerIdl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/XtypesExtensibility/GNUmakefile.Compiler_XtypesExtensibility #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/anonymous_types/classic/GNUmakefile.anonymous_types_classic #

ln -s libanonymous_types_classic.so.3.25.0-dev libanonymous_types_classic.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/char_literals/classic/GNUmakefile.char_literals_classic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test1_lib/GNUmakefile.idl_test1_lib #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/explicit_ints/classic/GNUmakefile.explicit_ints_classic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test2_lib/GNUmakefile.idl_test2_lib #

ln -s libidl_test1_lib.so.3.25.0-dev libidl_test1_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test3_lib/GNUmakefile.idl_test3_lib #

ln -s libidl_test2_lib.so.3.25.0-dev libidl_test2_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test_nested_types_lib/GNUmakefile.idl_test_nested_types_lib #

ln -s libidl_test_nested_types_lib.so.3.25.0-dev libidl_test_nested_types_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/is_topic_type/dn/GNUmakefile.is_topic_type_dn #

ln -s libis_topic_type_dn.so.3.25.0-dev libis_topic_type_dn.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/is_topic_type/no_dn/GNUmakefile.is_topic_type_no_dn #

ln -s libidl_test3_lib.so.3.25.0-dev libidl_test3_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/key_annotation/GNUmakefile.key_annotation #

ln -s libis_topic_type_no_dn.so.3.25.0-dev libis_topic_type_no_dn.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/keywords/classic/GNUmakefile.keywords_classic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/typecode/GNUmakefile.Compiler_Typecode #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/typeobject_generator/GNUmakefile.TypeObjectTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/union_defaults/GNUmakefile.union_defaults #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/xcdr/GNUmakefile.xcdr #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CorbaSeq/GNUmakefile.CorbaSeq_Idl #

ln -s libCorbaSeq_Idl.so.3.25.0-dev libCorbaSeq_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooType/GNUmakefile.DcpsFooType #

ln -s libDcpsFooType.so.3.25.0-dev libDcpsFooType.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TestFramework/GNUmakefile.TestFramework #

ln -s libTestFramework.so.3.25.0-dev libTestFramework.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooType5/GNUmakefile.DcpsFooType5 #

ln -s libDcpsFooType5.so.3.25.0-dev libDcpsFooType5.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FileSystemStorage/GNUmakefile.FileSystemStorage #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooType3/GNUmakefile.DcpsFooType3 #

ln -s libDcpsFooType3.so.3.25.0-dev libDcpsFooType3.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooType3Unbounded/GNUmakefile.DcpsFooType3Unbounded #

ln -s libDcpsFooType3Unbounded.so.3.25.0-dev libDcpsFooType3Unbounded.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooType3NoKey/GNUmakefile.DcpsFooType3NoKey #

ln -s libDcpsFooType3NoKey.so.3.25.0-dev libDcpsFooType3NoKey.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/GuardCondition/GNUmakefile.GuardCondition #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Instances/GNUmakefile.DDS_Instances_Idl #

ln -s libDDS_Instances_Idl.so.3.25.0-dev libDDS_Instances_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/Utils/GNUmakefile.TestUtils #

ln -s libTestUtils.so.3.25.0-dev libTestUtils.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/KeyTest/GNUmakefile.DDS_KeyTest_Idl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/KeyTest/GNUmakefile.KeyTest_MD5 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LargeSample/GNUmakefile.DDS_LargeSample_Idl #

ln -s libDDS_LargeSample_Idl.so.3.25.0-dev libDDS_LargeSample_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessKeepAliveTest/GNUmakefile.DDS_LivelinessKeepAliveTest_Idl #

ln -s libDDS_LivelinessKeepAliveTest_Idl.so.3.25.0-dev libDDS_LivelinessKeepAliveTest_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/GNUmakefile.DDS_ManyTopicMultiProcess_Idl #

ln -s libDDS_ManyTopicMultiProcess_Idl.so.3.25.0-dev libDDS_ManyTopicMultiProcess_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTypes/GNUmakefile.ManyTopicTypes #

ln -s libManyTopicTypes.so.3.25.0-dev libManyTopicTypes.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/GNUmakefile.DDS_Messenger_Idl #

ln -s libDDS_KeyTest_Idl.so.3.25.0-dev libDDS_KeyTest_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Partition/GNUmakefile.DDS_Partition_Idl #

ln -s libDDS_Messenger_Idl.so.3.25.0-dev libDDS_Messenger_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Priority/GNUmakefile.DDS_Priority_Idl #

ln -s libDDS_Partition_Idl.so.3.25.0-dev libDDS_Partition_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Reliability/IDL/GNUmakefile.Reliability #

ln -s libDDS_Priority_Idl.so.3.25.0-dev libDDS_Priority_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SkipSerialize/GNUmakefile.DDS_SkipSerialize_Idl #

ln -s libReliability.so.3.25.0-dev libReliability.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StringKey/GNUmakefile.DDS_StringKey_Idl #

ln -s libDDS_SkipSerialize_Idl.so.3.25.0-dev libDDS_SkipSerialize_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TcpReconnect/GNUmakefile.DDS_TcpReconnect_Idl #

ln -s libDDS_StringKey_Idl.so.3.25.0-dev libDDS_StringKey_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TypeSupportPlugin/GNUmakefile.TypeSupportPlugin #

ln -s libDDS_TcpReconnect_Idl.so.3.25.0-dev libDDS_TcpReconnect_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TypeSupportPlugin/GNUmakefile.TypeSupportPluginUser #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/WaitForAck/GNUmakefile.WaitForAck_Idl #

ln -s libTypeSupportPlugin.so.3.25.0-dev libTypeSupportPlugin.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Idl/GNUmakefile.FaceMessengerIdl #

ln -s libWaitForAck_Idl.so.3.25.0-dev libWaitForAck_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test1_lib/GNUmakefile.FACE_idl_test1_lib #

ln -s libFaceMessengerIdl.so.3.25.0-dev libFaceMessengerIdl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test2_lib/GNUmakefile.FACE_idl_test2_lib #

ln -s libFACE_idl_test1_lib.so.3.25.0-dev libFACE_idl_test1_lib.so
ln -s libFACE_idl_test2_lib.so.3.25.0-dev libFACE_idl_test2_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test4_lib/GNUmakefile.FACE_idl_test4_lib #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test3_lib/GNUmakefile.FACE_idl_test3_lib #

ln -s libFACE_idl_test4_lib.so.3.25.0-dev libFACE_idl_test4_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test_fixed/GNUmakefile.FACE_idl_test_fixed #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Conformance/GNUmakefile.FaceConformanceIdl #

ln -s libFaceConformanceIdl.so.3.25.0-dev libFaceConformanceIdl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Header/Idl/GNUmakefile.FaceHeaderTestIdl #

ln -s libFaceHeaderTestIdl.so.3.25.0-dev libFaceHeaderTestIdl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/MultiDomainMessenger/Idl/GNUmakefile.FaceMultiDomainMessengerIdl #

ln -s libFaceMultiDomainMessengerIdl.so.3.25.0-dev libFaceMultiDomainMessengerIdl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Idl/GNUmakefile.FacePartitionIdl #

ln -s libFacePartitionIdl.so.3.25.0-dev libFacePartitionIdl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/SingleProcessMessenger/Idl/GNUmakefile.FaceMessengerSingleProcessIdl #

ln -s libFACE_idl_test3_lib.so.3.25.0-dev libFACE_idl_test3_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/TestMsg/GNUmakefile.DDS_tests_transport_testmsg #

ln -s libtransport_testmsg.so.3.25.0-dev libtransport_testmsg.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/network_resource/GNUmakefile.NetworkResource #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/InfoRepoDiscovery/GNUmakefile.OpenDDS_InfoRepoDiscovery #

ln -s libFaceMessengerSingleProcessIdl.so.3.25.0-dev libFaceMessengerSingleProcessIdl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/transport/rtps_udp/GNUmakefile.OpenDDS_Rtps_Udp #

ln -s libOpenDDS_InfoRepoDiscovery.so.3.25.0-dev libOpenDDS_InfoRepoDiscovery.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/FACE/Simple/GNUmakefile.FaceMessenger_Idl #

ln -s libFaceMessenger_Idl.so.3.25.0-dev libFaceMessenger_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/InfoRepo/GNUmakefile.DCPSInfoRepo_Lib #

ln -s libOpenDDS_Rtps_Udp.so.3.25.0-dev libOpenDDS_Rtps_Udp.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/DistributedContent/GNUmakefile.DDS_DistributedContent_Node #

ln -s libOpenDDS_InfoRepoLib.so.3.25.0-dev libOpenDDS_InfoRepoLib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/IntroductionToOpenDDS/GNUmakefile.StockQuoter_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/IntroductionToOpenDDS/GNUmakefile.StockQuoter_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_IOGR_Imr/GNUmakefile.DDS_Messenger_IOGR_Imr_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_IOGR_Imr/GNUmakefile.DDS_Messenger_IOGR_Imr_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_Imr/GNUmakefile.DDS_Messenger_Imr_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/GNUmakefile.InfoRepo_Population_SyncServer #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/MulticastListenerTest/GNUmakefile.DCPS_Perf_MulticastListenerTest_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/MulticastListenerTest/GNUmakefile.DCPS_Perf_MulticastListenerTest_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/Priority/GNUmakefile.Perf_Priority_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/SimpleE2ETest/GNUmakefile.DCPS_Perf_SimpleE2E_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/SimpleE2ETest/GNUmakefile.DCPS_Perf_SimpleE2E_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/SimpleLatency/GNUmakefile.DDS_SimpleLatency_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/SimpleLatency/GNUmakefile.DDS_SimpleLatency_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/TCPListenerTest/GNUmakefile.DCPS_Perf_TCPListener_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/TCPListenerTest/GNUmakefile.DCPS_Perf_TCPListener_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/TCPProfilingTest/GNUmakefile.DCPS_Perf_TCPProfiling_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/UDPListenerTest/GNUmakefile.DCPS_Perf_UDPListener_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/UDPListenerTest/GNUmakefile.DCPS_Perf_UDPListener_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/UDPNoKeyTest/GNUmakefile.DCPS_Perf_UDPNoKey_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/UDPNoKeyTest/GNUmakefile.DCPS_Perf_UDPNoKey_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BitDataReader/GNUmakefile.BitDataReader #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopic/GNUmakefile.BuiltInTopic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopicTest/GNUmakefile.DDS_BuiltInTopicTest_Monitor #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopicTest/GNUmakefile.DDS_BuiltInTopicTest_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopicTest/GNUmakefile.DDS_BuiltInTopicTest_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/GNUmakefile.CompatibilityTest_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test1_main/GNUmakefile.idl_test1_main #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test3_main/GNUmakefile.idl_test3_main #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/isolated_types/GNUmakefile.DDS_Isolated_Types #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/namespace_conflict/GNUmakefile.DDS_Namespace_Conflict #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/sequence_conflict/GNUmakefile.DDS_Sequence_Conflict #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigFile/GNUmakefile.ConfigFile #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/GNUmakefile.ConfigTransports_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ContainsEntity/GNUmakefile.DDS_ContainsEntity #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CorbaSeq/GNUmakefile.CorbaSeq_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CorbaSeq/GNUmakefile.CorbaSeq_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DCPSInfoRepo/GNUmakefile.dcpsinfo_test_pubsub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DPFactoryQos/GNUmakefile.DDS_DPFactoryQos_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DPFactoryQos/GNUmakefile.DDS_DPFactoryQos_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DataRepresentation/GNUmakefile.DataRepresentation #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DcpsIntegration/GNUmakefile.dcps_integration_infrastructure #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Deadline/GNUmakefile.DDS_Deadline_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/GNUmakefile.DelayedDurable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DestinationOrder/GNUmakefile.DestinationOrder #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Dispose/GNUmakefile.Dispose #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DomainRange/GNUmakefile.DomainRangeTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DpShutdown/GNUmakefile.DDS_DpShutdown #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicData/GNUmakefile.DynamicData_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicResponse/GNUmakefile.DynamicResponse_Origin #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicResponse/GNUmakefile.DynamicResponse_Responder #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/GNUmakefile.XTypes_Dynamic_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/GNUmakefile.XTypes_Dynamic_Recorder #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/GNUmakefile.DDS_EntityLifeCycleStress_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/GNUmakefile.DDS_EntityLifeCycleStress_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Federation/GNUmakefile.Federation_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FindTopic/GNUmakefile.DDS_FindTopic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest3_0/GNUmakefile.FooTest3_0_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest3_2/GNUmakefile.FooTest3_2_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest4/GNUmakefile.DcpsFooTest4 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest4_0/GNUmakefile.DcpsFooTest4_0 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest5/GNUmakefile.DcpsFooTest5Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest5_0/GNUmakefile.DcpsFooTest5_0 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/HelloWorld/GNUmakefile.HelloWorld_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/InconsistentTopic/GNUmakefile.DDS_InconsistentTopic_PublisherSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Instances/GNUmakefile.Instances_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Instances/GNUmakefile.Instances_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/InternalThreadStatus/GNUmakefile.InternalThreadStatusPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/KeyTest/GNUmakefile.DDS_KeyTest_IsBounded #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/KeyTest/GNUmakefile.DDS_KeyTest_KeyMarshalling #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LargeSample/GNUmakefile.DDS_LargeSample_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LatencyBudget/GNUmakefile.DDS_LatencyBudget_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LatencyBudget/GNUmakefile.DDS_LatencyBudget_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Lifespan/GNUmakefile.DDS_Lifespan_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Lifespan/GNUmakefile.DDS_Lifespan_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessKeepAliveTest/GNUmakefile.LivelinessKeepAliveTestSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTest/GNUmakefile.LivelinessTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/GNUmakefile.LivelinessTimeout_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/GNUmakefile.DDS_ManualAssertLiveliness_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyToMany/GNUmakefile.DDS_ManyToMany_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyToMany/GNUmakefile.DDS_ManyToMany_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/GNUmakefile.ManyTopicMultiProcessSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/GNUmakefile.ManyTopicTestPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/GNUmakefile.ManyTopicTestSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/GNUmakefile.DDS_Messenger_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/GNUmakefile.DDS_Messenger_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MockedTypeSupport/GNUmakefile.MockedTypeSupport #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Monitor/GNUmakefile.Monitor_Messenger_Monitor #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Monitor/GNUmakefile.Monitor_Messenger_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Monitor/GNUmakefile.Monitor_Messenger_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiDPTest/GNUmakefile.MultiDPTest_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiDiscovery/GNUmakefile.MultiDiscoveryTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiRepoTest/GNUmakefile.MultiRepoTest_Monitor #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/NotifyTest/GNUmakefile.DDS_NotifyTest_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/NotifyTest/GNUmakefile.DDS_NotifyTest_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Observer/GNUmakefile.Observer_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Partition/GNUmakefile.DDS_Partition_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Partition/GNUmakefile.DDS_Partition_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/PersistentInfoRepo/GNUmakefile.PersistentInfoRepo_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/PersistentInfoRepo/GNUmakefile.PersistentInfoRepo_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Priority/GNUmakefile.DDS_Priority_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Prst_delayed_subscriber/GNUmakefile.DDS_Prst_Delayed_Subscriber_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Prst_delayed_subscriber/GNUmakefile.DDS_Prst_Delayed_Subscriber_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReadCondition/GNUmakefile.ReadCondition #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReaderDataLifecycle/GNUmakefile.ReaderDataLifecycle #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Reconnect/GNUmakefile.Reconnect_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Reconnect/GNUmakefile.Reconnect_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderLogging/GNUmakefile.DDS_RecorderLogging_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderLogging/GNUmakefile.DDS_RecorderLogging_Recorder #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/GNUmakefile.DDS_RecorderReplayer_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/GNUmakefile.DDS_RecorderReplayer_Relay #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/GNUmakefile.DDS_RecorderReplayer_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RegisterInstance/GNUmakefile.register_instance_topic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Rejects/GNUmakefile.DDS_Rejects_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Rejects/GNUmakefile.DDS_Rejects_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Reliability/pub/GNUmakefile.Reliability_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Reliability/sub/GNUmakefile.Reliability_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/GNUmakefile.ReliableBestEffortReaders_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Restart/GNUmakefile.RestartTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/GNUmakefile.RtpsDiscovery #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDurableReplay/GNUmakefile.RtpsDurableReplay_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDurableReplay/GNUmakefile.RtpsDurableReplay_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsMessages/GNUmakefile.RtpsMessages #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SequenceIterator/GNUmakefile.SequenceIteratorTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Serializer/GNUmakefile.SerializerTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Serializer_wstring/GNUmakefile.Serializer_Wstring_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosDeadline/GNUmakefile.SetQosDeadline_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosDeadline/GNUmakefile.SetQosDeadline_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosPartition/GNUmakefile.SetQosPartition #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/GNUmakefile.SharedTransport #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SkipSerialize/GNUmakefile.DDS_SkipSerialize_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SkipSerialize/GNUmakefile.DDS_SkipSerialize_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StaticDiscoveryReconnect/GNUmakefile.StaticDiscoveryReconnectTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StatusCondition/GNUmakefile.StatusCondition #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StringKey/GNUmakefile.DDS_StringKey_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StringKey/GNUmakefile.DDS_StringKey_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SubscriberCycle/GNUmakefile.SubscriberCycle_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TcpReconnect/GNUmakefile.DDS_TcpReconnect_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TcpReconnect/GNUmakefile.DDS_TcpReconnect_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/GNUmakefile.Thrasher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TimeBasedFilter/GNUmakefile.TimeBasedFilter #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TopicReuse/GNUmakefile.DDS_TopicReuse_TopicReuse #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TransientLocalMultiInstanceTest/GNUmakefile.DDS_TransientLocalMultiInstanceTest_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TransientLocalTest/GNUmakefile.DDS_TransientLocalTest_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TransientLocalTest/GNUmakefile.DDS_TransientLocalTest_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/UnregisterType/GNUmakefile.UnregisterTypeTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ViewState/GNUmakefile.ViewState #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/WaitForAck/GNUmakefile.WaitForAck_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/WaitForAckRace/GNUmakefile.WaitForAckRace_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/WaitForAckRace/GNUmakefile.WaitForAckRace_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/WriteDataContainer/GNUmakefile.WriteDataContainer #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/GNUmakefile.XTypes_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyDataReaderListener/GNUmakefile.ZeroCopyDRL_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyDataReaderListener/GNUmakefile.ZeroCopyDRL_StackSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyRead/GNUmakefile.ZeroCopyRead #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroEnum/GNUmakefile.ZeroEnum_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/sub_init_loop/GNUmakefile.Sub_Init_Loop_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/sub_init_loop/GNUmakefile.Sub_Init_Loop_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/CallbackAndReceive/Publisher/GNUmakefile.CallbackAndReceivePublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/CallbackAndReceive/Subscriber/GNUmakefile.CallbackAndReceiveSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test1_main/GNUmakefile.FACE_idl_test1_main #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test3_main/GNUmakefile.FACE_idl_test3_main #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/GetConnectionParameters/Publisher/GNUmakefile.GetConnectionParametersPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/GetConnectionParameters/Subscriber/GNUmakefile.GetConnectionParametersSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Header/Publisher/GNUmakefile.FaceHeaderPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Header/Subscriber/GNUmakefile.FaceHeaderSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Publisher/GNUmakefile.FaceMessengerPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Subscriber/GNUmakefile.FaceMessengerSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/MultiDomainMessenger/Publisher/GNUmakefile.FaceMultiDomainMessengerPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/MultiDomainMessenger/Subscriber/GNUmakefile.FaceMultiDomainMessengerSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Publisher/GNUmakefile.FacePartitionPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Subscriber/GNUmakefile.FacePartitionSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Reliability/Publisher/GNUmakefile.FaceReliabilityPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Reliability/Subscriber/GNUmakefile.FaceReliabilitySubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/SingleProcessMessenger/SingleProcess/GNUmakefile.FaceMessengerSingleProcess #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/cmake/Nested_IDL/GNUmakefile.ECU #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/stress-tests/GNUmakefile.StressTests #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/best_effort_reader/GNUmakefile.DDS_Tests_Transport_Best_Effort_Reader_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/error_handling/GNUmakefile.error_handling #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps/GNUmakefile.DDS_Transport_Rtps_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps/GNUmakefile.DDS_Transport_Rtps_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/GNUmakefile.DDS_Transport_Rtps_Directed_Write_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_reliability/GNUmakefile.DDS_transport_rtps_reliability #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/simple/GNUmakefile.DDS_Transport_Simple_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/simple/GNUmakefile.DDS_Transport_Simple_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/spdp/GNUmakefile.DDS_transport_spdp #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/unit-tests/GNUmakefile.UnitTests #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/dcpsinfo_dump/GNUmakefile.dcpsinfo_dump #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/inspect/GNUmakefile.Inspect #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger.minimal/GNUmakefile.MessengerMinimal_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger/GNUmakefile.Messenger_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger_ZeroCopy/GNUmakefile.Messenger_ZeroCopy_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger_ZeroCopy/GNUmakefile.Messenger_ZeroCopy_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/FACE/Simple/GNUmakefile.FaceMessenger_Callbacksubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/FACE/Simple/GNUmakefile.FaceMessenger_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/FACE/Simple/GNUmakefile.FaceMessenger_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/InfoRepo/GNUmakefile.DCPSInfoRepo_Federator #

ln -s libOpenDDS_Federator.so.3.25.0-dev libOpenDDS_Federator.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_Imr/GNUmakefile.DDS_Messenger_Imr_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/GNUmakefile.InfoRepo_Population_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/Priority/GNUmakefile.Perf_Priority_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/TCPProfilingTest/GNUmakefile.DCPS_Perf_TCPProfiling_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/GNUmakefile.CompatibilityTest_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/GNUmakefile.ConfigTransports_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DcpsIntegration/GNUmakefile.dcps_integration_topic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Deadline/GNUmakefile.DDS_Deadline_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicData/GNUmakefile.DynamicData_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Federation/GNUmakefile.Federation_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest3_0/GNUmakefile.FooTest3_0_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest3_2/GNUmakefile.FooTest3_2_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest5/GNUmakefile.DcpsFooTest5Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/HelloWorld/GNUmakefile.HelloWorld_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/InternalThreadStatus/GNUmakefile.InternalThreadStatusSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LargeSample/GNUmakefile.DDS_LargeSample_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessKeepAliveTest/GNUmakefile.LivelinessKeepAliveTestPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/GNUmakefile.LivelinessTimeout_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/GNUmakefile.ManyTopicMultiProcessPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/GNUmakefile.DDS_Messenger_StackSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiDPTest/GNUmakefile.MultiDPTest_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiRepoTest/GNUmakefile.MultiRepoTest_System #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Observer/GNUmakefile.Observer_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Priority/GNUmakefile.DDS_Priority_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/GNUmakefile.ReliableBestEffortReaders_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Serializer_wstring/GNUmakefile.Serializer_Wstring_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TransientLocalMultiInstanceTest/GNUmakefile.DDS_TransientLocalMultiInstanceTest_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/WaitForAck/GNUmakefile.WaitForAck_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/GNUmakefile.XTypes_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyDataReaderListener/GNUmakefile.ZeroCopyDRL_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroEnum/GNUmakefile.ZeroEnum_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/best_effort_reader/GNUmakefile.DDS_Tests_Transport_Best_Effort_Reader_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/GNUmakefile.DDS_Transport_Rtps_Directed_Write_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/repoctl/GNUmakefile.repoctl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger.minimal/GNUmakefile.MessengerMinimal_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger/GNUmakefile.Messenger_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/InfoRepo/GNUmakefile.DCPSInfoRepo_Serv #

ln -s libOpenDDS_InfoRepoServ.so.3.25.0-dev libOpenDDS_InfoRepoServ.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/GNUmakefile.InfoRepo_Population_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/InfoRepo/GNUmakefile.DCPSInfoRepo_Main #


Test #

auto_run_tests

Sat Apr 22 03:10:47 2023 UTC

Running: perl tests/auto_run_tests.pl   -Config DDS_NO_OBJECT_MODEL_PROFILE -Config DDS_NO_OWNERSHIP_PROFILE -Config DDS_NO_PERSISTENCE_PROFILE -Config DDS_NO_CONTENT_SUBSCRIPTION -Config IPV6 in .
Test Lists: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/dcps_tests.lst
Configs: DDS_NO_OBJECT_MODEL_PROFILE, DDS_NO_OWNERSHIP_PROFILE, DDS_NO_PERSISTENCE_PROFILE, DDS_NO_CONTENT_SUBSCRIPTION, IPV6, Linux, RTPS
Excludes: 

==============================================================================

tools/scripts/modules/tests/command_utils.pl #

In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (string): "___this_really_should_be_invalid___"
Check that return value and exit status work as expected ======================
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "exit(2);"
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "exit(0);"
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "print("Hello\n");"
Check that putting the ouput in a variable works ==============================
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "print STDERR ("Goodbye\n");"
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "print STDERR ("Goodbye\n");print("Hello\n");"
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "print STDERR ("Goodbye\n");print("Hello\n");"
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "print STDERR ("Goodbye\n");print("Hello\n");"
Check that putting the output in a file works =================================
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "print STDERR ("Goodbye\n");print("Hello\n");exit(1);"
Check that dump_on_failure works ==============================================

auto_run_tests_finished: tools/scripts/modules/tests/command_utils.pl Time:0s Result:0

==============================================================================

tools/scripts/modules/tests/ChangeDir.pl #

auto_run_tests_finished: tools/scripts/modules/tests/ChangeDir.pl Time:1s Result:0

==============================================================================

tests/DCPS/AddressLookup/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/AddressLookup/main  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile AddressLookup.log -DCPSPendingTimeout 3 
AddressLookup PID: 27635 started at 2023-04-21 22:10:49
========= Attempt 0....
DEBUG: address_info: There are 5 interfaces
DEBUG: address_info: Considering interface 0
DEBUG: address_info: Found IP interface 127.0.0.1
DEBUG: address_info: IP address 127.0.0.1 maps to hostname localhost
DEBUG: hostname_to_ip: Resolving IP addresses from hostname localhost
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 28, curr->ai_addr size is 16, curr family is 10
DEBUG: print_addr: ==== IP address: ::1
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 127.0.0.1

DEBUG: address_info: Considering interface 1
DEBUG: address_info: Found IP interface 10.201.200.79
DEBUG: address_info: IP address 10.201.200.79 maps to hostname flea.ociweb.com
DEBUG: hostname_to_ip: Resolving IP addresses from hostname flea.ociweb.com
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 10.201.200.79

DEBUG: address_info: Considering interface 2
DEBUG: address_info: Found IP interface 192.168.122.1
WARNING: address_info: Failed to get FQDN

DEBUG: address_info: Considering interface 3
DEBUG: address_info: Found IP interface ::1
DEBUG: address_info: IP address ::1 maps to hostname localhost
DEBUG: hostname_to_ip: Resolving IP addresses from hostname localhost
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 28, curr->ai_addr size is 16, curr family is 10
DEBUG: print_addr: ==== IP address: ::1
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 127.0.0.1

DEBUG: address_info: Considering interface 4
DEBUG: address_info: Found IP interface fe80::20c:29ff:fe7a:35f2%2
WARNING: address_info: Failed to get FQDN


========= Attempt 1....
DEBUG: address_info: There are 5 interfaces
DEBUG: address_info: Considering interface 0
DEBUG: address_info: Found IP interface 127.0.0.1
DEBUG: address_info: IP address 127.0.0.1 maps to hostname localhost
DEBUG: hostname_to_ip: Resolving IP addresses from hostname localhost
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 28, curr->ai_addr size is 16, curr family is 10
DEBUG: print_addr: ==== IP address: ::1
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 127.0.0.1

DEBUG: address_info: Considering interface 1
DEBUG: address_info: Found IP interface 10.201.200.79
DEBUG: address_info: IP address 10.201.200.79 maps to hostname flea.ociweb.com
DEBUG: hostname_to_ip: Resolving IP addresses from hostname flea.ociweb.com
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 10.201.200.79

DEBUG: address_info: Considering interface 2
DEBUG: address_info: Found IP interface 192.168.122.1
WARNING: address_info: Failed to get FQDN

DEBUG: address_info: Considering interface 3
DEBUG: address_info: Found IP interface ::1
DEBUG: address_info: IP address ::1 maps to hostname localhost
DEBUG: hostname_to_ip: Resolving IP addresses from hostname localhost
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 28, curr->ai_addr size is 16, curr family is 10
DEBUG: print_addr: ==== IP address: ::1
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 127.0.0.1

DEBUG: address_info: Considering interface 4
DEBUG: address_info: Found IP interface fe80::20c:29ff:fe7a:35f2%2
WARNING: address_info: Failed to get FQDN


========= Attempt 2....
DEBUG: address_info: There are 5 interfaces
DEBUG: address_info: Considering interface 0
DEBUG: address_info: Found IP interface 127.0.0.1
DEBUG: address_info: IP address 127.0.0.1 maps to hostname localhost
DEBUG: hostname_to_ip: Resolving IP addresses from hostname localhost
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 28, curr->ai_addr size is 16, curr family is 10
DEBUG: print_addr: ==== IP address: ::1
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 127.0.0.1

DEBUG: address_info: Considering interface 1
DEBUG: address_info: Found IP interface 10.201.200.79
DEBUG: address_info: IP address 10.201.200.79 maps to hostname flea.ociweb.com
DEBUG: hostname_to_ip: Resolving IP addresses from hostname flea.ociweb.com
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 10.201.200.79

DEBUG: address_info: Considering interface 2
DEBUG: address_info: Found IP interface 192.168.122.1
WARNING: address_info: Failed to get FQDN

DEBUG: address_info: Considering interface 3
DEBUG: address_info: Found IP interface ::1
DEBUG: address_info: IP address ::1 maps to hostname localhost
DEBUG: hostname_to_ip: Resolving IP addresses from hostname localhost
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 28, curr->ai_addr size is 16, curr family is 10
DEBUG: print_addr: ==== IP address: ::1
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 127.0.0.1

DEBUG: address_info: Considering interface 4
DEBUG: address_info: Found IP interface fe80::20c:29ff:fe7a:35f2%2
WARNING: address_info: Failed to get FQDN


test PASSED.

auto_run_tests_finished: tests/DCPS/AddressLookup/run_test.pl Time:13s Result:0

==============================================================================

tests/DCPS/Prst_delayed_subscriber/run_test.pl #

Spawning first DCPSInfoRepo.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS  -o repo.ior -ORBSvcConf mySvc.conf -orbendpoint iiop://:20208
Spawning publisher.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Prst_delayed_subscriber/publisher -DCPSBit 0  -DCPSConfigFile pub.ini
(27645|27645) NOTICE: using DCPSBit value from command option (overrides value if it's in config file)
(27645|27645) Writer::start
(27645|27650) Writer::svc begins.
(27645|27650)  22:11:03.740540 Writer::svc starting to write.
Spawning first subscriber.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Prst_delayed_subscriber/subscriber -DCPSBit 0  -DCPSConfigFile sub.ini
(27651|27651) NOTICE: using DCPSBit value from command option (overrides value if it's in config file)
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
First Subscriber complete.
Killing first DCPSInfoRepo.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
Spawning second DCPSInfoRepo.
Spawning second subscriber.
(27663|27663) NOTICE: using DCPSBit value from command option (overrides value if it's in config file)
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
(27645|27650) Writer::svc finished.
(27645|27645) Writer::end
Second Subscriber complete.
Publisher killed.
Killing second DCPSInfoRepo.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Prst_delayed_subscriber/run_test.pl Time:12s Result:0

==============================================================================

tests/DCPS/ZeroCopyRead/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 27669
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyRead/main -DcpsBit 0 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile main.log -DCPSPendingTimeout 3 
main PID: 27676 started at 2023-04-21 22:11:15
(27676|27676) zero-copy read test main
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ZeroCopyRead/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/ZeroCopyRead/run_test.pl by_instance #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 27682
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyRead/main -DcpsBit 0 -i -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile main.log -DCPSPendingTimeout 3 
main PID: 27689 started at 2023-04-21 22:11:15
(27689|27689) zero-copy read test main
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ZeroCopyRead/run_test.pl by_instance Time:1s Result:0

==============================================================================

tests/DCPS/ZeroCopyDataReaderListener/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 27695
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyDataReaderListener/publisher -ORBDebugLevel 1   -DCPSPendingTimeout 2 -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile pub.log -DCPSConfigFile tcp.ini 
pub PID: 27702 started at 2023-04-21 22:11:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyDataReaderListener/subscriber -ORBDebugLevel 1  -DCPSPendingTimeout 2 -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile sub.log -DCPSConfigFile tcp.ini 
sub PID: 27703 started at 2023-04-21 22:11:16
(27695|27695) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ZeroCopyDataReaderListener/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/DCPSInfoRepo/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o dcps_ir.ior
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DCPSInfoRepo/pubsub -k file://dcps_ir.ior -q
pubsub test
2nd topic assertion CREATED a topic
adding matching subscription

TAO_DDS_DCPSDataWriter_i::add_associations () :
	Writer 01030000.10b5a131.00000001.00000102(c4cf4323) Adding association to a reader:
	 reader id - 01030000.10b5a131.00000002.00000107(f305cd7c)
	 transport_id - fake transport for test

TAO_DDS_DCPSDataReader_i::add_associations () :
	Reader 01030000.10b5a131.00000002.00000107(f305cd7c) Adding association to writer:
	 writer id - 01030000.10b5a131.00000001.00000102(c4cf4323)
	 transport_id - fake transport for test

adding incompatible publication

!!! TAO_DDS_DCPSDataReader_i::update_incompatible_qos () :
	2 new incompatible DataWriters 2  total
	Last incompatible QOS policy was 23
	Policy - 11	count - 1
	Policy - 23	count - 1

!!! TAO_DDS_DCPSDataWriter_i::update_incompatible_qos () :
	2 new incompatible DataReaders 2  total
	Last incompatible QOS policy was 23
	Policy - 11	count - 1
	Policy - 23	count - 1

TAO_DDS_DCPSDataWriter_i::remove_associations () :
	Removing association to 1 readers:
	Association - 0
	 RepoId - 01030000.10b5a131.00000002.00000107(f305cd7c)
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15

auto_run_tests_finished: tests/DCPS/DCPSInfoRepo/run_test.pl Time:2s Result:0

==============================================================================

tests/DCPS/DCPSInfoRepo/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DCPSInfoRepo/pubsub -r -q
pubsub test
2nd topic assertion FOUND a topic
adding matching subscription

TAO_DDS_DCPSDataReader_i::add_associations () :
	Reader 0103000c.297a35f2.6c53b17f.00000004(5edb7531) Adding association to writer:
	 writer id - 0103000c.297a35f2.6c53b17e.00000003(fddfc922)
	 transport_id - fake transport for test


TAO_DDS_DCPSDataWriter_i::add_associations () :
	Writer 0103000c.297a35f2.6c53b17e.00000003(fddfc922) Adding association to a reader:
	 reader id - 0103000c.297a35f2.6c53b17f.00000004(5edb7531)
	 transport_id - fake transport for test
adding incompatible publication

!!! TAO_DDS_DCPSDataWriter_i::update_incompatible_qos () :
	2 new incompatible DataReaders 2  total
	Last incompatible QOS policy was 23
	Policy - 11	count - 1
	Policy - 23	count - 1

!!! TAO_DDS_DCPSDataReader_i::update_incompatible_qos () :
	1 new incompatible DataWriters 1  total
	Last incompatible QOS policy was 11
	Policy - 11	count - 1

auto_run_tests_finished: tests/DCPS/DCPSInfoRepo/run_test.pl rtps_disc Time:3s Result:0

==============================================================================

tests/DCPS/MockedTypeSupport/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 27738
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MockedTypeSupport/MockedTypeSupport -ORBLogFile test.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
ut PID: 27745 started at 2023-04-21 22:11:22
(27738|27738) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/MockedTypeSupport/run_test.pl Time:5s Result:0

==============================================================================

tests/DCPS/FooTest3_2/run_test.pl bp_remove #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -NOBITS
InfoRepo PID: 27754
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest3_2/FooTest3_publisher  -t 1 -w 1 -m 0 -i 100  -n 12345678 -d 1 -l 0 -r 1  -b 0  -DCPSBit 0 
publisher PID: 27761 started at 2023-04-21 22:11:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest3_2/FooTest3_subscriber  -n 100 -l 100 -DCPSBit 0 
subscriber PID: 27762 started at 2023-04-21 22:11:27
0x1
(27762|27762) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(27761|27761) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(27761|27761) Writer::start
DataReaderListener.cpp:105: INFO: on_subscription_matched()
(27761|27771) Writer::svc
DataReaderListener.cpp:98: INFO: on_liveliness_changed()
DataReaderListener.cpp:98: INFO: on_liveliness_changed()
DataReaderListener.cpp:105: INFO: on_subscription_matched()
(27761|27761) PubDriver::end
(27761|27761) Writer::end
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/FooTest3_2/run_test.pl bp_remove Time:2s Result:0

==============================================================================

tests/DCPS/FindTopic/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FindTopic/findtopic  -DCPSPendingTimeout 3 
findtopic PID: 27773 started at 2023-04-21 22:11:29
findtopic.cpp:144 main() using Local Discovery
test PASSED.

auto_run_tests_finished: tests/DCPS/FindTopic/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/FindTopic/run_test.pl rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FindTopic/findtopic rtps -DCPSPendingTimeout 3 
findtopic PID: 27778 started at 2023-04-21 22:11:29
findtopic.cpp:141 main() using RTPS Discovery
test PASSED.

auto_run_tests_finished: tests/DCPS/FindTopic/run_test.pl rtps Time:1s Result:0

==============================================================================

tests/DCPS/InconsistentTopic/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/InconsistentTopic/pubsub  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pubsub.log -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
pubsub PID: 27785 started at 2023-04-21 22:11:30
Waiting for participant 2 to discover topic from participant 1 
test PASSED.

auto_run_tests_finished: tests/DCPS/InconsistentTopic/run_test.pl rtps_disc Time:1s Result:0

==============================================================================

tests/DCPS/TopicReuse/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TopicReuse/tpreuse  -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile tpreuse.log -DCPSPendingTimeout 3 
tpreuse PID: 27796 started at 2023-04-21 22:11:31
test PASSED.

auto_run_tests_finished: tests/DCPS/TopicReuse/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/DpShutdown/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DpShutdown/dpshutdown  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile dpshutdown.log -DCPSPendingTimeout 3 
dpshutdown PID: 27805 started at 2023-04-21 22:11:31
test PASSED.

auto_run_tests_finished: tests/DCPS/DpShutdown/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/ContainsEntity/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ContainsEntity/containsentity   -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile containsentity.log -DCPSPendingTimeout 3 
containsentity PID: 27816 started at 2023-04-21 22:11:32
test PASSED.

auto_run_tests_finished: tests/DCPS/ContainsEntity/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Serializer/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Serializer/SerializerTest  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile SerializerTest.log -DCPSPendingTimeout 3 
SerializerTest PID: 27827 started at 2023-04-21 22:11:32
Size of Values: 112
Size of ArrayValues: 976


*** Unaligned CDR, little-endian (native)

STARTING INSERTION OF SINGLE VALUES WITHOUT SWAPPING

BYTES WRITTEN: 354
01 11                                              ..              

45 23 ef                                           E#.             

cd ab 67 ef                                        ..g.            

cd ab 89 67 45                                     ...gE           

23 01 22 23 01 ab                                  #."#..          

89 67 45 ab 89 67 45                               .gE..gE         

23 01 ef cd cd cc cc 3d                            #......=        

9a 99 99 99 99 99 c9 3f  67                        .......?g       

45 23 01 ef cd ab 89 3e  40 00                     E#.....>@.      

00 00 00 00 00 1a b2 00  2c 00 00 00 54 68 69 73   ........,...This
20 69 73 20 61 20 74 65  73                         is a tes       

74 20 6f 66 20 74 68 65  20 73 74 72 69 6e 67 20   t of the string 
73 65 72 69 61 6c 69 7a  61 74 69 6f 6e 2e         serialization.  

00 30 00 00 00 54 68 69  73 20 69 73 20 61 20 74   .0...This is a t
65 73 74 20 6f 66 20 74  68 65 20 73 74 64 20 73   est of the std s
74 72 69                                           tri             

6e 67 20 73 65 72 69 61  6c 69 7a 61 74 69 6f 6e   ng serialization
2e 00 58 00 00 00 54 00  68 00 69 00 73 00 20 00   ..X...T.h.i.s. .
69 00 73 00 20 00 61 00                            i.s. .a.        

20 00 74 00 65 00 73 00  74 00 20 00 6f 00 66 00    .t.e.s.t. .o.f.
20 00 74 00 68 00 65 00  20 00 77 00 73 00 74 00    .t.h.e. .w.s.t.
72 00 69 00 6e 00 67 00  20 00 73 00 65            r.i.n.g. .s.e   

00 72 00 69 00 61 00 6c  00 69 00 7a 00 61 00 74   .r.i.a.l.i.z.a.t
00 69 00 6f 00 6e 00 2e  00 60 00 00 00 54 00 68   .i.o.n...`...T.h
00 69 00 73 00 20 00 69  00 73 00 20 00 61 00 20   .i.s. .i.s. .a. 
00 74                                              .t              

00 65 00 73 00 74 00 20  00 6f 00 66 00 20 00 74   .e.s.t. .o.f. .t
00 68 00 65 00 20 00 73  00 74 00 64 00 20 00 77   .h.e. .s.t.d. .w
00 73 00 74 00 72 00 69  00 6e 00 67 00 20 00 73   .s.t.r.i.n.g. .s
00 65 00 72 00 69 00 61  00 6c 00 69 00 7a 00 61   .e.r.i.a.l.i.z.a
00 74 00 69 00 6f 00 6e  00 2e 00                  .t.i.o.n...     

EXTRACTING SINGLE VALUES WITHOUT SWAPPING

STARTING INSERTION OF ARRAY VALUES WITHOUT SWAPPING

BYTES WRITTEN: 930
00 01                                              ..              

02 03 04                                           ...             

05 06 07 08                                        ....            

09 0a 0b 0c 0d                                     .....           

0e 00 01 00 01 04                                  ......          

05 04 05 08 09 08 09                               .......         

0c 0d 0c 00 00 01 00 02                            ........        

00 03 00 04 00 05 00 06  00                        .........       

07 00 08 00 09 00 0a 00  0b 00                     ..........      

0c 00 0d 00 0e 00 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f                        .........       

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f         ..............  

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f ef cd ab 89 67   ...............g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01                                           E#.             

ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01                            ....gE#.        

ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67            ....gE#.....g   

45 23 01 ef cd ab 89 67  45 23 01 dd dd df df dd   E#.....gE#......
dd df df dd dd df df dd  dd df ff ff ff ff ff ff   ................
ff ff ff ff ff ff ff ff  ff ff ff ff ff ff ff ff   ................
ff ff                                              ..              

ff ff ff ff ff ff f0 f0  f0 f0 f1 f0 f0 f0 f2 f0   ................
f0 f0 f3 f0 f0 f0 f4 f0  f0 f0 f5 f0 f0 f0 f6 f0   ................
f0 f0 f7 f0 f0 f0 f8 f0  f0 f0 f9 f0 f0 f0 fa f0   ................
f0 f0 fb f0 f0 f0 fc f0  f0 f0 fd f0 f0 f0 fe f0   ................
f0 f0 ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.

ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd 00 00 00 00 00 00   ....gE#.........
80 3f 00 00 00 3f ab aa  aa 3e 00 00 80 3e cd cc   .?...?...>...>..
4c 3e ab aa 2a 3e 25 49  12 3e 00 00 00 3e 39 8e   L>..*>%I.>...>9.
e3 3d cd cc cc 3d 8c 2e  ba 3d ab aa aa 3d d9 89   .=...=...=...=..
9d 3d 25 49 92 3d 00 00  00 00 00 00 00 00 00 00   .=%I.=..........
00 00 00 00 08 40 00 00  00 00 00 00 f8 3f 00 00   .....@.......?..
00 00 00 00 f0 3f 00 00  00 00 00 00 e8 3f 33 33   .....?.......?33
33 33 33 33 e3 3f 00 00  00 00 00 00 e0 3f db b6   3333.?.......?..
6d db b6 6d db 3f 00 00  00 00 00 00 d8 3f 55 55   m..m.?.......?UU
55 55 55 55 d5 3f 33 33  33 33 33 33 d3 3f 74 d1   UUUU.?333333.?t.
45 17 5d 74 d1 3f 00 00  00 00 00 00 d0 3f 9e d8   E.]t.?.......?..
89 9d d8 89 cd 3f db b6  6d db b6 6d cb 3f 67 45   .....?..m..m.?gE
23 01 ef cd ab 89 3e 40  00 00 01 00 00 00 67 45   #.....>@......gE

23 01 ef cd ab 89 3e 40  00 00 8f 7f 00 00 67 45   #.....>@......gE
23 01 ef cd ab 89 3e 40  00 00 3b 00 00 00 67 45   #.....>@..;...gE
23 01 ef cd ab 89 3e 40  00 00 ff 7f 00 00 67 45   #.....>@......gE
23 01 ef cd ab 89 3e 40  00 00 8f 7f 00 00 67 45   #.....>@......gE
23 01 ef cd ab 89 3e 40  00 00 3b 00 00 00 67 45   #.....>@..;...gE
23 01 ef cd ab 89 3e 40  00 00 8f 7f 00 00 67 45   #.....>@......gE
23 01 ef cd ab 89 3e 40  00 00 00 00 00 00 67 45   #.....>@......gE
23 01 ef cd ab 89 3e 40  00 00 3b 00 00 00 67 45   #.....>@..;...gE
23 01 ef cd ab 89 3e 40  00 00 ff 7f 00 00 67 45   #.....>@......gE
23 01 ef cd ab 89 3e 40  00 00 ff 7f 00 00 67 45   #.....>@......gE
23 01 ef cd ab 89 3e 40  00 00 3b 00 00 00 67 45   #.....>@..;...gE
23 01 ef cd ab 89 3e 40  00 00 3b 00 00 00 67 45   #.....>@..;...gE
23 01 ef cd ab 89 3e 40  00 00 01 00 00 00 67 45   #.....>@......gE
23 01 ef cd ab 89 3e 40  00 00 00 00 00 00 00 01   #.....>@........
02 03 04 05 06 07 08 09  0a 0b 0c 0d 0e 00 00 01   ................
00 02 00 03 00 04 00 05  00 06 00 07 00 08 00 09   ................
00 0a 00 0b 00 0c 00 0d  00 0e 00                  ...........     

EXTRACTING ARRAY VALUES WITHOUT SWAPPING


*** Unaligned CDR, big-endian (non-native)

STARTING INSERTION OF SINGLE VALUES WITH SWAPPING

BYTES WRITTEN: 354
01 11                                              ..              

23 45 67                                           #Eg             

ab cd ef 01                                        ....            

23 45 67 89 ab                                     #Eg..           

cd ef 22 01 23 45                                  ..".#E          

67 89 ab cd ef 01 23                               g.....#         

45 67 89 ab 3d cc cc cd                            Eg..=...        

3f c9 99 99 99 99 99 9a  00                        ?........       

00 00 00 00 00 40 3e 89  ab cd                     .....@>...      

ef 01 23 45 67 1a 00 b2  00 00 00 2c 54 68 69 73   ..#Eg......,This
20 69 73 20 61 20 74 65  73                         is a tes       

74 20 6f 66 20 74 68 65  20 73 74 72 69 6e 67 20   t of the string 
73 65 72 69 61 6c 69 7a  61 74 69 6f 6e 2e         serialization.  

00 00 00 00 30 54 68 69  73 20 69 73 20 61 20 74   ....0This is a t
65 73 74 20 6f 66 20 74  68 65 20 73 74 64 20 73   est of the std s
74 72 69                                           tri             

6e 67 20 73 65 72 69 61  6c 69 7a 61 74 69 6f 6e   ng serialization
2e 00 00 00 00 58 00 54  00 68 00 69 00 73 00 20   .....X.T.h.i.s. 
00 69 00 73 00 20 00 61                            .i.s. .a        

00 20 00 74 00 65 00 73  00 74 00 20 00 6f 00 66   . .t.e.s.t. .o.f
00 20 00 74 00 68 00 65  00 20 00 77 00 73 00 74   . .t.h.e. .w.s.t
00 72 00 69 00 6e 00 67  00 20 00 73 00            .r.i.n.g. .s.   

65 00 72 00 69 00 61 00  6c 00 69 00 7a 00 61 00   e.r.i.a.l.i.z.a.
74 00 69 00 6f 00 6e 00  2e 00 00 00 60 00 54 00   t.i.o.n.....`.T.
68 00 69 00 73 00 20 00  69 00 73 00 20 00 61 00   h.i.s. .i.s. .a.
20 00                                               .              

74 00 65 00 73 00 74 00  20 00 6f 00 66 00 20 00   t.e.s.t. .o.f. .
74 00 68 00 65 00 20 00  73 00 74 00 64 00 20 00   t.h.e. .s.t.d. .
77 00 73 00 74 00 72 00  69 00 6e 00 67 00 20 00   w.s.t.r.i.n.g. .
73 00 65 00 72 00 69 00  61 00 6c 00 69 00 7a 00   s.e.r.i.a.l.i.z.
61 00 74 00 69 00 6f 00  6e 00 2e                  a.t.i.o.n..     

EXTRACTING SINGLE VALUES WITH SWAPPING

STARTING INSERTION OF ARRAY VALUES WITH SWAPPING

BYTES WRITTEN: 930
00 01                                              ..              

02 03 04                                           ...             

05 06 07 08                                        ....            

09 0a 0b 0c 0d                                     .....           

0e 00 01 00 01 04                                  ......          

05 04 05 08 09 08 09                               .......         

0c 0d 0c 00 00 00 01 00                            ........        

02 00 03 00 04 00 05 00  06                        .........       

00 07 00 08 00 09 00 0a  00 0b                     ..........      

00 0c 00 0d 00 0e 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f                        .........       

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f         ..............  

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 01 23 45 67 89   ............#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef                                           ...             

01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef                            .#Eg....        

01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89            .#Eg.....#Eg.   

ab cd ef 01 23 45 67 89  ab cd ef dd dd df df dd   ....#Eg.........
dd df df dd dd df df dd  dd df ff ff ff ff ff ff   ................
ff ff ff ff ff ff ff ff  ff ff ff ff ff ff ff ff   ................
ff ff                                              ..              

ff ff ff ff ff ff f0 f0  f0 f0 f0 f0 f0 f1 f0 f0   ................
f0 f2 f0 f0 f0 f3 f0 f0  f0 f4 f0 f0 f0 f5 f0 f0   ................
f0 f6 f0 f0 f0 f7 f0 f0  f0 f8 f0 f0 f0 f9 f0 f0   ................
f0 fa f0 f0 f0 fb f0 f0  f0 fc f0 f0 f0 fd f0 f0   ................
f0 fe cd ef 01 23 45 67  89 ab cd ef 01 23 45 67   .....#Eg.....#Eg
89 ab cd ef 01 23 45 67  89 ab cd ef 01 23 45 67   .....#Eg.....#Eg
89 ab cd ef 01 23 45 67  89 ab cd ef 01 23 45 67   .....#Eg.....#Eg
89 ab cd ef 01 23 45 67  89 ab cd ef 01 23 45 67   .....#Eg.....#Eg

89 ab cd ef 01 23 45 67  89 ab cd ef 01 23 45 67   .....#Eg.....#Eg
89 ab cd ef 01 23 45 67  89 ab cd ef 01 23 45 67   .....#Eg.....#Eg
89 ab cd ef 01 23 45 67  89 ab cd ef 01 23 45 67   .....#Eg.....#Eg
89 ab cd ef 01 23 45 67  89 ab 00 00 00 00 3f 80   .....#Eg......?.
00 00 3f 00 00 00 3e aa  aa ab 3e 80 00 00 3e 4c   ..?...>...>...>L
cc cd 3e 2a aa ab 3e 12  49 25 3e 00 00 00 3d e3   ..>*..>.I%>...=.
8e 39 3d cc cc cd 3d ba  2e 8c 3d aa aa ab 3d 9d   .9=...=...=...=.
89 d9 3d 92 49 25 00 00  00 00 00 00 00 00 40 08   ..=.I%........@.
00 00 00 00 00 00 3f f8  00 00 00 00 00 00 3f f0   ......?.......?.
00 00 00 00 00 00 3f e8  00 00 00 00 00 00 3f e3   ......?.......?.
33 33 33 33 33 33 3f e0  00 00 00 00 00 00 3f db   333333?.......?.
6d b6 db 6d b6 db 3f d8  00 00 00 00 00 00 3f d5   m..m..?.......?.
55 55 55 55 55 55 3f d3  33 33 33 33 33 33 3f d1   UUUUUU?.333333?.
74 5d 17 45 d1 74 3f d0  00 00 00 00 00 00 3f cd   t].E.t?.......?.
89 d8 9d 89 d8 9e 3f cb  6d b6 db 6d b6 db 00 00   ......?.m..m....
00 01 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   ....@>.....#Eg..

7f 8f 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   ....@>.....#Eg..
00 3b 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   .;..@>.....#Eg..
7f ff 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   ....@>.....#Eg..
7f 8f 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   ....@>.....#Eg..
00 3b 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   .;..@>.....#Eg..
7f 8f 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   ....@>.....#Eg..
00 00 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   ....@>.....#Eg..
00 3b 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   .;..@>.....#Eg..
7f ff 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   ....@>.....#Eg..
7f ff 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   ....@>.....#Eg..
00 3b 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   .;..@>.....#Eg..
00 3b 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   .;..@>.....#Eg..
00 01 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   ....@>.....#Eg..
00 00 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 01   ....@>.....#Eg..
02 03 04 05 06 07 08 09  0a 0b 0c 0d 0e 00 00 00   ................
01 00 02 00 03 00 04 00  05 00 06 00 07 00 08 00   ................
09 00 0a 00 0b 00 0c 00  0d 00 0e                  ...........     

EXTRACTING ARRAY VALUES WITH SWAPPING


*** CDR/XCDR1, little-endian (native)

STARTING INSERTION OF SINGLE VALUES WITHOUT SWAPPING

BYTES WRITTEN: 360
01 11                                              ..              

45 23 ef                                           E#.             

cd ab 67 ef                                        ..g.            

cd ab 89 67 45                                     ...gE           

23 01 22 00 23 01                                  #.".#.          

ab 89 67 45 ab 89 67                               ..gE..g         

45 23 01 ef cd cd cc cc                            E#......        

3d 00 00 00 00 9a 99 99  99                        =........       

99 99 c9 3f 67 45 23 01  ef cd                     ...?gE#...      

ab 89 3e 40 00 00 00 00  00 00 1a 00 b2 00 2c 00   ..>@..........,.
00 00 54 68 69 73 20 69  73                        ..This is       

20 61 20 74 65 73 74 20  6f 66 20 74 68 65 20 73    a test of the s
74 72 69 6e 67 20 73 65  72 69 61 6c 69 7a         tring serializ  

61 74 69 6f 6e 2e 00 30  00 00 00 54 68 69 73 20   ation..0...This 
69 73 20 61 20 74 65 73  74 20 6f 66 20 74 68 65   is a test of the
20 73 74                                            st             

64 20 73 74 72 69 6e 67  20 73 65 72 69 61 6c 69   d string seriali
7a 61 74 69 6f 6e 2e 00  58 00 00 00 54 00 68 00   zation..X...T.h.
69 00 73 00 20 00 69 00                            i.s. .i.        

73 00 20 00 61 00 20 00  74 00 65 00 73 00 74 00   s. .a. .t.e.s.t.
20 00 6f 00 66 00 20 00  74 00 68 00 65 00 20 00    .o.f. .t.h.e. .
77 00 73 00 74 00 72 00  69 00 6e 00 67            w.s.t.r.i.n.g   

00 20 00 73 00 65 00 72  00 69 00 61 00 6c 00 69   . .s.e.r.i.a.l.i
00 7a 00 61 00 74 00 69  00 6f 00 6e 00 2e 00 60   .z.a.t.i.o.n...`
00 00 00 54 00 68 00 69  00 73 00 20 00 69 00 73   ...T.h.i.s. .i.s
00 20                                              .               

00 61 00 20 00 74 00 65  00 73 00 74 00 20 00 6f   .a. .t.e.s.t. .o
00 66 00 20 00 74 00 68  00 65 00 20 00 73 00 74   .f. .t.h.e. .s.t
00 64 00 20 00 77 00 73  00 74 00 72 00 69 00 6e   .d. .w.s.t.r.i.n
00 67 00 20 00 73 00 65  00 72 00 69 00 61 00 6c   .g. .s.e.r.i.a.l
00 69 00 7a 00 61 00 74  00 69 00 6f 00 6e 00 2e   .i.z.a.t.i.o.n..
00                                                 .               

EXTRACTING SINGLE VALUES WITHOUT SWAPPING

STARTING INSERTION OF ARRAY VALUES WITHOUT SWAPPING

BYTES WRITTEN: 942
00 01                                              ..              

02 03 04                                           ...             

05 06 07 08                                        ....            

09 0a 0b 0c 0d                                     .....           

0e 00 01 00 01 04                                  ......          

05 04 05 08 09 08 09                               .......         

0c 0d 0c 00 00 01 00 02                            ........        

00 03 00 04 00 05 00 06  00                        .........       

07 00 08 00 09 00 0a 00  0b 00                     ..........      

0c 00 0d 00 0e 00 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f                        .........       

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f         ..............  

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f ef cd ab 89 67   ...............g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01                                           E#.             

ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01                            ....gE#.        

ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67            ....gE#.....g   

45 23 01 ef cd ab 89 67  45 23 01 dd dd df df dd   E#.....gE#......
dd df df dd dd df df dd  dd df 00 ff ff ff ff ff   ................
ff ff ff ff ff ff ff ff  ff ff ff ff ff ff ff ff   ................
ff ff                                              ..              

ff ff ff ff ff ff ff 00  00 f0 f0 f0 f0 f1 f0 f0   ................
f0 f2 f0 f0 f0 f3 f0 f0  f0 f4 f0 f0 f0 f5 f0 f0   ................
f0 f6 f0 f0 f0 f7 f0 f0  f0 f8 f0 f0 f0 f9 f0 f0   ................
f0 fa f0 f0 f0 fb f0 f0  f0 fc f0 f0 f0 fd f0 f0   ................
f0 fe f0 f0 f0 00 00 00  00 ab 89 67 45 23 01 ef   ...........gE#..
cd ab 89 67 45 23 01 ef  cd ab 89 67 45 23 01 ef   ...gE#.....gE#..
cd ab 89 67 45 23 01 ef  cd ab 89 67 45 23 01 ef   ...gE#.....gE#..
cd ab 89 67 45 23 01 ef  cd ab 89 67 45 23 01 ef   ...gE#.....gE#..

cd ab 89 67 45 23 01 ef  cd ab 89 67 45 23 01 ef   ...gE#.....gE#..
cd ab 89 67 45 23 01 ef  cd ab 89 67 45 23 01 ef   ...gE#.....gE#..
cd ab 89 67 45 23 01 ef  cd ab 89 67 45 23 01 ef   ...gE#.....gE#..
cd ab 89 67 45 23 01 ef  cd ab 89 67 45 23 01 ef   ...gE#.....gE#..
cd 00 00 00 00 00 00 80  3f 00 00 00 3f ab aa aa   ........?...?...
3e 00 00 80 3e cd cc 4c  3e ab aa 2a 3e 25 49 12   >...>..L>..*>%I.
3e 00 00 00 3e 39 8e e3  3d cd cc cc 3d 8c 2e ba   >...>9..=...=...
3d ab aa aa 3d d9 89 9d  3d 25 49 92 3d 00 00 00   =...=...=%I.=...
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 08   ................
40 00 00 00 00 00 00 f8  3f 00 00 00 00 00 00 f0   @.......?.......
3f 00 00 00 00 00 00 e8  3f 33 33 33 33 33 33 e3   ?.......?333333.
3f 00 00 00 00 00 00 e0  3f db b6 6d db b6 6d db   ?.......?..m..m.
3f 00 00 00 00 00 00 d8  3f 55 55 55 55 55 55 d5   ?.......?UUUUUU.
3f 33 33 33 33 33 33 d3  3f 74 d1 45 17 5d 74 d1   ?333333.?t.E.]t.
3f 00 00 00 00 00 00 d0  3f 9e d8 89 9d d8 89 cd   ?.......?.......
3f db b6 6d db b6 6d cb  3f 67 45 23 01 ef cd ab   ?..m..m.?gE#....

89 3e 40 00 00 01 00 00  00 67 45 23 01 ef cd ab   .>@......gE#....
89 3e 40 00 00 8f 7f 00  00 67 45 23 01 ef cd ab   .>@......gE#....
89 3e 40 00 00 3b 00 00  00 67 45 23 01 ef cd ab   .>@..;...gE#....
89 3e 40 00 00 ff 7f 00  00 67 45 23 01 ef cd ab   .>@......gE#....
89 3e 40 00 00 8f 7f 00  00 67 45 23 01 ef cd ab   .>@......gE#....
89 3e 40 00 00 3b 00 00  00 67 45 23 01 ef cd ab   .>@..;...gE#....
89 3e 40 00 00 8f 7f 00  00 67 45 23 01 ef cd ab   .>@......gE#....
89 3e 40 00 00 00 00 00  00 67 45 23 01 ef cd ab   .>@......gE#....
89 3e 40 00 00 3b 00 00  00 67 45 23 01 ef cd ab   .>@..;...gE#....
89 3e 40 00 00 ff 7f 00  00 67 45 23 01 ef cd ab   .>@......gE#....
89 3e 40 00 00 ff 7f 00  00 67 45 23 01 ef cd ab   .>@......gE#....
89 3e 40 00 00 3b 00 00  00 67 45 23 01 ef cd ab   .>@..;...gE#....
89 3e 40 00 00 3b 00 00  00 67 45 23 01 ef cd ab   .>@..;...gE#....
89 3e 40 00 00 01 00 00  00 67 45 23 01 ef cd ab   .>@......gE#....
89 3e 40 00 00 00 00 00  00 00 01 02 03 04 05 06   .>@.............
07 08 09 0a 0b 0c 0d 0e  00 00 00 01 00 02 00 03   ................
00 04 00 05 00 06 00 07  00 08 00 09 00 0a 00 0b   ................
00 0c 00 0d 00 0e 00                               .......         

EXTRACTING ARRAY VALUES WITHOUT SWAPPING


*** CDR/XCDR1, big-endian (non-native)

STARTING INSERTION OF SINGLE VALUES WITH SWAPPING

BYTES WRITTEN: 360
01 11                                              ..              

23 45 67                                           #Eg             

ab cd ef 01                                        ....            

23 45 67 89 ab                                     #Eg..           

cd ef 22 00 01 23                                  .."..#          

45 67 89 ab cd ef 01                               Eg.....         

23 45 67 89 ab 3d cc cc                            #Eg..=..        

cd 00 00 00 00 3f c9 99  99                        .....?...       

99 99 99 9a 00 00 00 00  00 00                     ..........      

40 3e 89 ab cd ef 01 23  45 67 1a 00 00 b2 00 00   @>.....#Eg......
00 2c 54 68 69 73 20 69  73                        .,This is       

20 61 20 74 65 73 74 20  6f 66 20 74 68 65 20 73    a test of the s
74 72 69 6e 67 20 73 65  72 69 61 6c 69 7a         tring serializ  

61 74 69 6f 6e 2e 00 00  00 00 30 54 68 69 73 20   ation.....0This 
69 73 20 61 20 74 65 73  74 20 6f 66 20 74 68 65   is a test of the
20 73 74                                            st             

64 20 73 74 72 69 6e 67  20 73 65 72 69 61 6c 69   d string seriali
7a 61 74 69 6f 6e 2e 00  00 00 00 58 00 54 00 68   zation.....X.T.h
00 69 00 73 00 20 00 69                            .i.s. .i        

00 73 00 20 00 61 00 20  00 74 00 65 00 73 00 74   .s. .a. .t.e.s.t
00 20 00 6f 00 66 00 20  00 74 00 68 00 65 00 20   . .o.f. .t.h.e. 
00 77 00 73 00 74 00 72  00 69 00 6e 00            .w.s.t.r.i.n.   

67 00 20 00 73 00 65 00  72 00 69 00 61 00 6c 00   g. .s.e.r.i.a.l.
69 00 7a 00 61 00 74 00  69 00 6f 00 6e 00 2e 00   i.z.a.t.i.o.n...
00 00 60 00 54 00 68 00  69 00 73 00 20 00 69 00   ..`.T.h.i.s. .i.
73 00                                              s.              

20 00 61 00 20 00 74 00  65 00 73 00 74 00 20 00    .a. .t.e.s.t. .
6f 00 66 00 20 00 74 00  68 00 65 00 20 00 73 00   o.f. .t.h.e. .s.
74 00 64 00 20 00 77 00  73 00 74 00 72 00 69 00   t.d. .w.s.t.r.i.
6e 00 67 00 20 00 73 00  65 00 72 00 69 00 61 00   n.g. .s.e.r.i.a.
6c 00 69 00 7a 00 61 00  74 00 69 00 6f 00 6e 00   l.i.z.a.t.i.o.n.
2e                                                 .               

EXTRACTING SINGLE VALUES WITH SWAPPING

STARTING INSERTION OF ARRAY VALUES WITH SWAPPING

BYTES WRITTEN: 942
00 01                                              ..              

02 03 04                                           ...             

05 06 07 08                                        ....            

09 0a 0b 0c 0d                                     .....           

0e 00 01 00 01 04                                  ......          

05 04 05 08 09 08 09                               .......         

0c 0d 0c 00 00 00 01 00                            ........        

02 00 03 00 04 00 05 00  06                        .........       

00 07 00 08 00 09 00 0a  00 0b                     ..........      

00 0c 00 0d 00 0e 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f                        .........       

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f         ..............  

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 01 23 45 67 89   ............#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef                                           ...             

01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef                            .#Eg....        

01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89            .#Eg.....#Eg.   

ab cd ef 01 23 45 67 89  ab cd ef dd dd df df dd   ....#Eg.........
dd df df dd dd df df dd  dd df 00 ff ff ff ff ff   ................
ff ff ff ff ff ff ff ff  ff ff ff ff ff ff ff ff   ................
ff ff                                              ..              

ff ff ff ff ff ff ff 00  00 f0 f0 f0 f0 f0 f0 f0   ................
f1 f0 f0 f0 f2 f0 f0 f0  f3 f0 f0 f0 f4 f0 f0 f0   ................
f5 f0 f0 f0 f6 f0 f0 f0  f7 f0 f0 f0 f8 f0 f0 f0   ................
f9 f0 f0 f0 fa f0 f0 f0  fb f0 f0 f0 fc f0 f0 f0   ................
fd f0 f0 f0 fe 00 00 00  00 cd ef 01 23 45 67 89   ............#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.

ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab 00 00 00 00 3f 80 00  00 3f 00 00 00 3e aa aa   .....?...?...>..
ab 3e 80 00 00 3e 4c cc  cd 3e 2a aa ab 3e 12 49   .>...>L..>*..>.I
25 3e 00 00 00 3d e3 8e  39 3d cc cc cd 3d ba 2e   %>...=..9=...=..
8c 3d aa aa ab 3d 9d 89  d9 3d 92 49 25 00 00 00   .=...=...=.I%...
00 00 00 00 00 00 00 00  00 40 08 00 00 00 00 00   .........@......
00 3f f8 00 00 00 00 00  00 3f f0 00 00 00 00 00   .?.......?......
00 3f e8 00 00 00 00 00  00 3f e3 33 33 33 33 33   .?.......?.33333
33 3f e0 00 00 00 00 00  00 3f db 6d b6 db 6d b6   3?.......?.m..m.
db 3f d8 00 00 00 00 00  00 3f d5 55 55 55 55 55   .?.......?.UUUUU
55 3f d3 33 33 33 33 33  33 3f d1 74 5d 17 45 d1   U?.333333?.t].E.
74 3f d0 00 00 00 00 00  00 3f cd 89 d8 9d 89 d8   t?.......?......
9e 3f cb 6d b6 db 6d b6  db 00 00 00 01 00 00 40   .?.m..m........@

3e 89 ab cd ef 01 23 45  67 00 00 7f 8f 00 00 40   >.....#Eg......@
3e 89 ab cd ef 01 23 45  67 00 00 00 3b 00 00 40   >.....#Eg...;..@
3e 89 ab cd ef 01 23 45  67 00 00 7f ff 00 00 40   >.....#Eg......@
3e 89 ab cd ef 01 23 45  67 00 00 7f 8f 00 00 40   >.....#Eg......@
3e 89 ab cd ef 01 23 45  67 00 00 00 3b 00 00 40   >.....#Eg...;..@
3e 89 ab cd ef 01 23 45  67 00 00 7f 8f 00 00 40   >.....#Eg......@
3e 89 ab cd ef 01 23 45  67 00 00 00 00 00 00 40   >.....#Eg......@
3e 89 ab cd ef 01 23 45  67 00 00 00 3b 00 00 40   >.....#Eg...;..@
3e 89 ab cd ef 01 23 45  67 00 00 7f ff 00 00 40   >.....#Eg......@
3e 89 ab cd ef 01 23 45  67 00 00 7f ff 00 00 40   >.....#Eg......@
3e 89 ab cd ef 01 23 45  67 00 00 00 3b 00 00 40   >.....#Eg...;..@
3e 89 ab cd ef 01 23 45  67 00 00 00 3b 00 00 40   >.....#Eg...;..@
3e 89 ab cd ef 01 23 45  67 00 00 00 01 00 00 40   >.....#Eg......@
3e 89 ab cd ef 01 23 45  67 00 00 00 00 00 00 40   >.....#Eg......@
3e 89 ab cd ef 01 23 45  67 00 01 02 03 04 05 06   >.....#Eg.......
07 08 09 0a 0b 0c 0d 0e  00 00 00 00 01 00 02 00   ................
03 00 04 00 05 00 06 00  07 00 08 00 09 00 0a 00   ................
0b 00 0c 00 0d 00 0e                               .......         

EXTRACTING ARRAY VALUES WITH SWAPPING


*** XCDR2, little-endian (native)

STARTING INSERTION OF SINGLE VALUES WITHOUT SWAPPING

BYTES WRITTEN: 356
01 11                                              ..              

45 23 ef                                           E#.             

cd ab 67 ef                                        ..g.            

cd ab 89 67 45                                     ...gE           

23 01 22 00 23 01                                  #.".#.          

ab 89 67 45 ab 89 67                               ..gE..g         

45 23 01 ef cd cd cc cc                            E#......        

3d 9a 99 99 99 99 99 c9  3f                        =.......?       

67 45 23 01 ef cd ab 89  3e 40                     gE#.....>@      

00 00 00 00 00 00 1a 00  b2 00 2c 00 00 00 54 68   ..........,...Th
69 73 20 69 73 20 61 20  74                        is is a t       

65 73 74 20 6f 66 20 74  68 65 20 73 74 72 69 6e   est of the strin
67 20 73 65 72 69 61 6c  69 7a 61 74 69 6f         g serializatio  

6e 2e 00 30 00 00 00 54  68 69 73 20 69 73 20 61   n..0...This is a
20 74 65 73 74 20 6f 66  20 74 68 65 20 73 74 64    test of the std
20 73 74                                            st             

72 69 6e 67 20 73 65 72  69 61 6c 69 7a 61 74 69   ring serializati
6f 6e 2e 00 58 00 00 00  54 00 68 00 69 00 73 00   on..X...T.h.i.s.
20 00 69 00 73 00 20 00                             .i.s. .        

61 00 20 00 74 00 65 00  73 00 74 00 20 00 6f 00   a. .t.e.s.t. .o.
66 00 20 00 74 00 68 00  65 00 20 00 77 00 73 00   f. .t.h.e. .w.s.
74 00 72 00 69 00 6e 00  67 00 20 00 73            t.r.i.n.g. .s   

00 65 00 72 00 69 00 61  00 6c 00 69 00 7a 00 61   .e.r.i.a.l.i.z.a
00 74 00 69 00 6f 00 6e  00 2e 00 60 00 00 00 54   .t.i.o.n...`...T
00 68 00 69 00 73 00 20  00 69 00 73 00 20 00 61   .h.i.s. .i.s. .a
00 20                                              .               

00 74 00 65 00 73 00 74  00 20 00 6f 00 66 00 20   .t.e.s.t. .o.f. 
00 74 00 68 00 65 00 20  00 73 00 74 00 64 00 20   .t.h.e. .s.t.d. 
00 77 00 73 00 74 00 72  00 69 00 6e 00 67 00 20   .w.s.t.r.i.n.g. 
00 73 00 65 00 72 00 69  00 61 00 6c 00 69 00 7a   .s.e.r.i.a.l.i.z
00 61 00 74 00 69 00 6f  00 6e 00 2e 00            .a.t.i.o.n...   

EXTRACTING SINGLE VALUES WITHOUT SWAPPING

STARTING INSERTION OF ARRAY VALUES WITHOUT SWAPPING

BYTES WRITTEN: 934
00 01                                              ..              

02 03 04                                           ...             

05 06 07 08                                        ....            

09 0a 0b 0c 0d                                     .....           

0e 00 01 00 01 04                                  ......          

05 04 05 08 09 08 09                               .......         

0c 0d 0c 00 00 01 00 02                            ........        

00 03 00 04 00 05 00 06  00                        .........       

07 00 08 00 09 00 0a 00  0b 00                     ..........      

0c 00 0d 00 0e 00 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f                        .........       

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f         ..............  

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f ef cd ab 89 67   ...............g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01                                           E#.             

ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01                            ....gE#.        

ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67            ....gE#.....g   

45 23 01 ef cd ab 89 67  45 23 01 dd dd df df dd   E#.....gE#......
dd df df dd dd df df dd  dd df 00 ff ff ff ff ff   ................
ff ff ff ff ff ff ff ff  ff ff ff ff ff ff ff ff   ................
ff ff                                              ..              

ff ff ff ff ff ff ff 00  00 f0 f0 f0 f0 f1 f0 f0   ................
f0 f2 f0 f0 f0 f3 f0 f0  f0 f4 f0 f0 f0 f5 f0 f0   ................
f0 f6 f0 f0 f0 f7 f0 f0  f0 f8 f0 f0 f0 f9 f0 f0   ................
f0 fa f0 f0 f0 fb f0 f0  f0 fc f0 f0 f0 fd f0 f0   ................
f0 fe f0 f0 f0 ab 89 67  45 23 01 ef cd ab 89 67   .......gE#.....g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g

45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01 ef cd ab 89 67  45 23 01 ef cd 00 00 00   E#.....gE#......
00 00 00 80 3f 00 00 00  3f ab aa aa 3e 00 00 80   ....?...?...>...
3e cd cc 4c 3e ab aa 2a  3e 25 49 12 3e 00 00 00   >..L>..*>%I.>...
3e 39 8e e3 3d cd cc cc  3d 8c 2e ba 3d ab aa aa   >9..=...=...=...
3d d9 89 9d 3d 25 49 92  3d 00 00 00 00 00 00 00   =...=%I.=.......
00 00 00 00 00 00 00 08  40 00 00 00 00 00 00 f8   ........@.......
3f 00 00 00 00 00 00 f0  3f 00 00 00 00 00 00 e8   ?.......?.......
3f 33 33 33 33 33 33 e3  3f 00 00 00 00 00 00 e0   ?333333.?.......
3f db b6 6d db b6 6d db  3f 00 00 00 00 00 00 d8   ?..m..m.?.......
3f 55 55 55 55 55 55 d5  3f 33 33 33 33 33 33 d3   ?UUUUUU.?333333.
3f 74 d1 45 17 5d 74 d1  3f 00 00 00 00 00 00 d0   ?t.E.]t.?.......
3f 9e d8 89 9d d8 89 cd  3f db b6 6d db b6 6d cb   ?.......?..m..m.
3f 67 45 23 01 ef cd ab  89 3e 40 00 00 01 00 00   ?gE#.....>@.....

00 67 45 23 01 ef cd ab  89 3e 40 00 00 8f 7f 00   .gE#.....>@.....
00 67 45 23 01 ef cd ab  89 3e 40 00 00 3b 00 00   .gE#.....>@..;..
00 67 45 23 01 ef cd ab  89 3e 40 00 00 ff 7f 00   .gE#.....>@.....
00 67 45 23 01 ef cd ab  89 3e 40 00 00 8f 7f 00   .gE#.....>@.....
00 67 45 23 01 ef cd ab  89 3e 40 00 00 3b 00 00   .gE#.....>@..;..
00 67 45 23 01 ef cd ab  89 3e 40 00 00 8f 7f 00   .gE#.....>@.....
00 67 45 23 01 ef cd ab  89 3e 40 00 00 00 00 00   .gE#.....>@.....
00 67 45 23 01 ef cd ab  89 3e 40 00 00 3b 00 00   .gE#.....>@..;..
00 67 45 23 01 ef cd ab  89 3e 40 00 00 ff 7f 00   .gE#.....>@.....
00 67 45 23 01 ef cd ab  89 3e 40 00 00 ff 7f 00   .gE#.....>@.....
00 67 45 23 01 ef cd ab  89 3e 40 00 00 3b 00 00   .gE#.....>@..;..
00 67 45 23 01 ef cd ab  89 3e 40 00 00 3b 00 00   .gE#.....>@..;..
00 67 45 23 01 ef cd ab  89 3e 40 00 00 01 00 00   .gE#.....>@.....
00 67 45 23 01 ef cd ab  89 3e 40 00 00 00 00 00   .gE#.....>@.....
00 00 01 02 03 04 05 06  07 08 09 0a 0b 0c 0d 0e   ................
00 00 00 01 00 02 00 03  00 04 00 05 00 06 00 07   ................
00 08 00 09 00 0a 00 0b  00 0c 00 0d 00 0e 00      ............... 

EXTRACTING ARRAY VALUES WITHOUT SWAPPING


*** XCDR2, big-endian (non-native)

STARTING INSERTION OF SINGLE VALUES WITH SWAPPING

BYTES WRITTEN: 356
01 11                                              ..              

23 45 67                                           #Eg             

ab cd ef 01                                        ....            

23 45 67 89 ab                                     #Eg..           

cd ef 22 00 01 23                                  .."..#          

45 67 89 ab cd ef 01                               Eg.....         

23 45 67 89 ab 3d cc cc                            #Eg..=..        

cd 3f c9 99 99 99 99 99  9a                        .?.......       

00 00 00 00 00 00 40 3e  89 ab                     ......@>..      

cd ef 01 23 45 67 1a 00  00 b2 00 00 00 2c 54 68   ...#Eg.......,Th
69 73 20 69 73 20 61 20  74                        is is a t       

65 73 74 20 6f 66 20 74  68 65 20 73 74 72 69 6e   est of the strin
67 20 73 65 72 69 61 6c  69 7a 61 74 69 6f         g serializatio  

6e 2e 00 00 00 00 30 54  68 69 73 20 69 73 20 61   n.....0This is a
20 74 65 73 74 20 6f 66  20 74 68 65 20 73 74 64    test of the std
20 73 74                                            st             

72 69 6e 67 20 73 65 72  69 61 6c 69 7a 61 74 69   ring serializati
6f 6e 2e 00 00 00 00 58  00 54 00 68 00 69 00 73   on.....X.T.h.i.s
00 20 00 69 00 73 00 20                            . .i.s.         

00 61 00 20 00 74 00 65  00 73 00 74 00 20 00 6f   .a. .t.e.s.t. .o
00 66 00 20 00 74 00 68  00 65 00 20 00 77 00 73   .f. .t.h.e. .w.s
00 74 00 72 00 69 00 6e  00 67 00 20 00            .t.r.i.n.g. .   

73 00 65 00 72 00 69 00  61 00 6c 00 69 00 7a 00   s.e.r.i.a.l.i.z.
61 00 74 00 69 00 6f 00  6e 00 2e 00 00 00 60 00   a.t.i.o.n.....`.
54 00 68 00 69 00 73 00  20 00 69 00 73 00 20 00   T.h.i.s. .i.s. .
61 00                                              a.              

20 00 74 00 65 00 73 00  74 00 20 00 6f 00 66 00    .t.e.s.t. .o.f.
20 00 74 00 68 00 65 00  20 00 73 00 74 00 64 00    .t.h.e. .s.t.d.
20 00 77 00 73 00 74 00  72 00 69 00 6e 00 67 00    .w.s.t.r.i.n.g.
20 00 73 00 65 00 72 00  69 00 61 00 6c 00 69 00    .s.e.r.i.a.l.i.
7a 00 61 00 74 00 69 00  6f 00 6e 00 2e            z.a.t.i.o.n..   

EXTRACTING SINGLE VALUES WITH SWAPPING

STARTING INSERTION OF ARRAY VALUES WITH SWAPPING

BYTES WRITTEN: 934
00 01                                              ..              

02 03 04                                           ...             

05 06 07 08                                        ....            

09 0a 0b 0c 0d                                     .....           

0e 00 01 00 01 04                                  ......          

05 04 05 08 09 08 09                               .......         

0c 0d 0c 00 00 00 01 00                            ........        

02 00 03 00 04 00 05 00  06                        .........       

00 07 00 08 00 09 00 0a  00 0b                     ..........      

00 0c 00 0d 00 0e 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f                        .........       

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f         ..............  

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 01 23 45 67 89   ............#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef                                           ...             

01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef                            .#Eg....        

01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89            .#Eg.....#Eg.   

ab cd ef 01 23 45 67 89  ab cd ef dd dd df df dd   ....#Eg.........
dd df df dd dd df df dd  dd df 00 ff ff ff ff ff   ................
ff ff ff ff ff ff ff ff  ff ff ff ff ff ff ff ff   ................
ff ff                                              ..              

ff ff ff ff ff ff ff 00  00 f0 f0 f0 f0 f0 f0 f0   ................
f1 f0 f0 f0 f2 f0 f0 f0  f3 f0 f0 f0 f4 f0 f0 f0   ................
f5 f0 f0 f0 f6 f0 f0 f0  f7 f0 f0 f0 f8 f0 f0 f0   ................
f9 f0 f0 f0 fa f0 f0 f0  fb f0 f0 f0 fc f0 f0 f0   ................
fd f0 f0 f0 fe cd ef 01  23 45 67 89 ab cd ef 01   ........#Eg.....
23 45 67 89 ab cd ef 01  23 45 67 89 ab cd ef 01   #Eg.....#Eg.....
23 45 67 89 ab cd ef 01  23 45 67 89 ab cd ef 01   #Eg.....#Eg.....
23 45 67 89 ab cd ef 01  23 45 67 89 ab cd ef 01   #Eg.....#Eg.....

23 45 67 89 ab cd ef 01  23 45 67 89 ab cd ef 01   #Eg.....#Eg.....
23 45 67 89 ab cd ef 01  23 45 67 89 ab cd ef 01   #Eg.....#Eg.....
23 45 67 89 ab cd ef 01  23 45 67 89 ab cd ef 01   #Eg.....#Eg.....
23 45 67 89 ab cd ef 01  23 45 67 89 ab 00 00 00   #Eg.....#Eg.....
00 3f 80 00 00 3f 00 00  00 3e aa aa ab 3e 80 00   .?...?...>...>..
00 3e 4c cc cd 3e 2a aa  ab 3e 12 49 25 3e 00 00   .>L..>*..>.I%>..
00 3d e3 8e 39 3d cc cc  cd 3d ba 2e 8c 3d aa aa   .=..9=...=...=..
ab 3d 9d 89 d9 3d 92 49  25 00 00 00 00 00 00 00   .=...=.I%.......
00 40 08 00 00 00 00 00  00 3f f8 00 00 00 00 00   .@.......?......
00 3f f0 00 00 00 00 00  00 3f e8 00 00 00 00 00   .?.......?......
00 3f e3 33 33 33 33 33  33 3f e0 00 00 00 00 00   .?.333333?......
00 3f db 6d b6 db 6d b6  db 3f d8 00 00 00 00 00   .?.m..m..?......
00 3f d5 55 55 55 55 55  55 3f d3 33 33 33 33 33   .?.UUUUUU?.33333
33 3f d1 74 5d 17 45 d1  74 3f d0 00 00 00 00 00   3?.t].E.t?......
00 3f cd 89 d8 9d 89 d8  9e 3f cb 6d b6 db 6d b6   .?.......?.m..m.
db 00 00 00 01 00 00 40  3e 89 ab cd ef 01 23 45   .......@>.....#E

67 00 00 7f 8f 00 00 40  3e 89 ab cd ef 01 23 45   g......@>.....#E
67 00 00 00 3b 00 00 40  3e 89 ab cd ef 01 23 45   g...;..@>.....#E
67 00 00 7f ff 00 00 40  3e 89 ab cd ef 01 23 45   g......@>.....#E
67 00 00 7f 8f 00 00 40  3e 89 ab cd ef 01 23 45   g......@>.....#E
67 00 00 00 3b 00 00 40  3e 89 ab cd ef 01 23 45   g...;..@>.....#E
67 00 00 7f 8f 00 00 40  3e 89 ab cd ef 01 23 45   g......@>.....#E
67 00 00 00 00 00 00 40  3e 89 ab cd ef 01 23 45   g......@>.....#E
67 00 00 00 3b 00 00 40  3e 89 ab cd ef 01 23 45   g...;..@>.....#E
67 00 00 7f ff 00 00 40  3e 89 ab cd ef 01 23 45   g......@>.....#E
67 00 00 7f ff 00 00 40  3e 89 ab cd ef 01 23 45   g......@>.....#E
67 00 00 00 3b 00 00 40  3e 89 ab cd ef 01 23 45   g...;..@>.....#E
67 00 00 00 3b 00 00 40  3e 89 ab cd ef 01 23 45   g...;..@>.....#E
67 00 00 00 01 00 00 40  3e 89 ab cd ef 01 23 45   g......@>.....#E
67 00 00 00 00 00 00 40  3e 89 ab cd ef 01 23 45   g......@>.....#E
67 00 01 02 03 04 05 06  07 08 09 0a 0b 0c 0d 0e   g...............
00 00 00 00 01 00 02 00  03 00 04 00 05 00 06 00   ................
07 00 08 00 09 00 0a 00  0b 00 0c 00 0d 00 0e      ............... 

EXTRACTING ARRAY VALUES WITH SWAPPING

Running overrun test...

Running alignment tests...

Running alignment reset tests...

Running alignment overrun test...

Running encapsulation options tests...

SerializerTest PASSED
test PASSED.

auto_run_tests_finished: tests/DCPS/Serializer/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/idl_test1_main/run_test.pl #

serialized_size_bound => <unbounded>
serialized_size => 79
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test1_main/idl_test1 PASSED

auto_run_tests_finished: tests/DCPS/Compiler/idl_test1_main/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/idl_test3_main/run_test.pl #

Xyz::StructOfArrayOfBoolean: serialized_size_bound(unaligned_encoding) => 5
Xyz::StructOfArrayOfBoolean: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfBoolean: serialized_size(unaligned_encoding, foo) => 5
Xyz::StructOfArrayOfBoolean: serialized_size(aligned_encoding, foo) => 5
Xyz::StructOfArrayOfBoolean: try_marshaling PASSED
Xyz::StructOfArrayOfString: serialized_size_bound(unaligned_encoding) => 60
Xyz::StructOfArrayOfString: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfString: serialized_size(unaligned_encoding, foo) => 39
Xyz::StructOfArrayOfString: serialized_size(aligned_encoding, foo) => 49
Xyz::StructOfArrayOfString: try_marshaling PASSED
Xyz::StructOfArrayOfChar: serialized_size_bound(unaligned_encoding) => 5
Xyz::StructOfArrayOfChar: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfChar: serialized_size(unaligned_encoding, foo) => 5
Xyz::StructOfArrayOfChar: serialized_size(aligned_encoding, foo) => 5
Xyz::StructOfArrayOfChar: try_marshaling PASSED
Xyz::StructOfArrayOfOctet: serialized_size_bound(unaligned_encoding) => 5
Xyz::StructOfArrayOfOctet: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfOctet: serialized_size(unaligned_encoding, foo) => 5
Xyz::StructOfArrayOfOctet: serialized_size(aligned_encoding, foo) => 5
Xyz::StructOfArrayOfOctet: try_marshaling PASSED
Xyz::StructOfArrayOfLong: serialized_size_bound(unaligned_encoding) => 20
Xyz::StructOfArrayOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfLong: serialized_size(unaligned_encoding, foo) => 20
Xyz::StructOfArrayOfLong: serialized_size(aligned_encoding, foo) => 20
Xyz::StructOfArrayOfLong: try_marshaling PASSED
Xyz::StructOfArrayOfAnEnum: serialized_size_bound(unaligned_encoding) => 20
Xyz::StructOfArrayOfAnEnum: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfAnEnum: serialized_size(unaligned_encoding, foo) => 20
Xyz::StructOfArrayOfAnEnum: serialized_size(aligned_encoding, foo) => 20
Xyz::StructOfArrayOfAnEnum: try_marshaling PASSED
Xyz::StructOfArrayOfArrayOfLong: serialized_size_bound(unaligned_encoding) => 140
Xyz::StructOfArrayOfArrayOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfArrayOfLong: serialized_size(unaligned_encoding, foo) => 140
Xyz::StructOfArrayOfArrayOfLong: serialized_size(aligned_encoding, foo) => 140
Xyz::StructOfArrayOfArrayOfLong: try_marshaling PASSED
Xyz::StructOfSeqOfBoolean: serialized_size_bound(unaligned_encoding) => 10
Xyz::StructOfSeqOfBoolean: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfBoolean: serialized_size(unaligned_encoding, foo) => 9
Xyz::StructOfSeqOfBoolean: serialized_size(aligned_encoding, foo) => 9
Xyz::StructOfSeqOfBoolean: try_marshaling PASSED
Xyz::StructOfSeqOfString: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size(unaligned_encoding, foo) => 43
Xyz::StructOfSeqOfString: serialized_size(aligned_encoding, foo) => 53
Xyz::StructOfSeqOfString: try_marshaling PASSED
Xyz::StructOfSeqOfChar: serialized_size_bound(unaligned_encoding) => 10
Xyz::StructOfSeqOfChar: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfChar: serialized_size(unaligned_encoding, foo) => 9
Xyz::StructOfSeqOfChar: serialized_size(aligned_encoding, foo) => 9
Xyz::StructOfSeqOfChar: try_marshaling PASSED
Xyz::StructOfSeqOfOctet: serialized_size_bound(unaligned_encoding) => 10
Xyz::StructOfSeqOfOctet: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfOctet: serialized_size(unaligned_encoding, foo) => 9
Xyz::StructOfSeqOfOctet: serialized_size(aligned_encoding, foo) => 9
Xyz::StructOfSeqOfOctet: try_marshaling PASSED
Xyz::StructOfSeqOfLong: serialized_size_bound(unaligned_encoding) => 28
Xyz::StructOfSeqOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfLong: serialized_size(unaligned_encoding, foo) => 24
Xyz::StructOfSeqOfLong: serialized_size(aligned_encoding, foo) => 24
Xyz::StructOfSeqOfLong: try_marshaling PASSED
Xyz::StructOfSeqOfAnEnum: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::StructOfSeqOfAnEnum: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfAnEnum: serialized_size(unaligned_encoding, foo) => 24
Xyz::StructOfSeqOfAnEnum: serialized_size(aligned_encoding, foo) => 24
Xyz::StructOfSeqOfAnEnum: try_marshaling PASSED
Xyz::StructOfArrayOfSeqOfLong: serialized_size_bound(unaligned_encoding) => 168
Xyz::StructOfArrayOfSeqOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfSeqOfLong: serialized_size(unaligned_encoding, foo) => 144
Xyz::StructOfArrayOfSeqOfLong: serialized_size(aligned_encoding, foo) => 144
Xyz::StructOfArrayOfSeqOfLong: try_marshaling PASSED
Xyz::StructOfSeqOfSeqOfLong: serialized_size_bound(unaligned_encoding) => 116
Xyz::StructOfSeqOfSeqOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfSeqOfLong: serialized_size(unaligned_encoding, foo) => 100
Xyz::StructOfSeqOfSeqOfLong: serialized_size(aligned_encoding, foo) => 100
Xyz::StructOfSeqOfSeqOfLong: try_marshaling PASSED
Xyz::StructAUnion: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::StructAUnion: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructAUnion: serialized_size(unaligned_encoding, foo) => 12
Xyz::StructAUnion: serialized_size(aligned_encoding, foo) => 12
Xyz::StructAUnion: try_marshaling PASSED
Xyz::StructOfSeqOfString: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size(unaligned_encoding, foo) => 23
Xyz::StructOfSeqOfString: serialized_size(aligned_encoding, foo) => 26
Xyz::StructOfSeqOfString: try_marshaling PASSED
Xyz::Foo: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::Foo: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::Foo: serialized_size(unaligned_encoding, foo) => 4529
Xyz::Foo: serialized_size(aligned_encoding, foo) => 5160
Xyz::Foo: try_marshaling PASSED
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test3_main/idl_test3 PASSED

auto_run_tests_finished: tests/DCPS/Compiler/idl_test3_main/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/Compiler/key_annotation/run_test.pl #

auto_run_tests_finished: tests/DCPS/Compiler/key_annotation/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/is_topic_type/run_test.pl #

is_topic_type: JSON::PP not installed, skipping test and returning 0.

auto_run_tests_finished: tests/DCPS/Compiler/is_topic_type/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/TryConstruct/run_test.pl #

[==========] Running 11 tests from 5 test cases.
[----------] Global test environment set-up.
[----------] 1 test from TestTryCon
[ RUN      ] TestTryCon.string
[       OK ] TestTryCon.string (0 ms)
[----------] 1 test from TestTryCon (0 ms total)

[----------] 3 tests from StructandSeq
[ RUN      ] StructandSeq.DISCARD
[       OK ] StructandSeq.DISCARD (7 ms)
[ RUN      ] StructandSeq.USE_DEFAULT
[       OK ] StructandSeq.USE_DEFAULT (0 ms)
[ RUN      ] StructandSeq.TRIM
[       OK ] StructandSeq.TRIM (0 ms)
[----------] 3 tests from StructandSeq (8 ms total)

[----------] 2 tests from AnonSequence
[ RUN      ] AnonSequence.Trim
[       OK ] AnonSequence.Trim (0 ms)
[ RUN      ] AnonSequence.USE_DEFAULT
[       OK ] AnonSequence.USE_DEFAULT (0 ms)
[----------] 2 tests from AnonSequence (1 ms total)

[----------] 2 tests from AnonArray
[ RUN      ] AnonArray.TRIM
[       OK ] AnonArray.TRIM (0 ms)
[ RUN      ] AnonArray.USE_DEFAULT
[       OK ] AnonArray.USE_DEFAULT (0 ms)
[----------] 2 tests from AnonArray (0 ms total)

[----------] 3 tests from Union
[ RUN      ] Union.DISCARD
[       OK ] Union.DISCARD (0 ms)
[ RUN      ] Union.USE_DEFAULT
[       OK ] Union.USE_DEFAULT (0 ms)
[ RUN      ] Union.TRIM
[       OK ] Union.TRIM (0 ms)
[----------] 3 tests from Union (1 ms total)

[----------] Global test environment tear-down
[==========] 11 tests from 5 test cases ran. (10 ms total)
[  PASSED  ] 11 tests.

auto_run_tests_finished: tests/DCPS/Compiler/TryConstruct/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/Compiler/typeobject_hash_consistency/run_test.pl #

test PASSED

auto_run_tests_finished: tests/DCPS/Compiler/typeobject_hash_consistency/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/xcdr/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/xcdr/xcdr  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile test.log -DCPSPendingTimeout 3 
test PID: 27845 started at 2023-04-21 22:11:34
[==========] Running 78 tests from 6 test cases.
[----------] Global test environment set-up.
[----------] 13 tests from BasicTests
[ RUN      ] BasicTests.FinalXcdr1Struct
[       OK ] BasicTests.FinalXcdr1Struct (0 ms)
[ RUN      ] BasicTests.AppendableXcdr1Struct
[       OK ] BasicTests.AppendableXcdr1Struct (0 ms)
[ RUN      ] BasicTests.MutableXcdr1Struct
[       OK ] BasicTests.MutableXcdr1Struct (0 ms)
[ RUN      ] BasicTests.FinalXcdr2Struct
[       OK ] BasicTests.FinalXcdr2Struct (0 ms)
[ RUN      ] BasicTests.FinalXcdr2StructLE
[       OK ] BasicTests.FinalXcdr2StructLE (0 ms)
[ RUN      ] BasicTests.AppendableXcdr2Struct
[       OK ] BasicTests.AppendableXcdr2Struct (0 ms)
[ RUN      ] BasicTests.AppendableXcdr2StructLE
[       OK ] BasicTests.AppendableXcdr2StructLE (0 ms)
[ RUN      ] BasicTests.MutableXcdr2Struct
[       OK ] BasicTests.MutableXcdr2Struct (0 ms)
[ RUN      ] BasicTests.MutableXcdr2StructLE
[       OK ] BasicTests.MutableXcdr2StructLE (0 ms)
[ RUN      ] BasicTests.MutableXcdr12Union
[       OK ] BasicTests.MutableXcdr12Union (0 ms)
[ RUN      ] BasicTests.MutableXcdr12UnionLE
[       OK ] BasicTests.MutableXcdr12UnionLE (1 ms)
[ RUN      ] BasicTests.FinalUnion
[       OK ] BasicTests.FinalUnion (0 ms)
[ RUN      ] BasicTests.FinalUnionLE
[       OK ] BasicTests.FinalUnionLE (0 ms)
[----------] 13 tests from BasicTests (1 ms total)

[----------] 17 tests from AppendableTests
[ RUN      ] AppendableTests.FromNestedStruct
[       OK ] AppendableTests.FromNestedStruct (0 ms)
[ RUN      ] AppendableTests.FromAdditionalNestedStruct
[       OK ] AppendableTests.FromAdditionalNestedStruct (0 ms)
[ RUN      ] AppendableTests.FromAdditionalNestedStructLE
[       OK ] AppendableTests.FromAdditionalNestedStructLE (0 ms)
[ RUN      ] AppendableTests.BothAdditionalNestedStruct
[       OK ] AppendableTests.BothAdditionalNestedStruct (0 ms)
[ RUN      ] AppendableTests.BothAdditionalNestedStructLE
[       OK ] AppendableTests.BothAdditionalNestedStructLE (0 ms)
[ RUN      ] AppendableTests.BothAppendableStruct
[       OK ] AppendableTests.BothAppendableStruct (0 ms)
[ RUN      ] AppendableTests.BothAppendableStructLE
[       OK ] AppendableTests.BothAppendableStructLE (0 ms)
[ RUN      ] AppendableTests.FromAppendableStruct
[       OK ] AppendableTests.FromAppendableStruct (0 ms)
[ RUN      ] AppendableTests.FromAppendableStructLE
[       OK ] AppendableTests.FromAppendableStructLE (0 ms)
[ RUN      ] AppendableTests.FromAdditionalAppendableStruct
[       OK ] AppendableTests.FromAdditionalAppendableStruct (0 ms)
[ RUN      ] AppendableTests.FromAdditionalAppendableStructLE
[       OK ] AppendableTests.FromAdditionalAppendableStructLE (0 ms)
[ RUN      ] AppendableTests.BothAdditionalAppendableStruct
[       OK ] AppendableTests.BothAdditionalAppendableStruct (0 ms)
[ RUN      ] AppendableTests.BothAdditionalAppendableStructLE
[       OK ] AppendableTests.BothAdditionalAppendableStructLE (0 ms)
[ RUN      ] AppendableTests.BothAppendableWithNestedStruct2
[       OK ] AppendableTests.BothAppendableWithNestedStruct2 (0 ms)
[ RUN      ] AppendableTests.BothAppendableWithNestedStruct2LE
[       OK ] AppendableTests.BothAppendableWithNestedStruct2LE (0 ms)
[ RUN      ] AppendableTests.FromAppendableUnion
[       OK ] AppendableTests.FromAppendableUnion (0 ms)
[ RUN      ] AppendableTests.FromAppendableUnionLE
[       OK ] AppendableTests.FromAppendableUnionLE (0 ms)
[----------] 17 tests from AppendableTests (1 ms total)

[----------] 25 tests from MutableTests
[ RUN      ] MutableTests.BaselineXcdr1Test
[       OK ] MutableTests.BaselineXcdr1Test (0 ms)
[ RUN      ] MutableTests.BaselineXcdr2Test
[       OK ] MutableTests.BaselineXcdr2Test (0 ms)
[ RUN      ] MutableTests.BaselineXcdr2TestLE
[       OK ] MutableTests.BaselineXcdr2TestLE (0 ms)
[ RUN      ] MutableTests.BaselineXcdr2TestUnion
[       OK ] MutableTests.BaselineXcdr2TestUnion (0 ms)
[ RUN      ] MutableTests.BaselineXcdr2TestUnionLE
[       OK ] MutableTests.BaselineXcdr2TestUnionLE (0 ms)
[ RUN      ] MutableTests.FromMutableUnion
[       OK ] MutableTests.FromMutableUnion (0 ms)
[ RUN      ] MutableTests.FromMutableUnionLE
[       OK ] MutableTests.FromMutableUnionLE (0 ms)
[ RUN      ] MutableTests.FromModifiedMutableUnion
[       OK ] MutableTests.FromModifiedMutableUnion (0 ms)
[ RUN      ] MutableTests.FromModifiedMutableUnionLE
[       OK ] MutableTests.FromModifiedMutableUnionLE (0 ms)
[ RUN      ] MutableTests.ToReorderedXcdr1Test
[       OK ] MutableTests.ToReorderedXcdr1Test (0 ms)
[ RUN      ] MutableTests.FromReorderedXcdr1Test
[       OK ] MutableTests.FromReorderedXcdr1Test (0 ms)
[ RUN      ] MutableTests.ToReorderedXcdr2Test
[       OK ] MutableTests.ToReorderedXcdr2Test (0 ms)
[ RUN      ] MutableTests.ToReorderedXcdr2TestLE
[       OK ] MutableTests.ToReorderedXcdr2TestLE (0 ms)
[ RUN      ] MutableTests.FromReorderedXcdr2Test
[       OK ] MutableTests.FromReorderedXcdr2Test (0 ms)
[ RUN      ] MutableTests.ToAdditionalFieldXcdr1Test
[       OK ] MutableTests.ToAdditionalFieldXcdr1Test (0 ms)
[ RUN      ] MutableTests.FromAdditionalFieldXcdr1Test
[       OK ] MutableTests.FromAdditionalFieldXcdr1Test (0 ms)
[ RUN      ] MutableTests.ToAdditionalFieldXcdr2Test
[       OK ] MutableTests.ToAdditionalFieldXcdr2Test (0 ms)
[ RUN      ] MutableTests.FromAdditionalFieldMustUnderstandTest
[       OK ] MutableTests.FromAdditionalFieldMustUnderstandTest (0 ms)
[ RUN      ] MutableTests.FromAdditionalFieldXcdr2Test
[       OK ] MutableTests.FromAdditionalFieldXcdr2Test (0 ms)
[ RUN      ] MutableTests.LengthCodeTest
[       OK ] MutableTests.LengthCodeTest (1 ms)
[ RUN      ] MutableTests.ReadLc567Test
[       OK ] MutableTests.ReadLc567Test (0 ms)
[ RUN      ] MutableTests.BothMixedMutableStruct
[       OK ] MutableTests.BothMixedMutableStruct (0 ms)
[ RUN      ] MutableTests.BothMixedMutableStructLE
[       OK ] MutableTests.BothMixedMutableStructLE (0 ms)
[ RUN      ] MutableTests.FromMixedMutableStruct
[       OK ] MutableTests.FromMixedMutableStruct (0 ms)
[ RUN      ] MutableTests.FromMixedMutableStructLE
[       OK ] MutableTests.FromMixedMutableStructLE (0 ms)
[----------] 25 tests from MutableTests (1 ms total)

[----------] 6 tests from MixedExtenTests
[ RUN      ] MixedExtenTests.NestingFinalStruct
[       OK ] MixedExtenTests.NestingFinalStruct (0 ms)
[ RUN      ] MixedExtenTests.NestingFinalStructLE
[       OK ] MixedExtenTests.NestingFinalStructLE (0 ms)
[ RUN      ] MixedExtenTests.NestingAppendableStruct
[       OK ] MixedExtenTests.NestingAppendableStruct (0 ms)
[ RUN      ] MixedExtenTests.NestingAppendableStructLE
[       OK ] MixedExtenTests.NestingAppendableStructLE (0 ms)
[ RUN      ] MixedExtenTests.NestingMutableStruct
[       OK ] MixedExtenTests.NestingMutableStruct (0 ms)
[ RUN      ] MixedExtenTests.NestingMutableStructLE
[       OK ] MixedExtenTests.NestingMutableStructLE (0 ms)
[----------] 6 tests from MixedExtenTests (0 ms total)

[----------] 1 test from IdVsDeclOrder
[ RUN      ] IdVsDeclOrder.test
[       OK ] IdVsDeclOrder.test (0 ms)
[----------] 1 test from IdVsDeclOrder (0 ms total)

[----------] 16 tests from KeyTests
[ RUN      ] KeyTests.normal_BasicUnkeyedStruct
[       OK ] KeyTests.normal_BasicUnkeyedStruct (0 ms)
[ RUN      ] KeyTests.normal_BasicKeyedStruct
[       OK ] KeyTests.normal_BasicKeyedStruct (0 ms)
[ RUN      ] KeyTests.normal_UnkeyedUnion
[       OK ] KeyTests.normal_UnkeyedUnion (0 ms)
[ RUN      ] KeyTests.normal_KeyedUnion
[       OK ] KeyTests.normal_KeyedUnion (0 ms)
[ RUN      ] KeyTests.normal_ComplexUnkeyedStruct
[       OK ] KeyTests.normal_ComplexUnkeyedStruct (0 ms)
[ RUN      ] KeyTests.normal_ComplexKeyedStruct
[       OK ] KeyTests.normal_ComplexKeyedStruct (0 ms)
[ RUN      ] KeyTests.NestedKeyOnly_BasicUnkeyedStruct
[       OK ] KeyTests.NestedKeyOnly_BasicUnkeyedStruct (0 ms)
[ RUN      ] KeyTests.NestedKeyOnly_BasicKeyedStruct
[       OK ] KeyTests.NestedKeyOnly_BasicKeyedStruct (0 ms)
[ RUN      ] KeyTests.NestedKeyOnly_UnkeyedUnion
[       OK ] KeyTests.NestedKeyOnly_UnkeyedUnion (0 ms)
[ RUN      ] KeyTests.NestedKeyOnly_KeyedUnion
[       OK ] KeyTests.NestedKeyOnly_KeyedUnion (0 ms)
[ RUN      ] KeyTests.NestedKeyOnly_ComplexUnkeyedStruct
[       OK ] KeyTests.NestedKeyOnly_ComplexUnkeyedStruct (0 ms)
[ RUN      ] KeyTests.NestedKeyOnly_ComplexKeyedStruct
[       OK ] KeyTests.NestedKeyOnly_ComplexKeyedStruct (0 ms)
[ RUN      ] KeyTests.KeyOnly_BasicUnkeyedStruct
[       OK ] KeyTests.KeyOnly_BasicUnkeyedStruct (0 ms)
[ RUN      ] KeyTests.KeyOnly_BasicKeyedStruct
[       OK ] KeyTests.KeyOnly_BasicKeyedStruct (0 ms)
[ RUN      ] KeyTests.KeyOnly_UnkeyedUnion
[       OK ] KeyTests.KeyOnly_UnkeyedUnion (0 ms)
[ RUN      ] KeyTests.KeyOnly_KeyedUnion
[       OK ] KeyTests.KeyOnly_KeyedUnion (0 ms)
[----------] 16 tests from KeyTests (1 ms total)

[----------] Global test environment tear-down
[==========] 78 tests from 6 test cases ran. (5 ms total)
[  PASSED  ] 78 tests.
test PASSED.

auto_run_tests_finished: tests/DCPS/Compiler/xcdr/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/XtypesExtensibility/run_test.pl #

[==========] Running 8 tests from 4 test cases.
[----------] Global test environment set-up.
[----------] 1 test from TestFinal
[ RUN      ] TestFinal.flags_match
[       OK ] TestFinal.flags_match (3 ms)
[----------] 1 test from TestFinal (3 ms total)

[----------] 1 test from TestAppendable
[ RUN      ] TestAppendable.flags_match
[       OK ] TestAppendable.flags_match (0 ms)
[----------] 1 test from TestAppendable (0 ms total)

[----------] 1 test from TestMutable
[ RUN      ] TestMutable.flags_match
[       OK ] TestMutable.flags_match (1 ms)
[----------] 1 test from TestMutable (1 ms total)

[----------] 5 tests from TestDefault
[ RUN      ] TestDefault.flags_match
[       OK ] TestDefault.flags_match (0 ms)
[ RUN      ] TestDefault.FinalEnum
[       OK ] TestDefault.FinalEnum (0 ms)
[ RUN      ] TestDefault.AppendableEnum
[       OK ] TestDefault.AppendableEnum (0 ms)
[ RUN      ] TestDefault.DefaultEnum
[       OK ] TestDefault.DefaultEnum (1 ms)
[ RUN      ] TestDefault.ZeroEnumDefaultEnum
[       OK ] TestDefault.ZeroEnumDefaultEnum (0 ms)
[----------] 5 tests from TestDefault (1 ms total)

[----------] Global test environment tear-down
[==========] 8 tests from 4 test cases ran. (5 ms total)
[  PASSED  ] 8 tests.

auto_run_tests_finished: tests/DCPS/Compiler/XtypesExtensibility/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/Compiler/typeobject_generator/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/typeobject_generator/TypeObjectTest  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile test.log -DCPSPendingTimeout 3 
test PID: 27849 started at 2023-04-21 22:11:35
[==========] Running 2 tests from 1 test case.
[----------] Global test environment set-up.
[----------] 2 tests from TypeMapTest
[ RUN      ] TypeMapTest.Minimal
[       OK ] TypeMapTest.Minimal (56 ms)
[ RUN      ] TypeMapTest.Complete
[       OK ] TypeMapTest.Complete (1 ms)
[----------] 2 tests from TypeMapTest (57 ms total)

[----------] Global test environment tear-down
[==========] 2 tests from 1 test case ran. (57 ms total)
[  PASSED  ] 2 tests.
test PASSED.

auto_run_tests_finished: tests/DCPS/Compiler/typeobject_generator/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/keywords/run_test.pl classic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/keywords/classic/keywords-classic  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile test.log -DCPSPendingTimeout 3 
test PID: 27851 started at 2023-04-21 22:11:35
[==========] Running 8 tests from 4 test cases.
[----------] Global test environment set-up.
[----------] 2 tests from EscapedNonKeywords
[ RUN      ] EscapedNonKeywords.struct_topic_type
[       OK ] EscapedNonKeywords.struct_topic_type (0 ms)
[ RUN      ] EscapedNonKeywords.union_topic_type
[       OK ] EscapedNonKeywords.union_topic_type (0 ms)
[----------] 2 tests from EscapedNonKeywords (0 ms total)

[----------] 2 tests from IdlKeywords
[ RUN      ] IdlKeywords.struct_topic_type
[       OK ] IdlKeywords.struct_topic_type (0 ms)
[ RUN      ] IdlKeywords.union_topic_type
[       OK ] IdlKeywords.union_topic_type (0 ms)
[----------] 2 tests from IdlKeywords (0 ms total)

[----------] 2 tests from CppKeywords
[ RUN      ] CppKeywords.struct_topic_type
[       OK ] CppKeywords.struct_topic_type (0 ms)
[ RUN      ] CppKeywords.union_topic_type
[       OK ] CppKeywords.union_topic_type (0 ms)
[----------] 2 tests from CppKeywords (0 ms total)

[----------] 2 tests from DoubleKeywords
[ RUN      ] DoubleKeywords.struct_topic_type
[       OK ] DoubleKeywords.struct_topic_type (0 ms)
[ RUN      ] DoubleKeywords.union_topic_type
[       OK ] DoubleKeywords.union_topic_type (0 ms)
[----------] 2 tests from DoubleKeywords (0 ms total)

[----------] Global test environment tear-down
[==========] 8 tests from 4 test cases ran. (0 ms total)
[  PASSED  ] 8 tests.
test PASSED.

auto_run_tests_finished: tests/DCPS/Compiler/keywords/run_test.pl classic Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/explicit_ints/run_test.pl classic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/explicit_ints/classic/explicit-ints-classic  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile test.log -DCPSPendingTimeout 3 
test PID: 27861 started at 2023-04-21 22:11:35
[==========] Running 1 test from 1 test case.
[----------] Global test environment set-up.
[----------] 1 test from ExplicitInts
[ RUN      ] ExplicitInts.min_max
[       OK ] ExplicitInts.min_max (0 ms)
[----------] 1 test from ExplicitInts (0 ms total)

[----------] Global test environment tear-down
[==========] 1 test from 1 test case ran. (0 ms total)
[  PASSED  ] 1 test.
test PASSED.

auto_run_tests_finished: tests/DCPS/Compiler/explicit_ints/run_test.pl classic Time:1s Result:0

==============================================================================

tests/DCPS/Compiler/char_literals/run_test.pl classic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/char_literals/classic/char-literals-classic  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile test.log -DCPSPendingTimeout 3 
test PID: 27863 started at 2023-04-21 22:11:36
[==========] Running 3 tests from 1 test case.
[----------] Global test environment set-up.
[----------] 3 tests from CharLiterals
[ RUN      ] CharLiterals.char_literal_values
[       OK ] CharLiterals.char_literal_values (0 ms)
[ RUN      ] CharLiterals.wchar_literal_values
[       OK ] CharLiterals.wchar_literal_values (0 ms)
[ RUN      ] CharLiterals.str_literal_values
[       OK ] CharLiterals.str_literal_values (0 ms)
[----------] 3 tests from CharLiterals (0 ms total)

[----------] Global test environment tear-down
[==========] 3 tests from 1 test case ran. (0 ms total)
[  PASSED  ] 3 tests.
test PASSED.

auto_run_tests_finished: tests/DCPS/Compiler/char_literals/run_test.pl classic Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/union_defaults/run_test.pl #

[==========] Running 11 tests from 1 test case.
[----------] Global test environment set-up.
[----------] 11 tests from UnionDefault
[ RUN      ] UnionDefault.no_default
[       OK ] UnionDefault.no_default (0 ms)
[ RUN      ] UnionDefault.string
[       OK ] UnionDefault.string (0 ms)
[ RUN      ] UnionDefault.Z
[       OK ] UnionDefault.Z (0 ms)
[ RUN      ] UnionDefault.dummy
[       OK ] UnionDefault.dummy (0 ms)
[ RUN      ] UnionDefault.dummy_sequence
[       OK ] UnionDefault.dummy_sequence (1 ms)
[ RUN      ] UnionDefault.dummy_array
[       OK ] UnionDefault.dummy_array (0 ms)
[ RUN      ] UnionDefault.wstring
[       OK ] UnionDefault.wstring (0 ms)
[ RUN      ] UnionDefault.wchar
[       OK ] UnionDefault.wchar (0 ms)
[ RUN      ] UnionDefault.long_double
[       OK ] UnionDefault.long_double (0 ms)
[ RUN      ] UnionDefault.boolean
[       OK ] UnionDefault.boolean (0 ms)
[ RUN      ] UnionDefault.enum
[       OK ] UnionDefault.enum (0 ms)
[----------] 11 tests from UnionDefault (1 ms total)

[----------] Global test environment tear-down
[==========] 11 tests from 1 test case ran. (1 ms total)
[  PASSED  ] 11 tests.

auto_run_tests_finished: tests/DCPS/Compiler/union_defaults/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/DelayedDurable/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/DelayedDurable --writer -DCPSConfigFile rtps_disc.ini -DCPSPendingTimeout 3 
writer PID: 27867 started at 2023-04-21 22:11:36
(27867|27867) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(27867|27867) writer starting at  22:11:36.718941
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/DelayedDurable --reader -DCPSConfigFile rtps_disc.ini -DCPSPendingTimeout 3 
readerB PID: 27881 started at 2023-04-21 22:11:51
(27881|27881) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(27881|27881) reader starting at  22:11:51.732624
reader: Counter reached 981 at  22:11:54.671568
(27867|27867) writer ending at  22:12:15.537227
(27881|27881) reader ending at  22:12:25.037768
test PASSED.

auto_run_tests_finished: tests/DCPS/DelayedDurable/run_test.pl Time:49s Result:0

==============================================================================

tests/DCPS/DelayedDurable/run_test.pl --large-samples #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/DelayedDurable --writer -DCPSConfigFile rtps_disc.ini --large-samples -DCPSPendingTimeout 3 
writer PID: 27888 started at 2023-04-21 22:12:25
(27888|27888) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(27888|27888) writer starting at  22:12:25.310931
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/DelayedDurable --reader -DCPSConfigFile rtps_disc.ini --large-samples -DCPSPendingTimeout 3 
readerB PID: 27904 started at 2023-04-21 22:12:40
(27904|27904) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(27904|27904) reader starting at  22:12:40.306057
reader: Counter reached 95 at  22:12:43.261951
(27888|27888) writer ending at  22:13:03.146047
(27904|27904) reader ending at  22:13:13.130666
test PASSED.

auto_run_tests_finished: tests/DCPS/DelayedDurable/run_test.pl --large-samples Time:48s Result:0

==============================================================================

tests/DCPS/DelayedDurable/run_test.pl --early-reader #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/DelayedDurable --reader -DCPSConfigFile rtps_disc.ini --has-early-reader --report-last-value readerA.txt -DCPSPendingTimeout 3 
readerA PID: 27913 started at 2023-04-21 22:13:13
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/DelayedDurable --writer -DCPSConfigFile rtps_disc.ini --has-early-reader -DCPSPendingTimeout 3 
writer PID: 27914 started at 2023-04-21 22:13:13
(27913|27913) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(27914|27914) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(27913|27913) reader starting at  22:13:13.313451
(27914|27914) writer starting at  22:13:13.314316
(27913|27913) RtpsUdpSendStrategy::send_single_i() - destination 10.201.200.79:50728 failed send: Operation not permitted
reader: Counter reached 1 at  22:13:13.455931
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/DelayedDurable --reader -DCPSConfigFile rtps_disc.ini --has-early-reader --report-last-value readerB.txt -DCPSPendingTimeout 3 
readerB PID: 27925 started at 2023-04-21 22:13:23
(27925|27925) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(27925|27925) reader starting at  22:13:23.645055
(27914|27914) writer ending at  22:13:23.980661
reader: Counter reached 1 at  22:13:24.093752
(27913|27913) reader ending at  22:13:24.747704
(27925|27925) reader ending at  22:13:34.094635
test PASSED.

auto_run_tests_finished: tests/DCPS/DelayedDurable/run_test.pl --early-reader Time:21s Result:0

==============================================================================

tests/DCPS/Restart/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Restart/RestartTest  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile rt.log -DCPSPendingTimeout 3 
rt PID: 27932 started at 2023-04-21 22:13:34
Application 1 Starting
Application 1 retrieved domain participant factory
Application 1 created domain participant
Application 1 Started
Application 2 Starting
Application 2 retrieved domain participant factory
Application 2 created domain participant
Application 2 Started
Application 2 Ending
Application 2 did delete contained_entities
Application 2 did delete domain participant
Application 2 Ended
Application 3 Starting
Application 3 retrieved domain participant factory
Application 3 created domain participant
Application 3 Started
Application 3 Ending
Application 3 did delete contained_entities
Application 3 did delete domain participant
Application 3 Ended
Application 1 Ending
Application 1 did delete contained_entities
Application 1 did delete domain participant
Application 1 shutdown service participant
Application 1 Ended
Application 4 Starting
Application 4 retrieved domain participant factory
Application 4 created domain participant
Application 4 Started
Application 4 Ending
Application 4 did delete contained_entities
Application 4 did delete domain participant
Application 4 shutdown service participant
Application 4 Ended
test PASSED.

auto_run_tests_finished: tests/DCPS/Restart/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/sub_init_loop/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -ORBSvcConf repo.conf -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 27952
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/sub_init_loop/subscriber -DCPSConfigFile sub.ini -v -DCPSPendingTimeout 3 
sub PID: 27959 started at 2023-04-21 22:13:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/sub_init_loop/publisher -DCPSConfigFile pub.ini  -DCPSPendingTimeout 3 
pub PID: 27960 started at 2023-04-21 22:13:34
(27960|27960) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(27959|27959) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(27952|27952) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(27960|27960) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(27959|27959) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(27959|27959) Reinitializing subscriber.
(27959|27959) *** Destroying Subscriber
(27959|27959) Reinitializing subscriber.
(27959|27959) *** Destroying Subscriber
(27959|27959) Reinitializing subscriber.
(27959|27959) *** Destroying Subscriber
(27959|27959) Reinitializing subscriber.
(27959|27959) *** Destroying Subscriber
(27959|27959) Reinitializing subscriber.
(27959|27959) *** Destroying Subscriber
(27959|27959) Reinitializing subscriber.
(27959|27959) *** Destroying Subscriber
(27959|27959) Reinitializing subscriber.
(27959|27959) *** Destroying Subscriber
(27959|27959) Reinitializing subscriber.
(27959|27959) *** Destroying Subscriber
(27959|27959) Reinitializing subscriber.
(27959|27959) *** Destroying Subscriber
(27959|27959) Reinitializing subscriber.
(27959|27959) *** Destroying Subscriber
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/sub_init_loop/run_test.pl Time:4s Result:0

==============================================================================

tests/DCPS/BuiltInTopic/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior
InfoRepo PID: 27985
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopic/bit  -i 0 
client PID: 27992 started at 2023-04-21 22:13:38
(27985|27985) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(27992|27992) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(27992|27992) write begins.
(27992|27992) write  done
(27992|27992) read begins.
foo.x = 0.000000 foo.y = -1.000000, foo.key = 101010
(27992|27992) read done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/BuiltInTopic/run_test.pl Time:14s Result:0

==============================================================================

tests/DCPS/BuiltInTopic/run_test.pl ignore_part #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior
InfoRepo PID: 28004
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopic/bit  -i 1 
client PID: 28011 started at 2023-04-21 22:13:52
(28004|28004) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(28011|28011) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Ignoring before the association
(28011|28011) IGNORE_PARTICIPANT,  participant 01030000.287530ac.00000002.000001c1 ignore participant 01030000.287530ac.00000002.000001c1 .
(28011|28011) IGNORE_PARTICIPANT, ignored participant 01030000.287530ac.00000002.000001c1 has handle 0x3.
(28011|28011) write begins.
(28011|28011) write  done
(28011|28011) read begins.
(28011|28011) read done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/BuiltInTopic/run_test.pl ignore_part Time:13s Result:0

==============================================================================

tests/DCPS/BuiltInTopic/run_test.pl ignore_topic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior
InfoRepo PID: 28022
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopic/bit  -i 2 
client PID: 28029 started at 2023-04-21 22:14:05
(28022|28022) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(28029|28029) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Ignoring before the association
(28029|28029) IGNORE_TOPIC, participant 01030000.3489bb3e.00000002.000001c1 ignore topic 01030000.3489bb3e.00000002.00000845 .
(28029|28029) IGNORE_TOPIC,  ignored topic 01030000.3489bb3e.00000002.00000845 has handle 0x7.
(28029|28029) write begins.
(28029|28029) write  done
(28029|28029) read begins.
(28029|28029) read done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/BuiltInTopic/run_test.pl ignore_topic Time:14s Result:0

==============================================================================

tests/DCPS/BuiltInTopic/run_test.pl ignore_pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior
InfoRepo PID: 28041
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopic/bit  -i 3 
client PID: 28048 started at 2023-04-21 22:14:19
(28041|28041) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(28048|28048) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Ignoring after the association
(28048|28048) IGNORE_PUBLICATION, participant 01030000.1bcd1a18.00000002.000001c1 ignore publication 01030000.1bcd1a18.00000002.00000102 .
(28048|28048) IGNORE_PUBLICATION,  ignored topic 01030000.1bcd1a18.00000002.00000102 has handle 0xb.
(28048|28048) write begins.
(28048|28048) write  done
(28048|28048) read begins.
(28048|28048) read done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/BuiltInTopic/run_test.pl ignore_pub Time:13s Result:0

==============================================================================

tests/DCPS/BuiltInTopic/run_test.pl ignore_sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior
InfoRepo PID: 28058
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopic/bit  -i 4 
client PID: 28065 started at 2023-04-21 22:14:33
(28058|28058) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(28065|28065) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Ignoring after the association
(28065|28065) IGNORE_SUBSCRIPTION, participant 01030000.3b82a787.00000002.000001c1 ignore subscription 01030000.3b82a787.00000002.00000507 .
(28065|28065) IGNORE_SUBSCRIPTION,  ignored topic 01030000.3b82a787.00000002.00000507 has handle 0xa.
(28065|28065) write begins.
(28065|28065) write  done
(28065|28065) read begins.
(28065|28065) read done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/BuiltInTopic/run_test.pl ignore_sub Time:14s Result:0

==============================================================================

tests/DCPS/CorbaSeq/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -NOBITS -DCPSPendingTimeout 3 
InfoRepo PID: 28083
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CorbaSeq/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub.log -DCPSBit 0  -DCPSPendingTimeout 3 
pub PID: 28090 started at 2023-04-21 22:14:46
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CorbaSeq/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub.log -DCPSBit 0  -DCPSPendingTimeout 3 
sub PID: 28091 started at 2023-04-21 22:14:46
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/CorbaSeq/run_test.pl Time:4s Result:0

==============================================================================

tests/DCPS/NotifyTest/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 28102
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/NotifyTest/publisher -d -u -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub.log -DCPSPendingTimeout 3 
pub PID: 28109 started at 2023-04-21 22:14:51
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/NotifyTest/subscriber -d -u -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub.log -DCPSPendingTimeout 3 
sub PID: 28110 started at 2023-04-21 22:14:51
(28102|28102) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
 expected 10/2/0
 recv 0
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
instance is disposed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
instance is disposed
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/NotifyTest/run_test.pl Time:4s Result:0

==============================================================================

tests/DCPS/Observer/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Observer/subscriber  -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 28127 started at 2023-04-21 22:14:54
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Observer/publisher  -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 28128 started at 2023-04-21 22:14:54
Reader1 observes SAMPLE_READ
on_enabled 1 writer 0103000c.297a35f2.6de0cd10.00000002
Publisher waiting for subscriber...
on_enabled 1 reader 0103000c.297a35f2.6ddf2a77.00000007
on_qos_changed 1 reader 0103000c.297a35f2.6ddf2a77.00000007 qos.user_data: cba
Reader2 observes SAMPLE_TAKEN
on_enabled 2 reader 0103000c.297a35f2.6ddf2a77.01000007
on_associated 1 writer 0103000c.297a35f2.6de0cd10.00000002 with reader 0103000c.297a35f2.6ddf2a77.00000007
on_associated 2 writer 0103000c.297a35f2.6de0cd10.00000002 with reader 0103000c.297a35f2.6ddf2a77.01000007
on_sample_sent 1 writer 0103000c.297a35f2.6de0cd10.00000002
on_qos_changed 2 reader 0103000c.297a35f2.6ddf2a77.01000007 qos.user_data: cba
on_associated 1 reader 0103000c.297a35f2.6ddf2a77.00000007 with writer 0103000c.297a35f2.6de0cd10.00000002
on_associated 2 reader 0103000c.297a35f2.6ddf2a77.01000007 with writer 0103000c.297a35f2.6de0cd10.00000002
on_sample_read 1 reader 0103000c.297a35f2.6ddf2a77.00000007
on_sample_received 1 reader 0103000c.297a35f2.6ddf2a77.00000007
on_sample_received 2 reader 0103000c.297a35f2.6ddf2a77.01000007
on_sample_sent 2 writer 0103000c.297a35f2.6de0cd10.00000002
on_sample_read 2 reader 0103000c.297a35f2.6ddf2a77.00000007
on_sample_received 3 reader 0103000c.297a35f2.6ddf2a77.00000007
on_sample_received 4 reader 0103000c.297a35f2.6ddf2a77.01000007
on_qos_changed 1 writer 0103000c.297a35f2.6de0cd10.00000002 qos.user_data: abc
on_sample_sent 3 writer 0103000c.297a35f2.6de0cd10.00000002
on_sample_received 5 reader 0103000c.297a35f2.6ddf2a77.00000007
on_sample_taken 1 reader 0103000c.297a35f2.6ddf2a77.01000007
on_sample_received 6 reader 0103000c.297a35f2.6ddf2a77.01000007
on_sample_sent 4 writer 0103000c.297a35f2.6de0cd10.00000002
on_sample_read 3 reader 0103000c.297a35f2.6ddf2a77.00000007
on_sample_received 7 reader 0103000c.297a35f2.6ddf2a77.00000007
on_sample_received 8 reader 0103000c.297a35f2.6ddf2a77.01000007
on_sample_sent 5 writer 0103000c.297a35f2.6de0cd10.00000002
on_sample_received 9 reader 0103000c.297a35f2.6ddf2a77.00000007
on_sample_taken 2 reader 0103000c.297a35f2.6ddf2a77.01000007
on_sample_received 10 reader 0103000c.297a35f2.6ddf2a77.01000007
on_sample_sent 6 writer 0103000c.297a35f2.6de0cd10.00000002
on_sample_received 11 reader 0103000c.297a35f2.6ddf2a77.00000007
on_sample_taken 3 reader 0103000c.297a35f2.6ddf2a77.01000007
on_sample_received 12 reader 0103000c.297a35f2.6ddf2a77.01000007
Publisher cleanup
Publisher delete_contained_entities
on_deleted 1 writer 0103000c.297a35f2.6de0cd10.00000002
on_sample_taken 4 reader 0103000c.297a35f2.6ddf2a77.01000007
on_disassociated 1 writer 0103000c.297a35f2.6de0cd10.00000002 from reader 0103000c.297a35f2.6ddf2a77.00000007
on_disassociated 2 writer 0103000c.297a35f2.6de0cd10.00000002 from reader 0103000c.297a35f2.6ddf2a77.01000007
on_disassociated 1 reader 0103000c.297a35f2.6ddf2a77.00000007 from writer 0103000c.297a35f2.6de0cd10.00000002
check_ = 1
Publisher delete_participant
on_disassociated 2 reader 0103000c.297a35f2.6ddf2a77.01000007 from writer 0103000c.297a35f2.6de0cd10.00000002
Subscriber cleanup
Subscriber delete_contained_entities
on_deleted 1 reader 0103000c.297a35f2.6ddf2a77.00000007
on_deleted 2 reader 0103000c.297a35f2.6ddf2a77.01000007
Subscriber delete_participant
Publisher TheServiceParticipant.shutdown
check_ = 2
Publisher cleanup done
Subscriber TheServiceParticipant.shutdown
check_ = 3
Subscriber cleanup done
test PASSED.

auto_run_tests_finished: tests/DCPS/Observer/run_test.pl Time:9s Result:0

==============================================================================

tests/DCPS/ReliableBestEffortReaders/run_test.pl #

Testing best-effort readers:
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/subscriber  -DCPSConfigFile rtps_disc.ini -r 00 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 28140 started at 2023-04-21 22:15:04
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/publisher  -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 28141 started at 2023-04-21 22:15:04
Reader1: best-effort
Reader2: best-effort
Publisher waiting for subscriber...
Reader1 received 1:2:test
Reader2 received 1:2:test
Reader1 received 1:3:test
Reader2 received 1:3:test
Reader1 received 1:4:test
Reader2 received 1:4:test
Publisher cleanup
Reader1 received 1:5:test
Reader2 received 1:5:test
Reader1 received: 4/5
Reader2 received: 4/5
Subscriber cleanup
test PASSED.

Testing reliable readers:
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/subscriber  -DCPSConfigFile rtps_disc.ini -r 11 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 28153 started at 2023-04-21 22:15:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/publisher  -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 28154 started at 2023-04-21 22:15:05
Reader1: reliable
Reader2: reliable
Publisher waiting for subscriber...
Reader1 received 1:1:test
Reader2 received 1:1:test
Reader1 received 1:2:test
Reader2 received 1:2:test
Reader1 received 1:3:test
Reader2 received 1:3:test
Reader1 received 1:4:test
Reader2 received 1:4:test
Publisher cleanup
Reader1 received 1:5:test
Reader2 received 1:5:test
Reader1 received: 5/5
Reader2 received: 5/5
Subscriber cleanup
test PASSED.

Testing best-effort and reliable readers:
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/subscriber  -DCPSConfigFile rtps_disc.ini -r 01 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 28166 started at 2023-04-21 22:15:10
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/publisher  -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 28167 started at 2023-04-21 22:15:10
Reader1: best-effort
Reader2: reliable
Publisher waiting for subscriber...
Reader1 received 1:1:test
Reader2 received 1:1:test
Reader1 received 1:2:test
Reader2 received 1:2:test
Reader1 received 1:3:test
Reader2 received 1:3:test
Reader1 received 1:4:test
Reader2 received 1:4:test
Publisher cleanup
Reader1 received 1:5:test
Reader2 received 1:5:test
Reader1 received: 5/5
Reader2 received: 5/5
Subscriber cleanup
test PASSED.

Testing reliable and best-effort readers:
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/subscriber  -DCPSConfigFile rtps_disc.ini -r 10 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 28178 started at 2023-04-21 22:15:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/publisher  -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 28179 started at 2023-04-21 22:15:16
Reader1: reliable
Reader2: best-effort
Publisher waiting for subscriber...
Reader2 received 1:2:test
Reader1 received 1:1:test
Reader1 received 1:2:test
Reader1 received 1:3:test
Reader2 received 1:3:test
Reader1 received 1:4:test
Reader2 received 1:4:test
Publisher cleanup
Reader1 received 1:5:test
Reader2 received 1:5:test
Reader1 received: 5/5
Reader2 received: 4/5
Subscriber cleanup
test PASSED.

auto_run_tests_finished: tests/DCPS/ReliableBestEffortReaders/run_test.pl Time:18s Result:0

==============================================================================

tests/DCPS/WriteDataContainer/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/WriteDataContainer/WriteDataContainerTest -DcpsBit 0 -ORBVerboseLogging 1 -DCPSDebugLevel 10 -DCPSTransportDebugLevel 1 -ORBLogFile WriteDataContainerTest.log -DCPSPendingTimeout 3 
WriteDataContainerTest PID: 28191 started at 2023-04-21 22:15:21
(28191|28191) write data container test start
test PASSED.

auto_run_tests_finished: tests/DCPS/WriteDataContainer/run_test.pl Time:3s Result:0

==============================================================================

tests/transport/simple/run_test.pl bp #

simple_subscriber -p 1:localhost:28072 -s 2:localhost:15499 -n 400 -q
simple_publisher -p 1:localhost:28072 -s 2:localhost:15499 -n 400 -c 128 -q
(28195|28198) DataReader association with 01030000.00000000.00000001.00000102(99dbc960) is done flags=1.
(28199|28202) DataWriter association with 01030000.00000000.00000001.00000207(c29c6e2c) is done flags=3.
(28199|28199) Publisher total time required was 0.15893 seconds.
(28195|28195) Total time required is 0.15055 seconds.

auto_run_tests_finished: tests/transport/simple/run_test.pl bp Time:16s Result:0

==============================================================================

tests/transport/simple/run_test.pl n #

simple_subscriber -p 1:localhost:12143 -s 2:localhost:24329 -n 400
simple_publisher -p 1:localhost:12143 -s 2:localhost:24329 -n 400
(28212|28215) DataReader association with 01030000.00000000.00000001.00000102(99dbc960) is done flags=1.
(28216|28219) DataWriter association with 01030000.00000000.00000001.00000207(c29c6e2c) is done flags=3.
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [1]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [2]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [3]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [4]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [5]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [6]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [7]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [8]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [9]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [10]]
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [11]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [12]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [13]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [14]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [15]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [16]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [17]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [18]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [19]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [20]]
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [21]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [22]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [23]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [24]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [25]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [26]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [27]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [28]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [29]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [30]]
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [31]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [32]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [33]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [34]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [35]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [36]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [37]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [38]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [39]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [40]]
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [41]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [42]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [43]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [44]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [45]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [46]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [47]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [48]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [49]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [50]]
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [51]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [52]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [53]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [54]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [55]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [56]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [57]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [58]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [59]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [60]]
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [61]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [62]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [63]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [64]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [65]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [66]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [67]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [68]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [69]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [70]]
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [71]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [72]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [73]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [74]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [75]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [76]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [77]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [78]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [79]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [80]]
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [81]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [82]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [83]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [84]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [85]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [86]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [87]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [88]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [89]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [90]]
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [91]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [92]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [93]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [94]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [95]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [96]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [97]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [98]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [99]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [100]]
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [101]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [102]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [103]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [104]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [105]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [106]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [107]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [108]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [109]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [110]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [111]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [112]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [113]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [114]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [115]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [116]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [117]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [118]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [119]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [120]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [121]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [122]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [123]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [124]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [125]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [126]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [127]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [128]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [129]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [130]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [131]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [132]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [133]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [134]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [135]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [136]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [137]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [138]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [139]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [140]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [141]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [142]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [143]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [144]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [145]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [146]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [147]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [148]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [149]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [150]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [151]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [152]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [153]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [154]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [155]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [156]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [157]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [158]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [159]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [160]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [161]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [162]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [163]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [164]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [165]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [166]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [167]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [168]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [169]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [170]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [171]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [172]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [173]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [174]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [175]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [176]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [177]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [178]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [179]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [180]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [181]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [182]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [183]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [184]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [185]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [186]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [187]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [188]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [189]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [190]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [191]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [192]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [193]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [194]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [195]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [196]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [197]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [198]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [199]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [200]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [201]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [202]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [203]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [204]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [205]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [206]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [207]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [208]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [209]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [210]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [211]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [212]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [213]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [214]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [215]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [216]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [217]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [218]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [219]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [220]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [221]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [222]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [223]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [224]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [225]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [226]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [227]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [228]]
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) The transport has confirmed that a sample has been delivered.
(28216|28216) Publisher total time required was 0.21419 seconds.
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [229]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [230]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [231]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [232]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [233]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [234]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [235]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [236]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [237]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [238]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [239]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [240]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [241]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [242]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [243]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [244]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [245]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [246]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [247]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [248]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [249]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [250]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [251]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [252]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [253]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [254]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [255]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [256]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [257]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [258]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [259]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [260]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [261]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [262]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [263]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [264]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [265]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [266]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [267]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [268]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [269]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [270]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [271]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [272]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [273]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [274]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [275]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [276]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [277]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [278]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [279]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [280]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [281]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [282]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [283]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [284]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [285]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [286]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [287]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [288]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [289]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [290]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [291]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [292]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [293]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [294]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [295]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [296]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [297]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [298]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [299]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [300]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [301]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [302]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [303]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [304]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [305]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [306]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [307]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [308]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [309]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [310]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [311]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [312]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [313]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [314]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [315]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [316]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [317]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [318]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [319]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [320]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [321]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [322]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [323]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [324]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [325]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [326]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [327]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [328]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [329]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [330]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [331]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [332]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [333]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [334]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [335]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [336]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [337]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [338]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [339]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [340]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [341]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [342]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [343]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [344]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [345]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [346]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [347]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [348]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [349]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [350]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [351]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [352]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [353]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [354]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [355]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [356]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [357]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [358]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [359]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [360]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [361]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [362]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [363]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [364]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [365]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [366]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [367]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [368]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [369]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [370]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [371]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [372]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [373]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [374]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [375]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [376]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [377]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [378]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [379]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [380]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [381]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [382]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [383]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [384]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [385]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [386]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [387]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [388]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [389]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [390]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [391]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [392]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [393]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [394]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [395]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [396]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [397]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [398]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [399]]
(28212|28215) Data has been received:
(28212|28215) Message: [Hello World! [400]]
(28212|28212) Total time required is 0.33298 seconds.

auto_run_tests_finished: tests/transport/simple/run_test.pl n Time:2s Result:0

==============================================================================

tests/transport/simple/run_test.pl #

simple_subscriber -p 1:localhost:25233 -s 2:localhost:11652
simple_publisher -p 1:localhost:25233 -s 2:localhost:11652
(28221|28224) DataReader association with 01030000.00000000.00000001.00000102(99dbc960) is done flags=1.
(28225|28228) DataWriter association with 01030000.00000000.00000001.00000207(c29c6e2c) is done flags=3.
(28221|28224) Data has been received:
(28221|28224) Message: [Hello World! [1]]
(28225|28225) The transport has confirmed that a sample has been delivered.
(28225|28225) Publisher total time required was 0.871 seconds.
(28221|28221) Total time required is 0.0 seconds.

auto_run_tests_finished: tests/transport/simple/run_test.pl Time:2s Result:0

==============================================================================

tests/transport/simple/run_test.pl shmem bp #

simple_subscriber -p 1:localhost:23318 -s 2:localhost:16291 -m -n 400 -q
simple_publisher -p 1:localhost:23318 -s 2:localhost:16291 -m -n 400 -c 128 -q
(28230|28230) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(28235|28235) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(28230|28230) DataReader association with 01030000.00000000.00000001.00000102(99dbc960) is done flags=1.
(28235|28239) DataWriter association with 01030000.00000000.00000001.00000207(c29c6e2c) is done flags=3.
(28235|28235) Publisher total time required was 0.3870 seconds.
(28230|28230) Total time required is 0.11569 seconds.

auto_run_tests_finished: tests/transport/simple/run_test.pl shmem bp Time:19s Result:0

==============================================================================

tests/transport/simple/run_test.pl shmem n #

simple_subscriber -p 1:localhost:17563 -s 2:localhost:30863 -m -n 400
simple_publisher -p 1:localhost:17563 -s 2:localhost:30863 -m -n 400
(28246|28246) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(28251|28251) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(28246|28246) DataReader association with 01030000.00000000.00000001.00000102(99dbc960) is done flags=1.
(28251|28255) DataWriter association with 01030000.00000000.00000001.00000207(c29c6e2c) is done flags=3.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [1]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [2]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [3]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [4]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [5]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [6]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [7]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [8]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [9]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [10]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [11]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [12]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [13]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [14]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [15]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [16]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [17]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [18]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [19]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [20]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [21]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [22]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [23]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [24]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [25]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [26]]
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [27]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [28]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [29]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [30]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [31]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [32]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [33]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [34]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [35]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [36]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [37]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [38]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [39]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [40]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [41]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [42]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [43]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [44]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [45]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [46]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [47]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [48]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [49]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [50]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [51]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [52]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [53]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [54]]
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [55]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [56]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [57]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [58]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [59]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [60]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [61]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [62]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [63]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [64]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [65]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [66]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [67]]
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [68]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [69]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [70]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [71]]
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [72]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [73]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [74]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [75]]
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [76]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [77]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [78]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [79]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [80]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [81]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [82]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [83]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [84]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [85]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [86]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [87]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [88]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [89]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [90]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [91]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [92]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [93]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [94]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [95]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [96]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [97]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [98]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [99]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [100]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [101]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [102]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [103]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [104]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [105]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [106]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [107]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [108]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [109]]
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [110]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [111]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [112]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [113]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [114]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [115]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [116]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [117]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [118]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [119]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [120]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [121]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [122]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [123]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [124]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [125]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [126]]
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [127]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [128]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [129]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [130]]
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [131]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [132]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [133]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [134]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [135]]
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [136]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [137]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [138]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [139]]
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [140]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [141]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [142]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [143]]
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [144]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [145]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [146]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [147]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [148]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [149]]
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [150]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [151]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [152]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [153]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [154]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [155]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [156]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [157]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [158]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [159]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [160]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [161]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [162]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [163]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [164]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [165]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [166]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [167]]
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [168]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [169]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [170]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [171]]
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [172]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [173]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Message: [Hello World! [174]]
(28251|28251) The transport has confirmed that a sample has been delivered.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [175]]
(28251|28251) Publisher total time required was 0.9176 seconds.
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [176]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [177]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [178]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [179]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [180]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [181]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [182]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [183]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [184]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [185]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [186]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [187]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [188]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [189]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [190]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [191]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [192]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [193]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [194]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [195]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [196]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [197]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [198]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [199]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [200]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [201]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [202]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [203]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [204]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [205]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [206]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [207]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [208]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [209]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [210]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [211]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [212]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [213]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [214]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [215]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [216]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [217]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [218]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [219]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [220]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [221]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [222]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [223]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [224]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [225]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [226]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [227]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [228]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [229]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [230]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [231]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [232]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [233]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [234]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [235]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [236]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [237]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [238]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [239]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [240]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [241]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [242]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [243]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [244]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [245]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [246]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [247]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [248]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [249]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [250]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [251]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [252]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [253]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [254]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [255]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [256]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [257]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [258]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [259]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [260]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [261]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [262]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [263]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [264]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [265]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [266]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [267]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [268]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [269]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [270]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [271]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [272]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [273]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [274]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [275]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [276]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [277]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [278]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [279]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [280]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [281]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [282]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [283]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [284]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [285]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [286]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [287]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [288]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [289]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [290]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [291]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [292]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [293]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [294]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [295]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [296]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [297]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [298]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [299]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [300]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [301]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [302]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [303]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [304]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [305]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [306]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [307]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [308]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [309]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [310]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [311]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [312]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [313]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [314]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [315]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [316]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [317]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [318]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [319]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [320]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [321]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [322]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [323]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [324]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [325]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [326]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [327]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [328]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [329]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [330]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [331]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [332]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [333]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [334]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [335]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [336]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [337]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [338]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [339]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [340]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [341]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [342]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [343]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [344]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [345]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [346]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [347]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [348]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [349]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [350]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [351]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [352]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [353]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [354]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [355]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [356]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [357]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [358]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [359]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [360]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [361]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [362]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [363]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [364]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [365]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [366]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [367]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [368]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [369]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [370]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [371]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [372]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [373]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [374]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [375]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [376]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [377]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [378]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [379]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [380]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [381]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [382]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [383]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [384]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [385]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [386]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [387]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [388]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [389]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [390]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [391]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [392]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [393]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [394]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [395]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [396]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [397]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [398]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [399]]
(28246|28250) Data has been received:
(28246|28250) Message: [Hello World! [400]]
(28246|28246) Total time required is 0.19121 seconds.

auto_run_tests_finished: tests/transport/simple/run_test.pl shmem n Time:4s Result:0

==============================================================================

tests/transport/simple/run_test.pl shmem #

simple_subscriber -p 1:localhost:14962 -s 2:localhost:12262 -m
simple_publisher -p 1:localhost:14962 -s 2:localhost:12262 -m
(28257|28257) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(28262|28262) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(28257|28257) DataReader association with 01030000.00000000.00000001.00000102(99dbc960) is done flags=1.
(28262|28266) DataWriter association with 01030000.00000000.00000001.00000207(c29c6e2c) is done flags=3.
(28262|28262) The transport has confirmed that a sample has been delivered.
(28262|28262) Publisher total time required was 0.426 seconds.
(28257|28261) Data has been received:
(28257|28261) Message: [Hello World! [1]]
(28257|28257) Total time required is 0.0 seconds.

auto_run_tests_finished: tests/transport/simple/run_test.pl shmem Time:4s Result:0

==============================================================================

tests/transport/error_handling/run_test.pl #

test PASSED.

auto_run_tests_finished: tests/transport/error_handling/run_test.pl Time:0s Result:0

==============================================================================

tests/transport/network_resource/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/network_resource/NetworkResource  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile nr.log -DCPSPendingTimeout 3 
nr PID: 28275 started at 2023-04-21 22:16:11
[==========] Running 2 tests from 1 test case.
[----------] Global test environment set-up.
[----------] 2 tests from dds_DCPS_NetworkResource
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_double
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_double (68 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_triple
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_triple (186 ms)
[----------] 2 tests from dds_DCPS_NetworkResource (254 ms total)

[----------] Global test environment tear-down
[==========] 2 tests from 1 test case ran. (260 ms total)
[  PASSED  ] 2 tests.
test PASSED.

auto_run_tests_finished: tests/transport/network_resource/run_test.pl Time:1s Result:0

==============================================================================

performance-tests/DCPS/InfoRepo_population/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -NOBITS -o repo.ior
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/syncServer  -p2 -s1
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/publisher   -DCPSConfigFile pub.ini -DCPSBit 0 -t5 -n5 -p5 -s5
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/publisher   -DCPSConfigFile pub.ini -DCPSBit 0 -t5 -n5 -p5 -s5
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/subscriber   -DCPSConfigFile sub.ini -DCPSBit 0 -t5 -n5 -s5 -p10
(28286|28286) NOTICE: using DCPSBit value from command option (overrides value if it's in config file)
(28287|28287) NOTICE: using DCPSBit value from command option (overrides value if it's in config file)
(28288|28288) NOTICE: using DCPSBit value from command option (overrides value if it's in config file)
(28288|28288) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(28286|28286) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(28287|28287) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.

Role: Topic,  15 instances in 34 milliseconds.
Role: Participant,  15 instances in 5 milliseconds.
Role: Publisher,  10 instances in 206 milliseconds.
Role: Subscriber,  5 instances in 1016 milliseconds.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: performance-tests/DCPS/InfoRepo_population/run_test.pl Time:2s Result:0

==============================================================================

DevGuideExamples/DCPS/Messenger/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -ORBDebugLevel 1 -ORBLogFile DCPSInfoRepo.log -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 28305
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger/subscriber  -ORBDebugLevel 1 -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 28312 started at 2023-04-21 22:16:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger/publisher  -ORBDebugLevel 1 -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 28313 started at 2023-04-21 22:16:14
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 100
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 101
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 102
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 103
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 104
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 105
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 106
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 107
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 108
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
test PASSED.

auto_run_tests_finished: DevGuideExamples/DCPS/Messenger/run_test.pl Time:0s Result:0

==============================================================================

DevGuideExamples/DCPS/Messenger/run_test.pl --rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger/subscriber  -ORBDebugLevel 1 -DCPSConfigFile rtps.ini -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 28329 started at 2023-04-21 22:16:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger/publisher  -ORBDebugLevel 1 -DCPSConfigFile rtps.ini -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 28330 started at 2023-04-21 22:16:14
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 100
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 101
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 102
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 103
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 104
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 105
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 106
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 107
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 108
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
test PASSED.

auto_run_tests_finished: DevGuideExamples/DCPS/Messenger/run_test.pl --rtps Time:5s Result:0

==============================================================================

DevGuideExamples/DCPS/Messenger_ZeroCopy/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile DCPSInfoRepo.log -o repo.ior 
TAO (28342|28342) - Completed initializing the process-wide service context
TAO (28342|28342) - Default ORB services initialization begins
TAO (28342|28342) - ORBInitializer_Registry::register_orb_initializer 0 @0x18fa800
TAO (28342|28342) - ORBInitializer_Registry::register_orb_initializer 1 @0x18f7c00
TAO (28342|28342) - Default ORB services initialization completed
TAO (28342|28342) - We are the default ORB ...
TAO (28342|28342) - Initializing the orb-specific services
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger_ZeroCopy/publisher  -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile publisher.log
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger_ZeroCopy/subscriber  -ORBDebugLevel 10 -DCPSDebugLevel 10 -DCPSTransportDebugLevel 6 -ORBLogFile subscriber.log
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 2
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.

auto_run_tests_finished: DevGuideExamples/DCPS/Messenger_ZeroCopy/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Messenger/run_test.pl udp #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -ORBDebugLevel 1 -ORBLogFile DCPSInfoRepo.log  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 28367
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/subscriber -ORBDebugLevel 1 -DCPSConfigFile sub_udp.ini -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 28374 started at 2023-04-21 22:16:20
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/publisher -ORBDebugLevel 1 -DCPSConfigFile pub_udp.ini -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 28375 started at 2023-04-21 22:16:20
Starting publisher
Starting publisher with 1 args
Transport is UN-RELIABLE
Start Writing Samples
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
deleting contained entities
deleting participant
shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
test PASSED.

auto_run_tests_finished: tests/DCPS/Messenger/run_test.pl udp Time:11s Result:0

==============================================================================

tests/DCPS/Messenger/run_test.pl default_udp #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -ORBDebugLevel 1 -ORBLogFile DCPSInfoRepo.log  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 28388
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/subscriber -ORBDebugLevel 1 -t udp -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 28395 started at 2023-04-21 22:16:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/publisher -ORBDebugLevel 1 -t udp -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 28396 started at 2023-04-21 22:16:30
Starting publisher
Starting publisher with 3 args
Transport is UN-RELIABLE
Start Writing Samples
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
deleting contained entities
deleting participant
shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
test PASSED.

auto_run_tests_finished: tests/DCPS/Messenger/run_test.pl default_udp Time:11s Result:0

==============================================================================

tests/DCPS/RecorderReplayer/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -ORBDebugLevel 1 -ORBLogFile DCPSInfoRepo.log   -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 28421
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/publisher -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile pub.log -DCPSConfigFile pub.ini
publisher PID: 28428 started at 2023-04-21 22:16:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile sub.log -DCPSConfigFile sub.ini
subscriber PID: 28429 started at 2023-04-21 22:16:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/relay -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile relay.log -DCPSConfigFile relay.ini
relay PID: 28430 started at 2023-04-21 22:16:41
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 100
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 101
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 102
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 103
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 104
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 105
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 106
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 107
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 108
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
test PASSED.

auto_run_tests_finished: tests/DCPS/RecorderReplayer/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/RecorderReplayer/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/publisher -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile pub.log -DCPSConfigFile rtps_disc.ini
publisher PID: 28452 started at 2023-04-21 22:16:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile sub.log -DCPSConfigFile rtps_disc.ini
subscriber PID: 28453 started at 2023-04-21 22:16:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/relay -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile relay.log -DCPSConfigFile rtps_disc.ini
relay PID: 28454 started at 2023-04-21 22:16:41
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 104
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 105
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 106
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 107
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 108
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
test PASSED.

auto_run_tests_finished: tests/DCPS/RecorderReplayer/run_test.pl rtps_disc Time:3s Result:0

==============================================================================

tests/DCPS/RecorderLogging/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -ORBDebugLevel 1 -ORBLogFile DCPSInfoRepo.log   -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 28471
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderLogging/publisher -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile pub.log -DCPSConfigFile pub.ini
publisher PID: 28478 started at 2023-04-21 22:16:44
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderLogging/recorder -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile recorder.log -DCPSConfigFile recorder.ini
recorder PID: 28479 started at 2023-04-21 22:16:44
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
test PASSED.

auto_run_tests_finished: tests/DCPS/RecorderLogging/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/RecorderLogging/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderLogging/publisher -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile pub.log -DCPSConfigFile rtps_disc.ini
publisher PID: 28495 started at 2023-04-21 22:16:44
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderLogging/recorder -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile recorder.log -DCPSConfigFile rtps_disc.ini
recorder PID: 28496 started at 2023-04-21 22:16:44
test PASSED.

auto_run_tests_finished: tests/DCPS/RecorderLogging/run_test.pl rtps_disc Time:2s Result:0

==============================================================================

examples/DCPS/Messenger_Imr/run_test.pl #

>>> /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/TAO/orbsvcs/ImplRepo_Service/tao_imr_locator -o imr.ior  -orbendpoint iiop://:12264
>>> /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/TAO/orbsvcs/ImplRepo_Service/tao_imr_activator -o activator.ior -ORBInitRef ImplRepoService=file://imr.ior  -orbendpoint iiop://:30099
>>> /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -NOBITS -o repo.ior  -ORBuseimr 1 -ORBInitRef ImplRepoService=file://imr.ior
>>> /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin/tao_imr -ORBInitRef ImplRepoService=file://imr.ior shutdown InfoRepo
Successfully shut down server <InfoRepo>
>>> /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin/tao_imr -ORBInitRef ImplRepoService=file://imr.ior update InfoRepo -l flea -c "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/.//DCPSInfoRepo  -NOBITS -o repo.ior  -ORBuseimr 1 -ORBInitRef ImplRepoService=file://imr.ior"
Successfully registered <InfoRepo>.
>>> /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin/tao_imr -ORBInitRef ImplRepoService=file://imr.ior list -v
Server <InfoRepo>
  Activator: flea
  Command Line: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/.//DCPSInfoRepo  -NOBITS -o repo.ior  -ORBuseimr 1 -ORBInitRef ImplRepoService=file://imr.ior
  Working Directory: 
  Activation Mode: NORMAL
  Number of retries: 0
  Not currently running

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_Imr/publisher   -DCPSConfigFile pub.ini -orbendpoint iiop://:12954
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_Imr/subscriber   -DCPSConfigFile sub.ini
(28520|28520) Writer::start
(28520|28537) Writer::svc begins.
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
(28520|28537)  22:16:48.375470 Writer::svc starting to write.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
(28520|28537) Writer::svc finished.
(28520|28520) Writer::end
>>> /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin/tao_imr -ORBInitRef ImplRepoService=file://imr.ior shutdown InfoRepo
Successfully shut down server <InfoRepo>
test PASSED.

auto_run_tests_finished: examples/DCPS/Messenger_Imr/run_test.pl Time:6s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 28540
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_1.log
pub_1 PID: 28547 started at 2023-04-21 22:16:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log
sub_1 PID: 28548 started at 2023-04-21 22:16:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_2.log
pub_2 PID: 28549 started at 2023-04-21 22:16:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log
sub_2 PID: 28550 started at 2023-04-21 22:16:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_3.log
pub_3 PID: 28551 started at 2023-04-21 22:16:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log
sub_3 PID: 28552 started at 2023-04-21 22:16:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_4.log
pub_4 PID: 28553 started at 2023-04-21 22:16:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log
sub_4 PID: 28554 started at 2023-04-21 22:16:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_5.log
pub_5 PID: 28555 started at 2023-04-21 22:16:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log
sub_5 PID: 28556 started at 2023-04-21 22:16:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_6.log
pub_6 PID: 28557 started at 2023-04-21 22:16:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log
sub_6 PID: 28558 started at 2023-04-21 22:16:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_7.log
pub_7 PID: 28559 started at 2023-04-21 22:16:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log
sub_7 PID: 28560 started at 2023-04-21 22:16:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_8.log
pub_8 PID: 28561 started at 2023-04-21 22:16:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log
sub_8 PID: 28562 started at 2023-04-21 22:16:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_9.log
pub_9 PID: 28563 started at 2023-04-21 22:16:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log
sub_9 PID: 28564 started at 2023-04-21 22:16:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_10.log
pub_10 PID: 28565 started at 2023-04-21 22:16:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log
sub_10 PID: 28566 started at 2023-04-21 22:16:52
(28540|28540) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Subscriber 28550 got new message data:
 - From  : 28553
 - Count : 1
Subscriber 28550 is done. Exiting.
Subscriber 28554 got new message data:
 - From  : 28553
 - Count : 1
Subscriber 28554 is done. Exiting.
Subscriber 28558 got new message data:
 - From  : 28553
 - Count : 1
Subscriber 28558 is done. Exiting.
Subscriber 28552 got new message data:
 - From  : 28553
 - Count : 1
Subscriber 28552 is done. Exiting.
Subscriber 28554 got new message data:
 - From  : 28553
 - Count : 2
Subscriber 28554 got new message data:
 - From  : 28553
 - Count : 3
Subscriber 28562 got new message data:
 - From  : 28553
 - Count : 16
Subscriber 28562 is done. Exiting.
Subscriber 28548 got new message data:
 - From  : 28553
 - Count : 22
Subscriber 28548 is done. Exiting.
Subscriber 28548 got new message data:
 - From  : 28559
 - Count : 12
Subscriber 28548 got new message data:
 - From  : 28553
 - Count : 23
Subscriber 28556 got new message data:
 - From  : 28553
 - Count : 34
Subscriber 28556 is done. Exiting.
Subscriber 28560 got new message data:
 - From  : 28553
 - Count : 63
Subscriber 28560 got new message data:
 - From  : 28561
 - Count : 34
Subscriber 28560 is done. Exiting.
Subscriber 28560 got new message data:
 - From  : 28559
 - Count : 53
Subscriber 28560 got new message data:
 - From  : 28557
 - Count : 10
Subscriber 28560 got new message data:
 - From  : 28553
 - Count : 64
Subscriber 28560 got new message data:
 - From  : 28551
 - Count : 22
Subscriber 28560 got new message data:
 - From  : 28561
 - Count : 35
Subscriber 28560 got new message data:
 - From  : 28559
 - Count : 54
Subscriber 28560 got new message data:
 - From  : 28553
 - Count : 65
Subscriber 28560 got new message data:
 - From  : 28551
 - Count : 23
Subscriber 28560 got new message data:
 - From  : 28561
 - Count : 36
Subscriber 28560 got new message data:
 - From  : 28557
 - Count : 11
Subscriber 28560 got new message data:
 - From  : 28559
 - Count : 55
Subscriber 28560 got new message data:
 - From  : 28565
 - Count : 1
Subscriber 28560 got new message data:
 - From  : 28553
 - Count : 66
Subscriber 28560 got new message data:
 - From  : 28557
 - Count : 12
Subscriber 28560 got new message data:
 - From  : 28551
 - Count : 24
Subscriber 28560 got new message data:
 - From  : 28561
 - Count : 37
Subscriber 28560 got new message data:
 - From  : 28559
 - Count : 56
Subscriber 28560 got new message data:
 - From  : 28565
 - Count : 2
Subscriber 28560 got new message data:
 - From  : 28553
 - Count : 67
Subscriber 28560 got new message data:
 - From  : 28557
 - Count : 13
Subscriber 28560 got new message data:
 - From  : 28551
 - Count : 25
Subscriber 28560 got new message data:
 - From  : 28561
 - Count : 38
Subscriber 28560 got new message data:
 - From  : 28559
 - Count : 57
Subscriber 28560 got new message data:
 - From  : 28565
 - Count : 3
Subscriber 28560 got new message data:
 - From  : 28553
 - Count : 68
Subscriber 28560 got new message data:
 - From  : 28551
 - Count : 26
Subscriber 28560 got new message data:
 - From  : 28561
 - Count : 39
Subscriber 28560 got new message data:
 - From  : 28557
 - Count : 14
Subscriber 28560 got new message data:
 - From  : 28559
 - Count : 58
Subscriber 28560 got new message data:
 - From  : 28565
 - Count : 4
Subscriber 28560 got new message data:
 - From  : 28553
 - Count : 69
Subscriber 28560 got new message data:
 - From  : 28557
 - Count : 15
Subscriber 28560 got new message data:
 - From  : 28551
 - Count : 27
Subscriber 28560 got new message data:
 - From  : 28561
 - Count : 40
Subscriber 28560 got new message data:
 - From  : 28559
 - Count : 59
Subscriber 28560 got new message data:
 - From  : 28565
 - Count : 5
Subscriber 28560 got new message data:
 - From  : 28553
 - Count : 70
Subscriber 28560 got new message data:
 - From  : 28551
 - Count : 28
Subscriber 28560 got new message data:
 - From  : 28561
 - Count : 41
Subscriber 28560 got new message data:
 - From  : 28557
 - Count : 16
Subscriber 28560 got new message data:
 - From  : 28559
 - Count : 60
Subscriber 28560 got new message data:
 - From  : 28565
 - Count : 6
Subscriber 28560 got new message data:
 - From  : 28553
 - Count : 71
Subscriber 28560 got new message data:
 - From  : 28551
 - Count : 29
Subscriber 28560 got new message data:
 - From  : 28561
 - Count : 42
Subscriber 28560 got new message data:
 - From  : 28557
 - Count : 17
Subscriber 28560 got new message data:
 - From  : 28559
 - Count : 61
Subscriber 28560 got new message data:
 - From  : 28565
 - Count : 7
Subscriber 28560 got new message data:
 - From  : 28553
 - Count : 72
Subscriber 28560 got new message data:
 - From  : 28551
 - Count : 30
Subscriber 28560 got new message data:
 - From  : 28557
 - Count : 18
Subscriber 28560 got new message data:
 - From  : 28561
 - Count : 43
Subscriber 28560 got new message data:
 - From  : 28553
 - Count : 73
Subscriber 28560 got new message data:
 - From  : 28551
 - Count : 31
Subscriber 28560 got new message data:
 - From  : 28559
 - Count : 62
Subscriber 28560 got new message data:
 - From  : 28561
 - Count : 44
Subscriber 28560 got new message data:
 - From  : 28557
 - Count : 19
Subscriber 28560 got new message data:
 - From  : 28565
 - Count : 8
Subscriber 28560 got new message data:
 - From  : 28559
 - Count : 63
Subscriber 28560 got new message data:
 - From  : 28565
 - Count : 9
Subscriber 28560 got new message data:
 - From  : 28553
 - Count : 74
Subscriber 28560 got new message data:
 - From  : 28557
 - Count : 20
Subscriber 28560 got new message data:
 - From  : 28551
 - Count : 32
Subscriber 28560 got new message data:
 - From  : 28561
 - Count : 45
Subscriber 28560 got new message data:
 - From  : 28559
 - Count : 64
Subscriber 28560 got new message data:
 - From  : 28565
 - Count : 10
Subscriber 28560 got new message data:
 - From  : 28553
 - Count : 75
Subscriber 28560 got new message data:
 - From  : 28557
 - Count : 21
Subscriber 28560 got new message data:
 - From  : 28551
 - Count : 33
Subscriber 28560 got new message data:
 - From  : 28561
 - Count : 46
Subscriber 28560 got new message data:
 - From  : 28549
 - Count : 1
Subscriber 28566 got new message data:
 - From  : 28553
 - Count : 98
Subscriber 28566 is done. Exiting.
Subscriber 28566 got new message data:
 - From  : 28553
 - Count : 99
Subscriber 28566 got new message data:
 - From  : 28559
 - Count : 87
Subscriber 28566 got new message data:
 - From  : 28559
 - Count : 88
Subscriber 28566 got new message data:
 - From  : 28561
 - Count : 69
Subscriber 28566 got new message data:
 - From  : 28551
 - Count : 56
Subscriber 28566 got new message data:
 - From  : 28557
 - Count : 44
Subscriber 28566 got new message data:
 - From  : 28565
 - Count : 34
Subscriber 28566 got new message data:
 - From  : 28557
 - Count : 45
Subscriber 28566 got new message data:
 - From  : 28553
 - Count : 100
Subscriber 28566 got new message data:
 - From  : 28559
 - Count : 89
Subscriber 28566 got new message data:
 - From  : 28561
 - Count : 70
Subscriber 28566 got new message data:
 - From  : 28551
 - Count : 57
Subscriber 28566 got new message data:
 - From  : 28565
 - Count : 35
Subscriber 28566 got new message data:
 - From  : 28549
 - Count : 25
Subscriber 28566 got new message data:
 - From  : 28563
 - Count : 16
Subscriber 28566 got new message data:
 - From  : 28561
 - Count : 71
Subscriber 28566 got new message data:
 - From  : 28551
 - Count : 58
Subscriber 28566 got new message data:
 - From  : 28557
 - Count : 46
Subscriber 28566 got new message data:
 - From  : 28549
 - Count : 26
Subscriber 28566 got new message data:
 - From  : 28553
 - Count : 101
Subscriber 28566 got new message data:
 - From  : 28559
 - Count : 90
Subscriber 28566 got new message data:
 - From  : 28565
 - Count : 36
Subscriber 28566 got new message data:
 - From  : 28553
 - Count : 102
Subscriber 28566 got new message data:
 - From  : 28563
 - Count : 17
Subscriber 28566 got new message data:
 - From  : 28559
 - Count : 91
Subscriber 28566 got new message data:
 - From  : 28561
 - Count : 72
Subscriber 28566 got new message data:
 - From  : 28551
 - Count : 59
Subscriber 28566 got new message data:
 - From  : 28557
 - Count : 47
Subscriber 28566 got new message data:
 - From  : 28565
 - Count : 37
Subscriber 28566 got new message data:
 - From  : 28549
 - Count : 27
Subscriber 28566 got new message data:
 - From  : 28557
 - Count : 48
Subscriber 28566 got new message data:
 - From  : 28549
 - Count : 28
Subscriber 28566 got new message data:
 - From  : 28563
 - Count : 18
Subscriber 28566 got new message data:
 - From  : 28561
 - Count : 73
Subscriber 28566 got new message data:
 - From  : 28551
 - Count : 60
Subscriber 28566 got new message data:
 - From  : 28553
 - Count : 103
Subscriber 28566 got new message data:
 - From  : 28559
 - Count : 92
Subscriber 28566 got new message data:
 - From  : 28565
 - Count : 38
Subscriber 28566 got new message data:
 - From  : 28557
 - Count : 49
Subscriber 28564 got new message data:
 - From  : 28553
 - Count : 103
Subscriber 28564 is done. Exiting.
Publisher 28553 is done. Exiting.
Publisher 28559 is done. Exiting.
Publisher 28561 is done. Exiting.
Publisher 28551 is done. Exiting.
Publisher 28557 is done. Exiting.
Publisher 28565 is done. Exiting.
Publisher 28549 is done. Exiting.
Publisher 28555 is done. Exiting.
Publisher 28563 is done. Exiting.
Publisher 28547 is done. Exiting.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl publishers 10 subscribers 10 Time:10s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl rtps publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 28690
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_1.log -DCPSConfigFile rtps.ini 
pub_1 PID: 28697 started at 2023-04-21 22:17:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log -DCPSConfigFile rtps.ini 
sub_1 PID: 28698 started at 2023-04-21 22:17:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_2.log -DCPSConfigFile rtps.ini 
pub_2 PID: 28699 started at 2023-04-21 22:17:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log -DCPSConfigFile rtps.ini 
sub_2 PID: 28700 started at 2023-04-21 22:17:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_3.log -DCPSConfigFile rtps.ini 
pub_3 PID: 28701 started at 2023-04-21 22:17:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log -DCPSConfigFile rtps.ini 
sub_3 PID: 28702 started at 2023-04-21 22:17:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_4.log -DCPSConfigFile rtps.ini 
pub_4 PID: 28703 started at 2023-04-21 22:17:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log -DCPSConfigFile rtps.ini 
sub_4 PID: 28704 started at 2023-04-21 22:17:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_5.log -DCPSConfigFile rtps.ini 
pub_5 PID: 28705 started at 2023-04-21 22:17:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log -DCPSConfigFile rtps.ini 
sub_5 PID: 28706 started at 2023-04-21 22:17:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_6.log -DCPSConfigFile rtps.ini 
pub_6 PID: 28707 started at 2023-04-21 22:17:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log -DCPSConfigFile rtps.ini 
sub_6 PID: 28708 started at 2023-04-21 22:17:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_7.log -DCPSConfigFile rtps.ini 
pub_7 PID: 28709 started at 2023-04-21 22:17:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log -DCPSConfigFile rtps.ini 
sub_7 PID: 28710 started at 2023-04-21 22:17:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_8.log -DCPSConfigFile rtps.ini 
pub_8 PID: 28711 started at 2023-04-21 22:17:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log -DCPSConfigFile rtps.ini 
sub_8 PID: 28712 started at 2023-04-21 22:17:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_9.log -DCPSConfigFile rtps.ini 
pub_9 PID: 28713 started at 2023-04-21 22:17:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log -DCPSConfigFile rtps.ini 
sub_9 PID: 28714 started at 2023-04-21 22:17:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_10.log -DCPSConfigFile rtps.ini 
pub_10 PID: 28715 started at 2023-04-21 22:17:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log -DCPSConfigFile rtps.ini 
sub_10 PID: 28716 started at 2023-04-21 22:17:02
(28690|28690) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Subscriber 28700 got new message data:
 - From  : 28697
 - Count : 1
Subscriber 28700 is done. Exiting.
Subscriber 28704 got new message data:
 - From  : 28697
 - Count : 1
Subscriber 28698 got new message data:
 - From  : 28697
 - Count : 1
Subscriber 28698 is done. Exiting.
Subscriber 28702 got new message data:
 - From  : 28697
 - Count : 1
Subscriber 28702 is done. Exiting.
Subscriber 28704 is done. Exiting.
Subscriber 28706 got new message data:
 - From  : 28697
 - Count : 1
Subscriber 28706 is done. Exiting.
Subscriber 28704 got new message data:
 - From  : 28697
 - Count : 2
Subscriber 28698 got new message data:
 - From  : 28697
 - Count : 2
Subscriber 28698 got new message data:
 - From  : 28697
 - Count : 3
Subscriber 28704 got new message data:
 - From  : 28697
 - Count : 3
Subscriber 28698 got new message data:
 - From  : 28697
 - Count : 4
Subscriber 28704 got new message data:
 - From  : 28697
 - Count : 4
Subscriber 28704 got new message data:
 - From  : 28697
 - Count : 5
Subscriber 28704 got new message data:
 - From  : 28697
 - Count : 6
Subscriber 28704 got new message data:
 - From  : 28697
 - Count : 7
Subscriber 28704 got new message data:
 - From  : 28697
 - Count : 8
Subscriber 28716 got new message data:
 - From  : 28697
 - Count : 22
Subscriber 28716 got new message data:
 - From  : 28701
 - Count : 7
Subscriber 28716 is done. Exiting.
Subscriber 28716 got new message data:
 - From  : 28715
 - Count : 4
Subscriber 28716 got new message data:
 - From  : 28697
 - Count : 23
Subscriber 28710 got new message data:
 - From  : 28715
 - Count : 16
Subscriber 28710 got new message data:
 - From  : 28697
 - Count : 35
Subscriber 28710 got new message data:
 - From  : 28701
 - Count : 20
Subscriber 28710 is done. Exiting.
Subscriber 28710 got new message data:
 - From  : 28709
 - Count : 7
Subscriber 28710 got new message data:
 - From  : 28715
 - Count : 17
Subscriber 28712 got new message data:
 - From  : 28715
 - Count : 63
Subscriber 28712 got new message data:
 - From  : 28701
 - Count : 67
Subscriber 28712 got new message data:
 - From  : 28697
 - Count : 82
Subscriber 28712 got new message data:
 - From  : 28709
 - Count : 54
Subscriber 28712 got new message data:
 - From  : 28715
 - Count : 64
Subscriber 28712 got new message data:
 - From  : 28697
 - Count : 83
Subscriber 28712 got new message data:
 - From  : 28703
 - Count : 13
Subscriber 28712 is done. Exiting.
Subscriber 28708 got new message data:
 - From  : 28715
 - Count : 81
Subscriber 28708 got new message data:
 - From  : 28701
 - Count : 85
Subscriber 28708 got new message data:
 - From  : 28697
 - Count : 100
Subscriber 28708 got new message data:
 - From  : 28703
 - Count : 31
Subscriber 28708 got new message data:
 - From  : 28715
 - Count : 82
Subscriber 28708 got new message data:
 - From  : 28701
 - Count : 86
Subscriber 28708 got new message data:
 - From  : 28697
 - Count : 101
Subscriber 28708 got new message data:
 - From  : 28699
 - Count : 40
Subscriber 28708 got new message data:
 - From  : 28709
 - Count : 73
Subscriber 28708 is done. Exiting.
Subscriber 28714 got new message data:
 - From  : 28715
 - Count : 96
Subscriber 28714 got new message data:
 - From  : 28701
 - Count : 100
Subscriber 28714 is done. Exiting.
Publisher 28697 is done. Exiting.
Publisher 28701 is done. Exiting.
Publisher 28715 is done. Exiting.
Publisher 28709 is done. Exiting.
Publisher 28699 is done. Exiting.
Publisher 28703 is done. Exiting.
Publisher 28705 is done. Exiting.
Publisher 28707 is done. Exiting.
Publisher 28711 is done. Exiting.
Publisher 28713 is done. Exiting.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl rtps publishers 10 subscribers 10 Time:10s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl rtps_disc publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_1.log -DCPSConfigFile rtps_disc.ini 
pub_1 PID: 28842 started at 2023-04-21 22:17:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log -DCPSConfigFile rtps_disc.ini 
sub_1 PID: 28843 started at 2023-04-21 22:17:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_2.log -DCPSConfigFile rtps_disc.ini 
pub_2 PID: 28844 started at 2023-04-21 22:17:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log -DCPSConfigFile rtps_disc.ini 
sub_2 PID: 28845 started at 2023-04-21 22:17:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_3.log -DCPSConfigFile rtps_disc.ini 
pub_3 PID: 28846 started at 2023-04-21 22:17:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log -DCPSConfigFile rtps_disc.ini 
sub_3 PID: 28847 started at 2023-04-21 22:17:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_4.log -DCPSConfigFile rtps_disc.ini 
pub_4 PID: 28848 started at 2023-04-21 22:17:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log -DCPSConfigFile rtps_disc.ini 
sub_4 PID: 28849 started at 2023-04-21 22:17:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_5.log -DCPSConfigFile rtps_disc.ini 
pub_5 PID: 28850 started at 2023-04-21 22:17:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log -DCPSConfigFile rtps_disc.ini 
sub_5 PID: 28851 started at 2023-04-21 22:17:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_6.log -DCPSConfigFile rtps_disc.ini 
pub_6 PID: 28852 started at 2023-04-21 22:17:13
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log -DCPSConfigFile rtps_disc.ini 
sub_6 PID: 28853 started at 2023-04-21 22:17:13
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_7.log -DCPSConfigFile rtps_disc.ini 
pub_7 PID: 28854 started at 2023-04-21 22:17:13
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log -DCPSConfigFile rtps_disc.ini 
sub_7 PID: 28855 started at 2023-04-21 22:17:13
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_8.log -DCPSConfigFile rtps_disc.ini 
pub_8 PID: 28856 started at 2023-04-21 22:17:13
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log -DCPSConfigFile rtps_disc.ini 
sub_8 PID: 28857 started at 2023-04-21 22:17:13
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_9.log -DCPSConfigFile rtps_disc.ini 
pub_9 PID: 28858 started at 2023-04-21 22:17:13
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log -DCPSConfigFile rtps_disc.ini 
sub_9 PID: 28859 started at 2023-04-21 22:17:13
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_10.log -DCPSConfigFile rtps_disc.ini 
pub_10 PID: 28860 started at 2023-04-21 22:17:13
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log -DCPSConfigFile rtps_disc.ini 
sub_10 PID: 28861 started at 2023-04-21 22:17:13
Subscriber 28855 got new message data:
 - From  : 28858
 - Count : 222
Subscriber 28855 is done. Exiting.
Subscriber 28853 got new message data:
 - From  : 28856
 - Count : 264
Subscriber 28853 got new message data:
 - From  : 28856
 - Count : 265
Subscriber 28853 got new message data:
 - From  : 28856
 - Count : 266
Subscriber 28853 got new message data:
 - From  : 28856
 - Count : 267
Subscriber 28853 got new message data:
 - From  : 28856
 - Count : 268
Subscriber 28853 got new message data:
 - From  : 28856
 - Count : 269
Subscriber 28853 got new message data:
 - From  : 28856
 - Count : 270
Subscriber 28853 got new message data:
 - From  : 28856
 - Count : 271
Subscriber 28853 got new message data:
 - From  : 28856
 - Count : 272
Subscriber 28853 is done. Exiting.
Subscriber 28849 got new message data:
 - From  : 28856
 - Count : 308
Subscriber 28849 is done. Exiting.
Subscriber 28851 got new message data:
 - From  : 28858
 - Count : 357
Subscriber 28851 is done. Exiting.
Subscriber 28845 got new message data:
 - From  : 28844
 - Count : 386
Subscriber 28845 is done. Exiting.
Subscriber 28859 got new message data:
 - From  : 28852
 - Count : 385
Subscriber 28859 is done. Exiting.
Subscriber 28843 got new message data:
 - From  : 28844
 - Count : 409
Subscriber 28843 is done. Exiting.
Subscriber 28861 got new message data:
 - From  : 28848
 - Count : 441
Subscriber 28861 is done. Exiting.
Subscriber 28857 got new message data:
 - From  : 28850
 - Count : 447
Subscriber 28857 is done. Exiting.
Subscriber 28847 got new message data:
 - From  : 28858
 - Count : 477
Subscriber 28847 is done. Exiting.
Publisher 28842 is done. Exiting.
Publisher 28848 is done. Exiting.
Publisher 28846 is done. Exiting.
Publisher 28850 is done. Exiting.
Publisher 28844 is done. Exiting.
Publisher 28852 is done. Exiting.
Publisher 28856 is done. Exiting.
Publisher 28854 is done. Exiting.
Publisher 28858 is done. Exiting.
Publisher 28860 is done. Exiting.
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl rtps_disc publishers 10 subscribers 10 Time:9s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl rtps_disc_tcp publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_1.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_1 PID: 28963 started at 2023-04-21 22:17:21
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_1 PID: 28964 started at 2023-04-21 22:17:21
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_2.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_2 PID: 28965 started at 2023-04-21 22:17:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_2 PID: 28966 started at 2023-04-21 22:17:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_3.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_3 PID: 28967 started at 2023-04-21 22:17:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_3 PID: 28968 started at 2023-04-21 22:17:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_4.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_4 PID: 28969 started at 2023-04-21 22:17:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_4 PID: 28970 started at 2023-04-21 22:17:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_5.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_5 PID: 28971 started at 2023-04-21 22:17:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_5 PID: 28972 started at 2023-04-21 22:17:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_6.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_6 PID: 28973 started at 2023-04-21 22:17:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_6 PID: 28974 started at 2023-04-21 22:17:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_7.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_7 PID: 28975 started at 2023-04-21 22:17:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_7 PID: 28976 started at 2023-04-21 22:17:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_8.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_8 PID: 28977 started at 2023-04-21 22:17:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_8 PID: 28978 started at 2023-04-21 22:17:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_9.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_9 PID: 28979 started at 2023-04-21 22:17:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_9 PID: 28980 started at 2023-04-21 22:17:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_10.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_10 PID: 28981 started at 2023-04-21 22:17:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_10 PID: 28982 started at 2023-04-21 22:17:22
Subscriber 28970 got new message data:
 - From  : 28977
 - Count : 441
Subscriber 28970 is done. Exiting.
Subscriber 28970 got new message data:
 - From  : 28977
 - Count : 442
Subscriber 28972 got new message data:
 - From  : 28965
 - Count : 467
Subscriber 28972 is done. Exiting.
Subscriber 28964 got new message data:
 - From  : 28969
 - Count : 454
Subscriber 28964 is done. Exiting.
Subscriber 28968 got new message data:
 - From  : 28969
 - Count : 455
Subscriber 28968 is done. Exiting.
Subscriber 28966 got new message data:
 - From  : 28973
 - Count : 446
Subscriber 28966 is done. Exiting.
Subscriber 28978 got new message data:
 - From  : 28967
 - Count : 461
Subscriber 28978 is done. Exiting.
Subscriber 28974 got new message data:
 - From  : 28965
 - Count : 473
Subscriber 28974 is done. Exiting.
Subscriber 28976 got new message data:
 - From  : 28965
 - Count : 474
Subscriber 28976 is done. Exiting.
Subscriber 28980 got new message data:
 - From  : 28967
 - Count : 467
Subscriber 28980 is done. Exiting.
Subscriber 28982 got new message data:
 - From  : 28965
 - Count : 483
Subscriber 28982 is done. Exiting.
Publisher 28965 is done. Exiting.
Publisher 28963 is done. Exiting.
Publisher 28971 is done. Exiting.
Publisher 28967 is done. Exiting.
Publisher 28969 is done. Exiting.
Publisher 28977 is done. Exiting.
Publisher 28973 is done. Exiting.
Publisher 28975 is done. Exiting.
Publisher 28979 is done. Exiting.
Publisher 28981 is done. Exiting.
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl rtps_disc_tcp publishers 10 subscribers 10 Time:9s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl large_samples publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 29084
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_1.log
pub_1 PID: 29091 started at 2023-04-21 22:17:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log
sub_1 PID: 29092 started at 2023-04-21 22:17:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_2.log
pub_2 PID: 29093 started at 2023-04-21 22:17:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log
sub_2 PID: 29094 started at 2023-04-21 22:17:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_3.log
pub_3 PID: 29095 started at 2023-04-21 22:17:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log
sub_3 PID: 29096 started at 2023-04-21 22:17:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_4.log
pub_4 PID: 29097 started at 2023-04-21 22:17:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log
sub_4 PID: 29098 started at 2023-04-21 22:17:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_5.log
pub_5 PID: 29099 started at 2023-04-21 22:17:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log
sub_5 PID: 29100 started at 2023-04-21 22:17:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_6.log
pub_6 PID: 29101 started at 2023-04-21 22:17:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log
sub_6 PID: 29102 started at 2023-04-21 22:17:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_7.log
pub_7 PID: 29103 started at 2023-04-21 22:17:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log
sub_7 PID: 29104 started at 2023-04-21 22:17:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_8.log
pub_8 PID: 29105 started at 2023-04-21 22:17:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log
sub_8 PID: 29106 started at 2023-04-21 22:17:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_9.log
pub_9 PID: 29107 started at 2023-04-21 22:17:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log
sub_9 PID: 29108 started at 2023-04-21 22:17:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_10.log
pub_10 PID: 29109 started at 2023-04-21 22:17:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log
sub_10 PID: 29110 started at 2023-04-21 22:17:30
(29084|29084) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Subscriber 29102 got new message data:
 - From  : 29091
 - Count : 1
Subscriber 29092 got new message data:
 - From  : 29091
 - Count : 1
Subscriber 29098 got new message data:
 - From  : 29091
 - Count : 1
Subscriber 29096 got new message data:
 - From  : 29091
 - Count : 1
Subscriber 29100 got new message data:
 - From  : 29091
 - Count : 1
Subscriber 29094 got new message data:
 - From  : 29091
 - Count : 1
Subscriber 29108 got new message data:
 - From  : 29091
 - Count : 1
Subscriber 29104 got new message data:
 - From  : 29091
 - Count : 1
Subscriber 29094 is done. Exiting.
Subscriber 29108 is done. Exiting.
Subscriber 29106 got new message data:
 - From  : 29091
 - Count : 1
Subscriber 29102 is done. Exiting.
Subscriber 29092 is done. Exiting.
Subscriber 29098 is done. Exiting.
Subscriber 29096 is done. Exiting.
Subscriber 29100 is done. Exiting.
Subscriber 29104 is done. Exiting.
Subscriber 29106 is done. Exiting.
Subscriber 29110 got new message data:
 - From  : 29091
 - Count : 1
Subscriber 29110 is done. Exiting.
Subscriber 29100 got new message data:
 - From  : 29093
 - Count : 1
Subscriber 29106 got new message data:
 - From  : 29093
 - Count : 1
Subscriber 29106 got new message data:
 - From  : 29095
 - Count : 1
Subscriber 29100 got new message data:
 - From  : 29095
 - Count : 1
Subscriber 29106 got new message data:
 - From  : 29097
 - Count : 1
Publisher 29091 is done. Exiting.
Publisher 29093 is done. Exiting.
Publisher 29095 is done. Exiting.
Publisher 29097 is done. Exiting.
Publisher 29099 is done. Exiting.
Publisher 29103 is done. Exiting.
Publisher 29101 is done. Exiting.
Publisher 29107 is done. Exiting.
Publisher 29109 is done. Exiting.
Publisher 29105 is done. Exiting.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl large_samples publishers 10 subscribers 10 Time:11s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl large_samples rtps publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 29245
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_1.log -DCPSConfigFile rtps.ini 
pub_1 PID: 29252 started at 2023-04-21 22:17:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log -DCPSConfigFile rtps.ini 
sub_1 PID: 29253 started at 2023-04-21 22:17:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_2.log -DCPSConfigFile rtps.ini 
pub_2 PID: 29254 started at 2023-04-21 22:17:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log -DCPSConfigFile rtps.ini 
sub_2 PID: 29255 started at 2023-04-21 22:17:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_3.log -DCPSConfigFile rtps.ini 
pub_3 PID: 29256 started at 2023-04-21 22:17:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log -DCPSConfigFile rtps.ini 
sub_3 PID: 29257 started at 2023-04-21 22:17:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_4.log -DCPSConfigFile rtps.ini 
pub_4 PID: 29258 started at 2023-04-21 22:17:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log -DCPSConfigFile rtps.ini 
sub_4 PID: 29259 started at 2023-04-21 22:17:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_5.log -DCPSConfigFile rtps.ini 
pub_5 PID: 29260 started at 2023-04-21 22:17:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log -DCPSConfigFile rtps.ini 
sub_5 PID: 29261 started at 2023-04-21 22:17:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_6.log -DCPSConfigFile rtps.ini 
pub_6 PID: 29262 started at 2023-04-21 22:17:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log -DCPSConfigFile rtps.ini 
sub_6 PID: 29263 started at 2023-04-21 22:17:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_7.log -DCPSConfigFile rtps.ini 
pub_7 PID: 29264 started at 2023-04-21 22:17:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log -DCPSConfigFile rtps.ini 
sub_7 PID: 29265 started at 2023-04-21 22:17:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_8.log -DCPSConfigFile rtps.ini 
pub_8 PID: 29266 started at 2023-04-21 22:17:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log -DCPSConfigFile rtps.ini 
sub_8 PID: 29267 started at 2023-04-21 22:17:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_9.log -DCPSConfigFile rtps.ini 
pub_9 PID: 29268 started at 2023-04-21 22:17:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log -DCPSConfigFile rtps.ini 
sub_9 PID: 29269 started at 2023-04-21 22:17:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_10.log -DCPSConfigFile rtps.ini 
pub_10 PID: 29270 started at 2023-04-21 22:17:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log -DCPSConfigFile rtps.ini 
sub_10 PID: 29271 started at 2023-04-21 22:17:41
(29245|29245) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Subscriber 29263 got new message data:
 - From  : 29254
 - Count : 1
Subscriber 29261 got new message data:
 - From  : 29254
 - Count : 1
Subscriber 29265 got new message data:
 - From  : 29254
 - Count : 1
Subscriber 29255 got new message data:
 - From  : 29254
 - Count : 1
Subscriber 29259 got new message data:
 - From  : 29254
 - Count : 1
Subscriber 29257 got new message data:
 - From  : 29254
 - Count : 1
Subscriber 29257 is done. Exiting.
Subscriber 29253 got new message data:
 - From  : 29254
 - Count : 1
Subscriber 29263 is done. Exiting.
Subscriber 29255 is done. Exiting.
Subscriber 29261 is done. Exiting.
Subscriber 29259 is done. Exiting.
Subscriber 29253 is done. Exiting.
Subscriber 29259 got new message data:
 - From  : 29252
 - Count : 1
Subscriber 29265 is done. Exiting.
Subscriber 29265 got new message data:
 - From  : 29252
 - Count : 1
Subscriber 29267 got new message data:
 - From  : 29254
 - Count : 1
Subscriber 29269 got new message data:
 - From  : 29254
 - Count : 1
Subscriber 29269 is done. Exiting.
Subscriber 29267 is done. Exiting.
Subscriber 29253 got new message data:
 - From  : 29252
 - Count : 1
Subscriber 29271 got new message data:
 - From  : 29254
 - Count : 1
Subscriber 29271 is done. Exiting.
Subscriber 29271 got new message data:
 - From  : 29252
 - Count : 1
Subscriber 29271 got new message data:
 - From  : 29258
 - Count : 1
Subscriber 29265 got new message data:
 - From  : 29258
 - Count : 1
Subscriber 29271 got new message data:
 - From  : 29268
 - Count : 1
Subscriber 29271 got new message data:
 - From  : 29270
 - Count : 1
Publisher 29252 is done. Exiting.
Publisher 29254 is done. Exiting.
Publisher 29258 is done. Exiting.
Publisher 29268 is done. Exiting.
Publisher 29270 is done. Exiting.
Publisher 29256 is done. Exiting.
Publisher 29260 is done. Exiting.
Publisher 29262 is done. Exiting.
Publisher 29264 is done. Exiting.
Publisher 29266 is done. Exiting.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl large_samples rtps publishers 10 subscribers 10 Time:10s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl large_samples rtps_disc publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_1.log -DCPSConfigFile rtps_disc.ini 
pub_1 PID: 29395 started at 2023-04-21 22:17:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log -DCPSConfigFile rtps_disc.ini 
sub_1 PID: 29396 started at 2023-04-21 22:17:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_2.log -DCPSConfigFile rtps_disc.ini 
pub_2 PID: 29397 started at 2023-04-21 22:17:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log -DCPSConfigFile rtps_disc.ini 
sub_2 PID: 29398 started at 2023-04-21 22:17:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_3.log -DCPSConfigFile rtps_disc.ini 
pub_3 PID: 29399 started at 2023-04-21 22:17:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log -DCPSConfigFile rtps_disc.ini 
sub_3 PID: 29400 started at 2023-04-21 22:17:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_4.log -DCPSConfigFile rtps_disc.ini 
pub_4 PID: 29401 started at 2023-04-21 22:17:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log -DCPSConfigFile rtps_disc.ini 
sub_4 PID: 29402 started at 2023-04-21 22:17:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_5.log -DCPSConfigFile rtps_disc.ini 
pub_5 PID: 29403 started at 2023-04-21 22:17:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log -DCPSConfigFile rtps_disc.ini 
sub_5 PID: 29404 started at 2023-04-21 22:17:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_6.log -DCPSConfigFile rtps_disc.ini 
pub_6 PID: 29405 started at 2023-04-21 22:17:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log -DCPSConfigFile rtps_disc.ini 
sub_6 PID: 29406 started at 2023-04-21 22:17:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_7.log -DCPSConfigFile rtps_disc.ini 
pub_7 PID: 29407 started at 2023-04-21 22:17:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log -DCPSConfigFile rtps_disc.ini 
sub_7 PID: 29408 started at 2023-04-21 22:17:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_8.log -DCPSConfigFile rtps_disc.ini 
pub_8 PID: 29409 started at 2023-04-21 22:17:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log -DCPSConfigFile rtps_disc.ini 
sub_8 PID: 29410 started at 2023-04-21 22:17:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_9.log -DCPSConfigFile rtps_disc.ini 
pub_9 PID: 29411 started at 2023-04-21 22:17:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log -DCPSConfigFile rtps_disc.ini 
sub_9 PID: 29412 started at 2023-04-21 22:17:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_10.log -DCPSConfigFile rtps_disc.ini 
pub_10 PID: 29413 started at 2023-04-21 22:17:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log -DCPSConfigFile rtps_disc.ini 
sub_10 PID: 29414 started at 2023-04-21 22:17:52
Subscriber 29398 got new message data:
 - From  : 29397
 - Count : 2
Subscriber 29408 got new message data:
 - From  : 29397
 - Count : 2
Subscriber 29396 got new message data:
 - From  : 29397
 - Count : 2
Subscriber 29412 got new message data:
 - From  : 29397
 - Count : 2
Subscriber 29414 got new message data:
 - From  : 29397
 - Count : 2
Subscriber 29400 got new message data:
 - From  : 29397
 - Count : 2
Subscriber 29402 got new message data:
 - From  : 29397
 - Count : 2
Subscriber 29408 is done. Exiting.
Subscriber 29410 got new message data:
 - From  : 29397
 - Count : 2
Subscriber 29412 is done. Exiting.
Subscriber 29400 is done. Exiting.
Subscriber 29406 got new message data:
 - From  : 29397
 - Count : 2
Subscriber 29398 is done. Exiting.
Subscriber 29396 is done. Exiting.
Subscriber 29414 is done. Exiting.
Subscriber 29402 is done. Exiting.
Subscriber 29410 is done. Exiting.
Subscriber 29406 is done. Exiting.
Subscriber 29412 got new message data:
 - From  : 29395
 - Count : 2
Subscriber 29400 got new message data:
 - From  : 29395
 - Count : 2
Subscriber 29404 got new message data:
 - From  : 29397
 - Count : 2
Subscriber 29404 is done. Exiting.
Subscriber 29406 got new message data:
 - From  : 29395
 - Count : 2
Subscriber 29406 got new message data:
 - From  : 29401
 - Count : 2
Subscriber 29400 got new message data:
 - From  : 29401
 - Count : 2
Subscriber 29412 got new message data:
 - From  : 29401
 - Count : 2
Publisher 29397 is done. Exiting.
Publisher 29395 is done. Exiting.
Publisher 29401 is done. Exiting.
Publisher 29399 is done. Exiting.
Publisher 29403 is done. Exiting.
Publisher 29405 is done. Exiting.
Publisher 29407 is done. Exiting.
Publisher 29409 is done. Exiting.
Publisher 29411 is done. Exiting.
Publisher 29413 is done. Exiting.
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl large_samples rtps_disc publishers 10 subscribers 10 Time:9s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl large_samples rtps_disc_tcp publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_1.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_1 PID: 29517 started at 2023-04-21 22:18:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_1 PID: 29518 started at 2023-04-21 22:18:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_2.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_2 PID: 29519 started at 2023-04-21 22:18:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_2 PID: 29520 started at 2023-04-21 22:18:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_3.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_3 PID: 29521 started at 2023-04-21 22:18:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_3 PID: 29522 started at 2023-04-21 22:18:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_4.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_4 PID: 29523 started at 2023-04-21 22:18:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_4 PID: 29524 started at 2023-04-21 22:18:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_5.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_5 PID: 29525 started at 2023-04-21 22:18:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_5 PID: 29526 started at 2023-04-21 22:18:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_6.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_6 PID: 29527 started at 2023-04-21 22:18:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_6 PID: 29528 started at 2023-04-21 22:18:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_7.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_7 PID: 29529 started at 2023-04-21 22:18:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_7 PID: 29530 started at 2023-04-21 22:18:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_8.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_8 PID: 29531 started at 2023-04-21 22:18:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_8 PID: 29532 started at 2023-04-21 22:18:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_9.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_9 PID: 29533 started at 2023-04-21 22:18:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_9 PID: 29534 started at 2023-04-21 22:18:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_10.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_10 PID: 29535 started at 2023-04-21 22:18:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_10 PID: 29536 started at 2023-04-21 22:18:00
Subscriber 29518 got new message data:
 - From  : 29517
 - Count : 1
Subscriber 29518 is done. Exiting.
Subscriber 29526 got new message data:
 - From  : 29517
 - Count : 2
Subscriber 29522 got new message data:
 - From  : 29517
 - Count : 2
Subscriber 29530 got new message data:
 - From  : 29517
 - Count : 2
Subscriber 29524 got new message data:
 - From  : 29517
 - Count : 2
Subscriber 29534 got new message data:
 - From  : 29517
 - Count : 2
Subscriber 29520 got new message data:
 - From  : 29517
 - Count : 2
Subscriber 29526 is done. Exiting.
Subscriber 29530 is done. Exiting.
Subscriber 29528 got new message data:
 - From  : 29517
 - Count : 2
Subscriber 29532 got new message data:
 - From  : 29517
 - Count : 2
Subscriber 29522 is done. Exiting.
Subscriber 29524 is done. Exiting.
Subscriber 29520 is done. Exiting.
Subscriber 29528 is done. Exiting.
Subscriber 29534 is done. Exiting.
Subscriber 29532 is done. Exiting.
Subscriber 29536 got new message data:
 - From  : 29517
 - Count : 2
Subscriber 29536 is done. Exiting.
Subscriber 29532 got new message data:
 - From  : 29523
 - Count : 2
Subscriber 29520 got new message data:
 - From  : 29523
 - Count : 2
Subscriber 29520 got new message data:
 - From  : 29519
 - Count : 2
Subscriber 29532 got new message data:
 - From  : 29519
 - Count : 2
Publisher 29517 is done. Exiting.
Publisher 29523 is done. Exiting.
Publisher 29519 is done. Exiting.
Publisher 29521 is done. Exiting.
Publisher 29527 is done. Exiting.
Publisher 29525 is done. Exiting.
Publisher 29529 is done. Exiting.
Publisher 29531 is done. Exiting.
Publisher 29533 is done. Exiting.
Publisher 29535 is done. Exiting.
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl large_samples rtps_disc_tcp publishers 10 subscribers 10 Time:9s Result:0

==============================================================================

tests/DCPS/LivelinessKeepAliveTest/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 29638
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessKeepAliveTest/subscriber  -DCPSPendingTimeout 3 
sub PID: 29645 started at 2023-04-21 22:18:09
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessKeepAliveTest/publisher SATELLITE_ONE -DCPSPendingTimeout 3 
pub PID: 29646 started at 2023-04-21 22:18:09
(29638|29638) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(29646|29646) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(29645|29645) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Subscriber: waiting for events
(29645|29645)  22:18:10.231334 waiting for publisher to be ready
(29645|29660) AlertDataReaderListenerImpl::on_subscription_matched
(29646|29646) SATELLITE_ONE : Publishing Low Fuel Alert

==================================================
(29645|29660) AlertDataReaderListenerImpl::on_liveliness_changed
    Liveliness Changes     = 1
    Alive Count            = 1
    Alive Count Change     = 1
    Not Alive Count        = 0
    Not Alive Count Change = 0
==================================================

(29646|29646) SATELLITE_ONE : Publishing Dead Battery Alert

======================
(29645|29660) AlertDataReaderListenerImpl::on_data_available:
	SATELLITE_ONE - Received Alert (0) Fuel : Low - Your fuel is low
======================


======================
(29645|29660) AlertDataReaderListenerImpl::on_data_available:
	SATELLITE_ONE - Received Alert (0) Battery : Dead - Your battery is dead; re-orient the solar panels to recharge
======================

(29645|29645)  22:18:10.481719 Publisher is ready
(29645|29645)  22:18:10.481911 waiting for publisher to finish
(29646|29646) SATELLITE_ONE : Publishing Low Fuel Alert

======================
(29645|29660) AlertDataReaderListenerImpl::on_data_available:
	SATELLITE_ONE - Received Alert (1) Fuel : Low - Your fuel is low
======================

(29646|29646) SATELLITE_ONE : Publishing Low Fuel Alert

======================
(29645|29660) AlertDataReaderListenerImpl::on_data_available:
	SATELLITE_ONE - Received Alert (2) Fuel : Low - Your fuel is low
======================

(29646|29646) SATELLITE_ONE : Publishing Dead Battery Alert

======================
(29645|29660) AlertDataReaderListenerImpl::on_data_available:
	SATELLITE_ONE - Received Alert (1) Battery : Dead - Your battery is dead; re-orient the solar panels to recharge
======================

(29646|29646) SATELLITE_ONE : Publishing Low Fuel Alert

======================
(29645|29660) AlertDataReaderListenerImpl::on_data_available:
	SATELLITE_ONE - Received Alert (3) Fuel : Low - Your fuel is low
======================

(29646|29646)  22:18:30.474156 Writers are finished
(29646|29646)  22:18:30.474503 waiting for readers to finish

======================
(29645|29660) AlertDataReaderListenerImpl::on_data_available:
	SATELLITE_ONE - Received Alert (9999) System : System Shutdown - The Satellite is being shut down
======================

(29645|29660) AlertDataReaderListenerImpl::on_data_available:
Received SYSTEM_SHUTDOWN message, udpating expected liveliness values
(29646|29646)  22:18:30.724718 Readers are finished

==================================================
(29645|29650) AlertDataReaderListenerImpl::on_liveliness_changed
    Liveliness Changes     = 2
    Alive Count            = 0
    Alive Count Change     = -1
    Not Alive Count        = 0
    Not Alive Count Change = 0
==================================================

(29645|29650) AlertDataReaderListenerImpl::on_subscription_matched
Exiting...

=== TEST COMPLETE ===
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/LivelinessKeepAliveTest/run_test.pl Time:26s Result:0

==============================================================================

tests/DCPS/LivelinessTimeout/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 29662
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/subscriber -t 19 -l 2 -x 67.1 -DCPSPendingTimeout 3 
sub PID: 29669 started at 2023-04-21 22:18:35
(29662|29662) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(29669|29669) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 67.1 -DCPSLivelinessFactor 300  -DCPSPendingTimeout 3 
pub0 PID: 29686 started at 2023-04-21 22:18:40
(29686|29686) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(29669|29683) DataReaderListenerImpl::on_subscription_matched
(29686|29692) DataReaderListenerImpl::on_publication_matched
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 64.1 -DCPSLivelinessFactor 80  -DCPSPendingTimeout 3 
pub1 PID: 29693 started at 2023-04-21 22:18:43
(29693|29693) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(29669|29683) DataReaderListenerImpl::on_liveliness_changed: active=1, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=0
(29669|29683) DataReaderListenerImpl::on_subscription_matched
(29693|29699) DataReaderListenerImpl::on_publication_matched
(29669|29683) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=0
(29669|29670) DataReaderListenerImpl::on_liveliness_changed: active=1, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=1
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 61.1 -DCPSLivelinessFactor 80  -DCPSPendingTimeout 3 
pub2 PID: 29700 started at 2023-04-21 22:18:46
(29700|29700) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(29669|29683) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=1
(29669|29683) DataReaderListenerImpl::on_subscription_matched
(29700|29706) DataReaderListenerImpl::on_publication_matched
(29669|29683) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=1
(29669|29670) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=2
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 58.1 -DCPSLivelinessFactor 80  -DCPSPendingTimeout 3 
pub3 PID: 29708 started at 2023-04-21 22:18:49
(29708|29708) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(29669|29683) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=2
(29669|29683) DataReaderListenerImpl::on_subscription_matched
(29708|29714) DataReaderListenerImpl::on_publication_matched
(29669|29683) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=2
(29669|29670) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=3
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 55.1 -DCPSLivelinessFactor 80  -DCPSPendingTimeout 3 
pub4 PID: 29715 started at 2023-04-21 22:18:52
(29715|29715) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(29669|29683) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=3
(29669|29683) DataReaderListenerImpl::on_subscription_matched
(29715|29721) DataReaderListenerImpl::on_publication_matched
(29669|29683) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=3
(29669|29670) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=4
(29669|29683) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=4
(29669|29670) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=5
(29669|29683) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=5
(29669|29670) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=6
(29669|29683) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=6
(29669|29670) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=7
(29669|29683) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=7
(29669|29670) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=8
(29669|29683) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=8
(29669|29670) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=9
(29669|29683) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=9
(29669|29670) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=10
(29669|29683) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=10
(29669|29670) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=11
(29669|29683) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=11
(29669|29670) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=12
(29669|29683) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=12
(29669|29670) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=13
(29669|29683) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=13
(29669|29670) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=14
(29669|29683) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=14
(29669|29670) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=15
(29669|29683) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=15
(29669|29670) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=16
(29669|29683) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=16
(29669|29670) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=17
(29669|29683) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=17
(29669|29670) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=18
(29669|29683) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=18
(29669|29670) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=19
(29669|29683) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=19
(29669|29670) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=20
(29715|29717) DataReaderListenerImpl::on_publication_matched
(29686|29688) DataReaderListenerImpl::on_publication_matched
(29708|29710) DataReaderListenerImpl::on_publication_matched
(29693|29695) DataReaderListenerImpl::on_publication_matched
(29700|29702) DataReaderListenerImpl::on_publication_matched
(29669|29669) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=0, activeDelta=0, inactiveDelta=-1 deadline_missed=20
(29669|29669) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(29669|29669) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(29669|29669) DataReaderListenerImpl::on_liveliness_changed: active=1, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(29669|29669) DataReaderListenerImpl::on_liveliness_changed: active=0, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(29669|29669) DataReaderListenerImpl::on_subscription_matched
(29669|29669) subscriber: liviness deadline violated enough for test. Got 20, expected at least 19.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/LivelinessTimeout/run_test.pl Time:73s Result:0

==============================================================================

tests/DCPS/LivelinessTimeout/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/subscriber -t 19 -l 2 -x 67.1 -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
sub PID: 29734 started at 2023-04-21 22:19:48
(29734|29734) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 67.1 -DCPSLivelinessFactor 300  -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
pub0 PID: 29740 started at 2023-04-21 22:19:53
(29740|29740) NOTICE: using DCPSLivelinessFactor value from command option (overrides value if it's in config file)
(29740|29740) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(29740|29742) DataReaderListenerImpl::on_publication_matched
(29734|29736) DataReaderListenerImpl::on_subscription_matched
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 64.1 -DCPSLivelinessFactor 80  -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
pub1 PID: 29746 started at 2023-04-21 22:19:56
(29746|29746) NOTICE: using DCPSLivelinessFactor value from command option (overrides value if it's in config file)
(29746|29746) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(29734|29737) DataReaderListenerImpl::on_liveliness_changed: active=1, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=0
(29746|29748) DataReaderListenerImpl::on_publication_matched
(29734|29736) DataReaderListenerImpl::on_subscription_matched
(29734|29737) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=0
(29734|29735) DataReaderListenerImpl::on_liveliness_changed: active=1, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=1
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 61.1 -DCPSLivelinessFactor 80  -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
pub2 PID: 29752 started at 2023-04-21 22:19:59
(29752|29752) NOTICE: using DCPSLivelinessFactor value from command option (overrides value if it's in config file)
(29752|29752) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(29734|29737) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=1
(29734|29736) DataReaderListenerImpl::on_subscription_matched
(29752|29754) DataReaderListenerImpl::on_publication_matched
(29734|29737) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=1
(29734|29735) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=2
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 58.1 -DCPSLivelinessFactor 80  -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
pub3 PID: 29763 started at 2023-04-21 22:20:02
(29763|29763) NOTICE: using DCPSLivelinessFactor value from command option (overrides value if it's in config file)
(29763|29763) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(29734|29737) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=2
(29763|29765) DataReaderListenerImpl::on_publication_matched
(29734|29736) DataReaderListenerImpl::on_subscription_matched
(29734|29737) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=2
(29734|29735) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=3
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 55.1 -DCPSLivelinessFactor 80  -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
pub4 PID: 29770 started at 2023-04-21 22:20:05
(29770|29770) NOTICE: using DCPSLivelinessFactor value from command option (overrides value if it's in config file)
(29770|29770) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(29734|29737) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=3
(29734|29736) DataReaderListenerImpl::on_subscription_matched
(29770|29772) DataReaderListenerImpl::on_publication_matched
(29734|29737) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=3
(29734|29735) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=4
(29734|29737) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=4
(29734|29735) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=5
(29734|29737) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=5
(29734|29735) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=6
(29734|29737) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=6
(29734|29735) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=7
(29734|29737) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=7
(29734|29735) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=8
(29734|29737) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=8
(29734|29735) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=9
(29734|29737) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=9
(29734|29735) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=10
(29734|29737) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=10
(29734|29735) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=11
(29734|29737) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=11
(29734|29735) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=12
(29734|29737) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=12
(29734|29735) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=13
(29734|29737) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=13
(29734|29735) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=14
(29734|29737) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=14
(29734|29735) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=15
(29734|29737) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=15
(29734|29735) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=16
(29734|29737) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=16
(29734|29735) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=17
(29734|29737) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=17
(29734|29735) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=18
(29734|29737) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=18
(29734|29735) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=19
(29734|29737) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=19
(29734|29735) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=20
(29734|29734) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=0, activeDelta=0, inactiveDelta=-1 deadline_missed=20
(29734|29734) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(29752|29754) DataReaderListenerImpl::on_publication_matched
(29734|29734) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(29734|29734) DataReaderListenerImpl::on_liveliness_changed: active=1, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(29734|29734) DataReaderListenerImpl::on_liveliness_changed: active=0, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(29734|29734) DataReaderListenerImpl::on_subscription_matched
(29770|29772) DataReaderListenerImpl::on_publication_matched
(29746|29748) DataReaderListenerImpl::on_publication_matched
(29740|29742) DataReaderListenerImpl::on_publication_matched
(29763|29765) DataReaderListenerImpl::on_publication_matched
(29734|29734) subscriber: liviness deadline violated enough for test. Got 20, expected at least 19.
test PASSED.

auto_run_tests_finished: tests/DCPS/LivelinessTimeout/run_test.pl rtps_disc Time:72s Result:0

==============================================================================

tests/DCPS/BitDataReader/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BitDataReader/BitDataReader -DCPSConfigFile rtps.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile exec.log -DCPSPendingTimeout 3 
exec PID: 29792 started at 2023-04-21 22:21:00
test PASSED.

auto_run_tests_finished: tests/DCPS/BitDataReader/run_test.pl Time:5s Result:0

==============================================================================

tests/unit-tests/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/unit-tests/UnitTests  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile UnitTests.log -DCPSPendingTimeout 3 
UnitTests PID: 29797 started at 2023-04-21 22:21:06
[==========] Running 688 tests from 55 test cases.
[----------] Global test environment set-up.
[----------] 2 tests from dds_DCPS_SafeBool_T
[ RUN      ] dds_DCPS_SafeBool_T.virtual_method
[       OK ] dds_DCPS_SafeBool_T.virtual_method (0 ms)
[ RUN      ] dds_DCPS_SafeBool_T.non_virtual_method
[       OK ] dds_DCPS_SafeBool_T.non_virtual_method (0 ms)
[----------] 2 tests from dds_DCPS_SafeBool_T (0 ms total)

[----------] 12 tests from dds_DCPS_RcHandle_T
[ RUN      ] dds_DCPS_RcHandle_T.ctors
[       OK ] dds_DCPS_RcHandle_T.ctors (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.dtor
[       OK ] dds_DCPS_RcHandle_T.dtor (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.reset
[       OK ] dds_DCPS_RcHandle_T.reset (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.assign
[       OK ] dds_DCPS_RcHandle_T.assign (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.swaps
[       OK ] dds_DCPS_RcHandle_T.swaps (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.access
[       OK ] dds_DCPS_RcHandle_T.access (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.param_passing
[       OK ] dds_DCPS_RcHandle_T.param_passing (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.bool_conv
[       OK ] dds_DCPS_RcHandle_T.bool_conv (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.relational
[       OK ] dds_DCPS_RcHandle_T.relational (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.casts
[       OK ] dds_DCPS_RcHandle_T.casts (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.make_rch
[       OK ] dds_DCPS_RcHandle_T.make_rch (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.rchandle_from
[       OK ] dds_DCPS_RcHandle_T.rchandle_from (0 ms)
[----------] 12 tests from dds_DCPS_RcHandle_T (0 ms total)

[----------] 18 tests from dds_DCPS_XTypes_TypeAssignability
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.PrimitiveTypesTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.PrimitiveTypesTest_Assignable (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.PrimitiveTypesTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.PrimitiveTypesTest_NotAssignable (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.StringTypesTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.StringTypesTest_Assignable (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.StringTypesTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.StringTypesTest_NotAssignable (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.BitmaskTypeTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.BitmaskTypeTest_Assignable (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.BitmaskTypeTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.BitmaskTypeTest_NotAssignable (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.SequenceTypeTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.SequenceTypeTest_Assignable (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.SequenceTypeTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.SequenceTypeTest_NotAssignable (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.ArrayTypeTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.ArrayTypeTest_Assignable (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.ArrayTypeTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.ArrayTypeTest_NotAssignable (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.MapTypeTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.MapTypeTest_Assignable (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.MapTypeTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.MapTypeTest_NotAssignable (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.AliasTypeTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.AliasTypeTest_Assignable (4 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.AliasTypeTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.AliasTypeTest_NotAssignable (4 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.StructTypeTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.StructTypeTest_Assignable (6 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.StructTypeTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.StructTypeTest_NotAssignable (3 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.UnionTypeTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.UnionTypeTest_Assignable (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.UnionTypeTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.UnionTypeTest_NotAssignable (0 ms)
[----------] 18 tests from dds_DCPS_XTypes_TypeAssignability (26 ms total)

[----------] 2 tests from dds_DCPS_XTypes_TypeAssignability_EnumTypeTest
[ RUN      ] dds_DCPS_XTypes_TypeAssignability_EnumTypeTest.Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability_EnumTypeTest.Assignable (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability_EnumTypeTest.NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability_EnumTypeTest.NotAssignable (0 ms)
[----------] 2 tests from dds_DCPS_XTypes_TypeAssignability_EnumTypeTest (1 ms total)

[----------] 6 tests from dds_DCPS_XTypes_Utils
[ RUN      ] dds_DCPS_XTypes_Utils.extensibility
[       OK ] dds_DCPS_XTypes_Utils.extensibility (20 ms)
[ RUN      ] dds_DCPS_XTypes_Utils.max_extensibility
[       OK ] dds_DCPS_XTypes_Utils.max_extensibility (28 ms)
[ RUN      ] dds_DCPS_XTypes_Utils.get_keys
[       OK ] dds_DCPS_XTypes_Utils.get_keys (9 ms)
[ RUN      ] dds_DCPS_XTypes_Utils.member_path_get_member_from_type
[       OK ] dds_DCPS_XTypes_Utils.member_path_get_member_from_type (1 ms)
[ RUN      ] dds_DCPS_XTypes_Utils.less_than
[       OK ] dds_DCPS_XTypes_Utils.less_than (36 ms)
[ RUN      ] dds_DCPS_XTypes_Utils.MemberPathParser
[       OK ] dds_DCPS_XTypes_Utils.MemberPathParser (0 ms)
[----------] 6 tests from dds_DCPS_XTypes_Utils (94 ms total)

[----------] 40 tests from dds_DCPS_XTypes_DynamicDataXcdrReadImpl
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadValueFromStruct
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadValueFromStruct (27 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_StructWithOptionalMembers
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_StructWithOptionalMembers (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadValueFromUnion
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadValueFromUnion (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadSequenceFromStruct
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadSequenceFromStruct (4 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadSequenceFromUnion
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadSequenceFromUnion (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadValueFromArray
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadValueFromArray (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_SkipNestedMembers
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_SkipNestedMembers (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadRecursiveStruct
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadRecursiveStruct (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromStruct
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromStruct (5 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromStructXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromStructXCDR1 (6 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_StructWithOptionalMembers
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_StructWithOptionalMembers (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromUnion
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromUnion (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromUnionXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromUnionXCDR1 (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromStruct
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromStruct (5 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromStructXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromStructXCDR1 (6 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromUnion
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromUnion (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromUnionXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromUnionXCDR1 (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromArray
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromArray (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromArrayXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromArrayXCDR1 (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_SkipNestedMembers
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_SkipNestedMembers (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_SkipNestedMembersXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_SkipNestedMembersXCDR1 (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromStruct
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromStruct (5 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromStructXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromStructXCDR1 (6 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_StructWithOptionalMembers
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_StructWithOptionalMembers (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromUnion
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromUnion (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromUnionXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromUnionXCDR1 (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromStruct
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromStruct (5 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromStructXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromStructXCDR1 (4 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromUnion
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromUnion (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromUnionXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromUnionXCDR1 (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromArray
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromArray (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromArrayXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromArrayXCDR1 (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_SkipNestedMembers
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_SkipNestedMembers (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_SkipNestedMembersXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_SkipNestedMembersXCDR1 (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_SingleKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_SingleKeyOnly (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_NestedStructKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_NestedStructKeyOnly (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_NestedUnionKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_NestedUnionKeyOnly (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ArrayKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ArrayKeyOnly (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ImplicitNestedKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ImplicitNestedKeyOnly (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Enum_As_String
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Enum_As_String (2 ms)
[----------] 40 tests from dds_DCPS_XTypes_DynamicDataXcdrReadImpl (127 ms total)

[----------] 1 test from dds_DCPS_XTypes_DynamicDataAdapter
[ RUN      ] dds_DCPS_XTypes_DynamicDataAdapter.null_get_dynamic_data_adapter
[       OK ] dds_DCPS_XTypes_DynamicDataAdapter.null_get_dynamic_data_adapter (14 ms)
[----------] 1 test from dds_DCPS_XTypes_DynamicDataAdapter (14 ms total)

[----------] 11 tests from dds_DCPS_XTypes_DynamicTypeImpl
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyInnerStruct
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyInnerStruct (4 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyOuterStruct
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyOuterStruct (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyAliasStruct
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyAliasStruct (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_StructWithTypedefMember
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_StructWithTypedefMember (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_PrimitiveKind
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_PrimitiveKind (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyUnion
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyUnion (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyInnerArray
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyInnerArray (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyOuterArray
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyOuterArray (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MySeq
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MySeq (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyAnonStruct
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyAnonStruct (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_CircularStruct
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_CircularStruct (1 ms)
[----------] 11 tests from dds_DCPS_XTypes_DynamicTypeImpl (14 ms total)

[----------] 6 tests from dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.MyStruct
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.MyStruct (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.MyUnion
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.MyUnion (0 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.SCC
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.SCC (0 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.LSeq
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.LSeq (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.LArr
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.LArr (0 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.MyEnum
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.MyEnum (0 ms)
[----------] 6 tests from dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal (3 ms total)

[----------] 30 tests from dds_DCPS_XTypes_DynamicDataImpl
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToStruct
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToStruct (8 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToStructDefault
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToStructDefault (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToUnion
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToUnion (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToUnionDefault
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToUnionDefault (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteSequenceToStruct
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteSequenceToStruct (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteSequenceToStructDefault
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteSequenceToStructDefault (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteSequenceToUnion
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteSequenceToUnion (4 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToArray
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToArray (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToArrayDefault
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToArrayDefault (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteStructWithNestedMembers
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteStructWithNestedMembers (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteRecursiveStruct
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteRecursiveStruct (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteValueToStruct
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteValueToStruct (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteValueToUnion
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteValueToUnion (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteSequenceToStruct
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteSequenceToStruct (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteSequenceToUnion
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteSequenceToUnion (4 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteStructWithNestedMembers
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteStructWithNestedMembers (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteValueToStruct
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteValueToStruct (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteValueToUnion
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteValueToUnion (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteSequenceToStruct
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteSequenceToStruct (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteSequenceToUnion
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteSequenceToUnion (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteStructWithNestedMembers
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteStructWithNestedMembers (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteKeyOnly (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteKeyOnly (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteKeyOnly (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.MutableArray_WriteKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.MutableArray_WriteKeyOnly (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Nested_WriteKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Nested_WriteKeyOnly (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Union_Defaults
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Union_Defaults (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Union_Setter
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Union_Setter (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Enum_As_String
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Enum_As_String (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.String_As_Enum
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.String_As_Enum (1 ms)
[----------] 30 tests from dds_DCPS_XTypes_DynamicDataImpl (72 ms total)

[----------] 88 tests from dds_DCPS_XTypes_TypeObject
[ RUN      ] dds_DCPS_XTypes_TypeObject.maintest
[       OK ] dds_DCPS_XTypes_TypeObject.maintest (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.Optional_equal
[       OK ] dds_DCPS_XTypes_TypeObject.Optional_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.Optional_has_value
[       OK ] dds_DCPS_XTypes_TypeObject.Optional_has_value (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.Optional_destructor
[       OK ] dds_DCPS_XTypes_TypeObject.Optional_destructor (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.Optional_assignment
[       OK ] dds_DCPS_XTypes_TypeObject.Optional_assignment (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.Sequence_equal
[       OK ] dds_DCPS_XTypes_TypeObject.Sequence_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.ExtendedAnnotationParameterValue_equal
[       OK ] dds_DCPS_XTypes_TypeObject.ExtendedAnnotationParameterValue_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.AnnotationParameterValue_equal
[       OK ] dds_DCPS_XTypes_TypeObject.AnnotationParameterValue_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.AppliedAnnotationParameter_equal
[       OK ] dds_DCPS_XTypes_TypeObject.AppliedAnnotationParameter_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.AppliedVerbatimAnnotation_equal
[       OK ] dds_DCPS_XTypes_TypeObject.AppliedVerbatimAnnotation_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.AppliedBuiltinMemberAnnotations_equal
[       OK ] dds_DCPS_XTypes_TypeObject.AppliedBuiltinMemberAnnotations_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonStructMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonStructMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteMemberDetail_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteMemberDetail_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalMemberDetail_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalMemberDetail_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteStructMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteStructMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalStructMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalStructMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.AppliedBuiltinTypeAnnotations_equal
[       OK ] dds_DCPS_XTypes_TypeObject.AppliedBuiltinTypeAnnotations_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalTypeDetail_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalTypeDetail_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteTypeDetail_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteTypeDetail_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteStructHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteStructHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalStructHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalStructHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteStructType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteStructType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalStructType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalStructType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonUnionMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonUnionMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteUnionMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteUnionMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalUnionMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalUnionMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonDiscriminatorMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonDiscriminatorMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteDiscriminatorMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteDiscriminatorMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalDiscriminatorMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalDiscriminatorMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteUnionHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteUnionHeader_equal (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalUnionHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalUnionHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteUnionType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteUnionType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalUnionType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalUnionType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonAnnotationParameter_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonAnnotationParameter_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteAnnotationParameter_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteAnnotationParameter_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalAnnotationParameter_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalAnnotationParameter_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteAnnotationHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteAnnotationHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalAnnotationHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalAnnotationHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteAnnotationType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteAnnotationType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalAnnotationType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalAnnotationType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonAliasBody_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonAliasBody_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteAliasBody_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteAliasBody_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalAliasBody_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalAliasBody_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteAliasHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteAliasHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalAliasHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalAliasHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteAliasType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteAliasType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalAliasType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalAliasType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteElementDetail_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteElementDetail_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonCollectionElement_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonCollectionElement_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteCollectionElement_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteCollectionElement_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalCollectionElement_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalCollectionElement_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonCollectionHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonCollectionHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteCollectionHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteCollectionHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalCollectionHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalCollectionHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteSequenceType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteSequenceType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalSequenceType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalSequenceType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonArrayHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonArrayHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteArrayHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteArrayHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalArrayHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalArrayHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteArrayType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteArrayType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalArrayType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalArrayType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteMapType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteMapType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalMapType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalMapType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonEnumeratedLiteral_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonEnumeratedLiteral_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteEnumeratedLiteral_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteEnumeratedLiteral_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalEnumeratedLiteral_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalEnumeratedLiteral_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonEnumeratedHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonEnumeratedHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteEnumeratedHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteEnumeratedHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalEnumeratedHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalEnumeratedHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteEnumeratedType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteEnumeratedType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalEnumeratedType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalEnumeratedType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonBitflag_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonBitflag_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteBitflag_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteBitflag_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalBitflag_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalBitflag_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteBitmaskType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteBitmaskType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalBitmaskType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalBitmaskType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonBitfield_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonBitfield_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteBitfield_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteBitfield_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalBitfield_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalBitfield_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteBitsetHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteBitsetHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalBitsetHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalBitsetHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteBitsetType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteBitsetType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalBitsetType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalBitsetType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteExtendedType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteExtendedType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalExtendedType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalExtendedType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteTypeObject_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteTypeObject_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalTypeObject_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalTypeObject_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.TypeObject_equal
[       OK ] dds_DCPS_XTypes_TypeObject.TypeObject_equal (0 ms)
[----------] 88 tests from dds_DCPS_XTypes_TypeObject (3 ms total)

[----------] 1 test from dds_DCPS_XTypes_TypeIdentifierTypeObjectPair
[ RUN      ] dds_DCPS_XTypes_TypeIdentifierTypeObjectPair.TypeIdentifierTypeObjectPair_equal
[       OK ] dds_DCPS_XTypes_TypeIdentifierTypeObjectPair.TypeIdentifierTypeObjectPair_equal (0 ms)
[----------] 1 test from dds_DCPS_XTypes_TypeIdentifierTypeObjectPair (1 ms total)

[----------] 1 test from dds_DCPS_XTypes_TypeIdentifierPair
[ RUN      ] dds_DCPS_XTypes_TypeIdentifierPair.TypeIdentifierPair_equal
[       OK ] dds_DCPS_XTypes_TypeIdentifierPair.TypeIdentifierPair_equal (0 ms)
[----------] 1 test from dds_DCPS_XTypes_TypeIdentifierPair (0 ms total)

[----------] 1 test from dds_DCPS_XTypes_TypeIdentifierWithSize
[ RUN      ] dds_DCPS_XTypes_TypeIdentifierWithSize.TypeIdentifierWithSize_equal
[       OK ] dds_DCPS_XTypes_TypeIdentifierWithSize.TypeIdentifierWithSize_equal (0 ms)
[----------] 1 test from dds_DCPS_XTypes_TypeIdentifierWithSize (0 ms total)

[----------] 5 tests from dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue
[ RUN      ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.enqueue
[       OK ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.enqueue (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.enqueue_vector
[       OK ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.enqueue_vector (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.purge
[       OK ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.purge (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.purge_remote
[       OK ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.purge_remote (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.purge_local
[       OK ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.purge_local (0 ms)
[----------] 5 tests from dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue (0 ms total)

[----------] 1 test from dds_DCPS_TransactionalRtpsSendQueue
[ RUN      ] dds_DCPS_TransactionalRtpsSendQueue.begin_and_end_transaction
[       OK ] dds_DCPS_TransactionalRtpsSendQueue.begin_and_end_transaction (0 ms)
[----------] 1 test from dds_DCPS_TransactionalRtpsSendQueue (0 ms total)

[----------] 5 tests from dds_DCPS_transport_rtps_udp_MetaSubmessage
[ RUN      ] dds_DCPS_transport_rtps_udp_MetaSubmessage.DefaultConstructor
[       OK ] dds_DCPS_transport_rtps_udp_MetaSubmessage.DefaultConstructor (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_MetaSubmessage.Constructor
[       OK ] dds_DCPS_transport_rtps_udp_MetaSubmessage.Constructor (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_MetaSubmessage.reset_destination
[       OK ] dds_DCPS_transport_rtps_udp_MetaSubmessage.reset_destination (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_MetaSubmessage.dedup_empty
[       OK ] dds_DCPS_transport_rtps_udp_MetaSubmessage.dedup_empty (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_MetaSubmessage.Merging
[       OK ] dds_DCPS_transport_rtps_udp_MetaSubmessage.Merging (1 ms)
[----------] 5 tests from dds_DCPS_transport_rtps_udp_MetaSubmessage (1 ms total)

[----------] 6 tests from dds_DCPS_transport_framework_ReceivedDataSample
[ RUN      ] dds_DCPS_transport_framework_ReceivedDataSample.defctor
[       OK ] dds_DCPS_transport_framework_ReceivedDataSample.defctor (0 ms)
[ RUN      ] dds_DCPS_transport_framework_ReceivedDataSample.msgblock
[       OK ] dds_DCPS_transport_framework_ReceivedDataSample.msgblock (0 ms)
[ RUN      ] dds_DCPS_transport_framework_ReceivedDataSample.alloc
[       OK ] dds_DCPS_transport_framework_ReceivedDataSample.alloc (0 ms)
[ RUN      ] dds_DCPS_transport_framework_ReceivedDataSample.cont
[       OK ] dds_DCPS_transport_framework_ReceivedDataSample.cont (0 ms)
[ RUN      ] dds_DCPS_transport_framework_ReceivedDataSample.ser_copy_peek
[       OK ] dds_DCPS_transport_framework_ReceivedDataSample.ser_copy_peek (0 ms)
[ RUN      ] dds_DCPS_transport_framework_ReceivedDataSample.modifiers
[       OK ] dds_DCPS_transport_framework_ReceivedDataSample.modifiers (0 ms)
[----------] 6 tests from dds_DCPS_transport_framework_ReceivedDataSample (0 ms total)

[----------] 13 tests from dds_DCPS_transport_framework_TransportReassembly
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Main_Test
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Main_Test (3 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Empty
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Empty (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_Has_Frag
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_Has_Frag (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_First_Insert_Has_No_Gaps
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_First_Insert_Has_No_Gaps (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_Gaps
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_Gaps (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_One_Then_Gap
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_One_Then_Gap (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_One_Then_Split_Gap
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_One_Then_Split_Gap (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Right_To_Left
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Right_To_Left (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Left_To_Right
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Left_To_Right (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Out_Of_Order
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Out_Of_Order (1 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Permutations
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Permutations (18 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Overlapping_Inputs
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Overlapping_Inputs (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Overlapping_Inputs_2
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Overlapping_Inputs_2 (0 ms)
[----------] 13 tests from dds_DCPS_transport_framework_TransportReassembly (23 ms total)

[----------] 2 tests from copy_chain_visitor
[ RUN      ] copy_chain_visitor.simple_copy
[       OK ] copy_chain_visitor.simple_copy (1 ms)
[ RUN      ] copy_chain_visitor.simple_duplicate
[       OK ] copy_chain_visitor.simple_duplicate (0 ms)
[----------] 2 tests from copy_chain_visitor (1 ms total)

[----------] 4 tests from dds_DCPS_RTPS_DiscoveredEntities
[ RUN      ] dds_DCPS_RTPS_DiscoveredEntities.LocalEntity_ctor
[       OK ] dds_DCPS_RTPS_DiscoveredEntities.LocalEntity_ctor (0 ms)
[ RUN      ] dds_DCPS_RTPS_DiscoveredEntities.DiscoveredParticipant_ctor
[       OK ] dds_DCPS_RTPS_DiscoveredEntities.DiscoveredParticipant_ctor (0 ms)
[ RUN      ] dds_DCPS_RTPS_DiscoveredEntities.DiscoveredSubscription_ctor
[       OK ] dds_DCPS_RTPS_DiscoveredEntities.DiscoveredSubscription_ctor (0 ms)
[ RUN      ] dds_DCPS_RTPS_DiscoveredEntities.DiscoveredPublication_ctor
[       OK ] dds_DCPS_RTPS_DiscoveredEntities.DiscoveredPublication_ctor (0 ms)
[----------] 4 tests from dds_DCPS_RTPS_DiscoveredEntities (0 ms total)

[----------] 1 test from dds_DCPS_RTPS_ParameterListConverter
[ RUN      ] dds_DCPS_RTPS_ParameterListConverter.maintest
[       OK ] dds_DCPS_RTPS_ParameterListConverter.maintest (5 ms)
[----------] 1 test from dds_DCPS_RTPS_ParameterListConverter (6 ms total)

[----------] 5 tests from dds_DCPS_RTPS_AssociationRecord
[ RUN      ] dds_DCPS_RTPS_AssociationRecord.BuiltinAssociationRecord_ctor
[       OK ] dds_DCPS_RTPS_AssociationRecord.BuiltinAssociationRecord_ctor (2 ms)
[ RUN      ] dds_DCPS_RTPS_AssociationRecord.BuiltinAssociationRecord_ctor_with_flags
[       OK ] dds_DCPS_RTPS_AssociationRecord.BuiltinAssociationRecord_ctor_with_flags (1 ms)
[ RUN      ] dds_DCPS_RTPS_AssociationRecord.BuiltinAssociationRecord_local_tokens_sent
[       OK ] dds_DCPS_RTPS_AssociationRecord.BuiltinAssociationRecord_local_tokens_sent (0 ms)
[ RUN      ] dds_DCPS_RTPS_AssociationRecord.WriterAssociationRecord_ctor
[       OK ] dds_DCPS_RTPS_AssociationRecord.WriterAssociationRecord_ctor (0 ms)
[ RUN      ] dds_DCPS_RTPS_AssociationRecord.ReaderAssociationRecord_ctor
[       OK ] dds_DCPS_RTPS_AssociationRecord.ReaderAssociationRecord_ctor (0 ms)
[----------] 5 tests from dds_DCPS_RTPS_AssociationRecord (3 ms total)

[----------] 8 tests from RtpsCoreTypeSupportImpl
[ RUN      ] RtpsCoreTypeSupportImpl.PropertyQosPolicy
[       OK ] RtpsCoreTypeSupportImpl.PropertyQosPolicy (0 ms)
[ RUN      ] RtpsCoreTypeSupportImpl.InsertParameter
[       OK ] RtpsCoreTypeSupportImpl.InsertParameter (1 ms)
[ RUN      ] RtpsCoreTypeSupportImpl.ExtractParameter
[       OK ] RtpsCoreTypeSupportImpl.ExtractParameter (0 ms)
[ RUN      ] RtpsCoreTypeSupportImpl.InsertFilterResult_t
[       OK ] RtpsCoreTypeSupportImpl.InsertFilterResult_t (0 ms)
[ RUN      ] RtpsCoreTypeSupportImpl.ExtractFilterResult_t
[       OK ] RtpsCoreTypeSupportImpl.ExtractFilterResult_t (0 ms)
[ RUN      ] RtpsCoreTypeSupportImpl.ExtractSequenceWithUnverifiedLength
[       OK ] RtpsCoreTypeSupportImpl.ExtractSequenceWithUnverifiedLength (0 ms)
[ RUN      ] RtpsCoreTypeSupportImpl.Serializer_test_issue4105
[       OK ] RtpsCoreTypeSupportImpl.Serializer_test_issue4105 (0 ms)
[ RUN      ] RtpsCoreTypeSupportImpl.Serializer_test_parameterlist
[       OK ] RtpsCoreTypeSupportImpl.Serializer_test_parameterlist (0 ms)
[----------] 8 tests from RtpsCoreTypeSupportImpl (1 ms total)

[----------] 1 test from dds_DCPS_RTPS_MessageUtils
[ RUN      ] dds_DCPS_RTPS_MessageUtils.maintest
[       OK ] dds_DCPS_RTPS_MessageUtils.maintest (1 ms)
[----------] 1 test from dds_DCPS_RTPS_MessageUtils (1 ms total)

[----------] 4 tests from dds_DCPS_RTPS_GuidGenerator
[ RUN      ] dds_DCPS_RTPS_GuidGenerator.not_NULL
[       OK ] dds_DCPS_RTPS_GuidGenerator.not_NULL (0 ms)
[ RUN      ] dds_DCPS_RTPS_GuidGenerator.populate
[       OK ] dds_DCPS_RTPS_GuidGenerator.populate (0 ms)
[ RUN      ] dds_DCPS_RTPS_GuidGenerator.getCount
[       OK ] dds_DCPS_RTPS_GuidGenerator.getCount (0 ms)
[ RUN      ] dds_DCPS_RTPS_GuidGenerator.interfaceName
[       OK ] dds_DCPS_RTPS_GuidGenerator.interfaceName (0 ms)
[----------] 4 tests from dds_DCPS_RTPS_GuidGenerator (0 ms total)

[----------] 18 tests from dds_DCPS_DispatchService
[ RUN      ] dds_DCPS_DispatchService.DefaultConstructor
[       OK ] dds_DCPS_DispatchService.DefaultConstructor (0 ms)
[ RUN      ] dds_DCPS_DispatchService.ArgConstructorFour
[       OK ] dds_DCPS_DispatchService.ArgConstructorFour (1 ms)
[ RUN      ] dds_DCPS_DispatchService.ArgConstructorOrderAlpha
[       OK ] dds_DCPS_DispatchService.ArgConstructorOrderAlpha (0 ms)
[ RUN      ] dds_DCPS_DispatchService.ArgConstructorOrderBeta
[       OK ] dds_DCPS_DispatchService.ArgConstructorOrderBeta (1 ms)
[ RUN      ] dds_DCPS_DispatchService.SimpleDispatchAlpha
[       OK ] dds_DCPS_DispatchService.SimpleDispatchAlpha (0 ms)
[ RUN      ] dds_DCPS_DispatchService.SimpleDispatchBeta
[       OK ] dds_DCPS_DispatchService.SimpleDispatchBeta (0 ms)
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchAlpha
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchAlpha (0 ms)
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchAlpha_ImmediateShutdown
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchAlpha_ImmediateShutdown (1 ms)
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchBeta
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchBeta (0 ms)
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchBeta_ImmediateShutdown
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchBeta_ImmediateShutdown (1 ms)
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchGamma
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchGamma (1 ms)
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchGamma_ImmediateShutdown
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchGamma_ImmediateShutdown (2 ms)
[ RUN      ] dds_DCPS_DispatchService.InternalShutdown
[       OK ] dds_DCPS_DispatchService.InternalShutdown (0 ms)
[ RUN      ] dds_DCPS_DispatchService.ShutdownReturnsPending
[       OK ] dds_DCPS_DispatchService.ShutdownReturnsPending (0 ms)
[ RUN      ] dds_DCPS_DispatchService.TimedDispatch
[       OK ] dds_DCPS_DispatchService.TimedDispatch (91 ms)
[ RUN      ] dds_DCPS_DispatchService.TimedDispatchSingleThreaded
[       OK ] dds_DCPS_DispatchService.TimedDispatchSingleThreaded (91 ms)
[ RUN      ] dds_DCPS_DispatchService.CancelDispatch
[       OK ] dds_DCPS_DispatchService.CancelDispatch (70 ms)
[ RUN      ] dds_DCPS_DispatchService.CancelDispatchSingleThreaded
[       OK ] dds_DCPS_DispatchService.CancelDispatchSingleThreaded (71 ms)
[----------] 18 tests from dds_DCPS_DispatchService (330 ms total)

[----------] 9 tests from dds_DCPS_WaitSet
[ RUN      ] dds_DCPS_WaitSet.DefaultConstructor
[       OK ] dds_DCPS_WaitSet.DefaultConstructor (0 ms)
[ RUN      ] dds_DCPS_WaitSet.VarDuplicate
[       OK ] dds_DCPS_WaitSet.VarDuplicate (0 ms)
[ RUN      ] dds_DCPS_WaitSet.AttachDetachFailures
[       OK ] dds_DCPS_WaitSet.AttachDetachFailures (1 ms)
[ RUN      ] dds_DCPS_WaitSet.AttachDetach
[       OK ] dds_DCPS_WaitSet.AttachDetach (0 ms)
[ RUN      ] dds_DCPS_WaitSet.AttachDetachAll
[       OK ] dds_DCPS_WaitSet.AttachDetachAll (0 ms)
[ RUN      ] dds_DCPS_WaitSet.AttachNoDetach
[       OK ] dds_DCPS_WaitSet.AttachNoDetach (0 ms)
[ RUN      ] dds_DCPS_WaitSet.WaitBogusDeadline
[       OK ] dds_DCPS_WaitSet.WaitBogusDeadline (0 ms)
[ RUN      ] dds_DCPS_WaitSet.WaitDeadlineTimeout
[       OK ] dds_DCPS_WaitSet.WaitDeadlineTimeout (0 ms)
[ RUN      ] dds_DCPS_WaitSet.WaitDeadlineTriggered
[       OK ] dds_DCPS_WaitSet.WaitDeadlineTriggered (0 ms)
[----------] 9 tests from dds_DCPS_WaitSet (1 ms total)

[----------] 6 tests from dds_DCPS_RcObject
[ RUN      ] dds_DCPS_RcObject.ctors_weak
[       OK ] dds_DCPS_RcObject.ctors_weak (0 ms)
[ RUN      ] dds_DCPS_RcObject.assign_weak
[       OK ] dds_DCPS_RcObject.assign_weak (0 ms)
[ RUN      ] dds_DCPS_RcObject.add_remove_ref_count
[       OK ] dds_DCPS_RcObject.add_remove_ref_count (0 ms)
[ RUN      ] dds_DCPS_RcObject.lock_reset_weak
[       OK ] dds_DCPS_RcObject.lock_reset_weak (0 ms)
[ RUN      ] dds_DCPS_RcObject.lock_failed
[       OK ] dds_DCPS_RcObject.lock_failed (0 ms)
[ RUN      ] dds_DCPS_RcObject.compare_weak
[       OK ] dds_DCPS_RcObject.compare_weak (0 ms)
[----------] 6 tests from dds_DCPS_RcObject (0 ms total)

[----------] 2 tests from dds_DCPS_TimeDuration
[ RUN      ] dds_DCPS_TimeDuration.str
[       OK ] dds_DCPS_TimeDuration.str (1 ms)
[ RUN      ] dds_DCPS_TimeDuration.double_ctor
[       OK ] dds_DCPS_TimeDuration.double_ctor (0 ms)
[----------] 2 tests from dds_DCPS_TimeDuration (1 ms total)

[----------] 17 tests from dds_DCPS_ServiceEventDispatcher
[ RUN      ] dds_DCPS_ServiceEventDispatcher.DefaultConstructor
[       OK ] dds_DCPS_ServiceEventDispatcher.DefaultConstructor (0 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.ArgConstructorFour
[       OK ] dds_DCPS_ServiceEventDispatcher.ArgConstructorFour (1 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.ArgConstructorOrderAlpha
[       OK ] dds_DCPS_ServiceEventDispatcher.ArgConstructorOrderAlpha (0 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.ArgConstructorOrderBeta
[       OK ] dds_DCPS_ServiceEventDispatcher.ArgConstructorOrderBeta (1 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.SimpleDispatchAlpha
[       OK ] dds_DCPS_ServiceEventDispatcher.SimpleDispatchAlpha (0 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.SimpleDispatchBeta
[       OK ] dds_DCPS_ServiceEventDispatcher.SimpleDispatchBeta (1 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchAlpha
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchAlpha (1 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchAlpha_ImmediateShutdown
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchAlpha_ImmediateShutdown (0 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchBeta
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchBeta (1 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchBeta_ImmediateShutdown
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchBeta_ImmediateShutdown (1 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchGamma
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchGamma (4 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchGamma_ImmediateShutdown
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchGamma_ImmediateShutdown (4 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.TestShutdown
[       OK ] dds_DCPS_ServiceEventDispatcher.TestShutdown (1 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.TimedDispatch
[       OK ] dds_DCPS_ServiceEventDispatcher.TimedDispatch (90 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.TimedDispatchSingleThreaded
[       OK ] dds_DCPS_ServiceEventDispatcher.TimedDispatchSingleThreaded (91 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.CancelDispatch
[       OK ] dds_DCPS_ServiceEventDispatcher.CancelDispatch (71 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.CancelDispatchSingleThreaded
[       OK ] dds_DCPS_ServiceEventDispatcher.CancelDispatchSingleThreaded (70 ms)
[----------] 17 tests from dds_DCPS_ServiceEventDispatcher (337 ms total)

[----------] 4 tests from dds_DCPS_DisjointSequence
[ RUN      ] dds_DCPS_DisjointSequence.maintest
[       OK ] dds_DCPS_DisjointSequence.maintest (1 ms)
[ RUN      ] dds_DCPS_DisjointSequence.OrderedRanges_main_test
[       OK ] dds_DCPS_DisjointSequence.OrderedRanges_main_test (0 ms)
[ RUN      ] dds_DCPS_DisjointSequence.OrderedRanges_insert_out_of_order
[       OK ] dds_DCPS_DisjointSequence.OrderedRanges_insert_out_of_order (0 ms)
[ RUN      ] dds_DCPS_DisjointSequence.OrderedRanges_insert_ranges
[       OK ] dds_DCPS_DisjointSequence.OrderedRanges_insert_ranges (0 ms)
[----------] 4 tests from dds_DCPS_DisjointSequence (1 ms total)

[----------] 7 tests from dds_DCPS_InternalDataReader
[ RUN      ] dds_DCPS_InternalDataReader.durable
[       OK ] dds_DCPS_InternalDataReader.durable (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.register_instance
[       OK ] dds_DCPS_InternalDataReader.register_instance (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.write
[       OK ] dds_DCPS_InternalDataReader.write (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.unregister_instance
[       OK ] dds_DCPS_InternalDataReader.unregister_instance (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.dispose
[       OK ] dds_DCPS_InternalDataReader.dispose (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.remove_publication
[       OK ] dds_DCPS_InternalDataReader.remove_publication (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.listener
[       OK ] dds_DCPS_InternalDataReader.listener (1002 ms)
[----------] 7 tests from dds_DCPS_InternalDataReader (1002 ms total)

[----------] 5 tests from dds_DCPS_InternalTopic
[ RUN      ] dds_DCPS_InternalTopic.connect_writer
[       OK ] dds_DCPS_InternalTopic.connect_writer (0 ms)
[ RUN      ] dds_DCPS_InternalTopic.connect_reader
[       OK ] dds_DCPS_InternalTopic.connect_reader (0 ms)
[ RUN      ] dds_DCPS_InternalTopic.disconnect_writer
[       OK ] dds_DCPS_InternalTopic.disconnect_writer (0 ms)
[ RUN      ] dds_DCPS_InternalTopic.disconnect_reader
[       OK ] dds_DCPS_InternalTopic.disconnect_reader (0 ms)
[ RUN      ] dds_DCPS_InternalTopic.connect_multiple
[       OK ] dds_DCPS_InternalTopic.connect_multiple (0 ms)
[----------] 5 tests from dds_DCPS_InternalTopic (0 ms total)

[----------] 26 tests from dds_DCPS_NetworkAddress
[ RUN      ] dds_DCPS_NetworkAddress.DefaultConstructor
[       OK ] dds_DCPS_NetworkAddress.DefaultConstructor (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.AddrConstructorDefault
[       OK ] dds_DCPS_NetworkAddress.AddrConstructorDefault (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.AddrConstructorPortStrIpFour
[       OK ] dds_DCPS_NetworkAddress.AddrConstructorPortStrIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.AddrConstructorStrIpFour
[       OK ] dds_DCPS_NetworkAddress.AddrConstructorStrIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.AddrConstructorPortStrIpSix
[       OK ] dds_DCPS_NetworkAddress.AddrConstructorPortStrIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.AddrConstructortStrIpSix
[       OK ] dds_DCPS_NetworkAddress.AddrConstructortStrIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.PortStrConstructorIpFour
[       OK ] dds_DCPS_NetworkAddress.PortStrConstructorIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.StrConstructorIpFour
[       OK ] dds_DCPS_NetworkAddress.StrConstructorIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.PortStrConstructorIpSix
[       OK ] dds_DCPS_NetworkAddress.PortStrConstructorIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.StrConstructorIpSix
[       OK ] dds_DCPS_NetworkAddress.StrConstructorIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.SetPortIpFour
[       OK ] dds_DCPS_NetworkAddress.SetPortIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.SetPortIpSix
[       OK ] dds_DCPS_NetworkAddress.SetPortIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.OperatorsIpFour
[       OK ] dds_DCPS_NetworkAddress.OperatorsIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.OperatorsIpSix
[       OK ] dds_DCPS_NetworkAddress.OperatorsIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsAnyIpFour
[       OK ] dds_DCPS_NetworkAddress.IsAnyIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsAnyIpSix
[       OK ] dds_DCPS_NetworkAddress.IsAnyIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsLoopbackIpFour
[       OK ] dds_DCPS_NetworkAddress.IsLoopbackIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsLoopbackIpSix
[       OK ] dds_DCPS_NetworkAddress.IsLoopbackIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsMulticastIpFour
[       OK ] dds_DCPS_NetworkAddress.IsMulticastIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsMulticastIpSix
[       OK ] dds_DCPS_NetworkAddress.IsMulticastIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsPrivateIpFour
[       OK ] dds_DCPS_NetworkAddress.IsPrivateIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsUniqueLocalIpSix
[       OK ] dds_DCPS_NetworkAddress.IsUniqueLocalIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsLinkLocalIpSix
[       OK ] dds_DCPS_NetworkAddress.IsLinkLocalIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsSiteLocalIpSix
[       OK ] dds_DCPS_NetworkAddress.IsSiteLocalIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsMoreLocalIpFour
[       OK ] dds_DCPS_NetworkAddress.IsMoreLocalIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsMoreLocalIpSix
[       OK ] dds_DCPS_NetworkAddress.IsMoreLocalIpSix (0 ms)
[----------] 26 tests from dds_DCPS_NetworkAddress (1 ms total)

[----------] 42 tests from dds_DCPS_PrinterValueWriter
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_struct
[       OK ] dds_DCPS_PrinterValueWriter.begin_struct (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_struct
[       OK ] dds_DCPS_PrinterValueWriter.end_struct (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_struct_member
[       OK ] dds_DCPS_PrinterValueWriter.begin_struct_member (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_struct_member
[       OK ] dds_DCPS_PrinterValueWriter.end_struct_member (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_union
[       OK ] dds_DCPS_PrinterValueWriter.begin_union (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_union
[       OK ] dds_DCPS_PrinterValueWriter.end_union (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_discriminator
[       OK ] dds_DCPS_PrinterValueWriter.begin_discriminator (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_discriminator
[       OK ] dds_DCPS_PrinterValueWriter.end_discriminator (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_union_member
[       OK ] dds_DCPS_PrinterValueWriter.begin_union_member (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_union_member
[       OK ] dds_DCPS_PrinterValueWriter.end_union_member (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.complete_struct
[       OK ] dds_DCPS_PrinterValueWriter.complete_struct (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_array
[       OK ] dds_DCPS_PrinterValueWriter.begin_array (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_array
[       OK ] dds_DCPS_PrinterValueWriter.end_array (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_sequence
[       OK ] dds_DCPS_PrinterValueWriter.begin_sequence (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_sequence
[       OK ] dds_DCPS_PrinterValueWriter.end_sequence (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_element
[       OK ] dds_DCPS_PrinterValueWriter.begin_element (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_element
[       OK ] dds_DCPS_PrinterValueWriter.end_element (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.complete_sequence
[       OK ] dds_DCPS_PrinterValueWriter.complete_sequence (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.complete_sequence_write_array
[       OK ] dds_DCPS_PrinterValueWriter.complete_sequence_write_array (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.complete_array
[       OK ] dds_DCPS_PrinterValueWriter.complete_array (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.complete_array_write_array
[       OK ] dds_DCPS_PrinterValueWriter.complete_array_write_array (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.complete_struct_with_complete_array
[       OK ] dds_DCPS_PrinterValueWriter.complete_struct_with_complete_array (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_boolean
[       OK ] dds_DCPS_PrinterValueWriter.write_boolean (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_byte
[       OK ] dds_DCPS_PrinterValueWriter.write_byte (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_int8
[       OK ] dds_DCPS_PrinterValueWriter.write_int8 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_uint8
[       OK ] dds_DCPS_PrinterValueWriter.write_uint8 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_int16
[       OK ] dds_DCPS_PrinterValueWriter.write_int16 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_uint16
[       OK ] dds_DCPS_PrinterValueWriter.write_uint16 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_int32
[       OK ] dds_DCPS_PrinterValueWriter.write_int32 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_uint32
[       OK ] dds_DCPS_PrinterValueWriter.write_uint32 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_int64
[       OK ] dds_DCPS_PrinterValueWriter.write_int64 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_uint64
[       OK ] dds_DCPS_PrinterValueWriter.write_uint64 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_float32
[       OK ] dds_DCPS_PrinterValueWriter.write_float32 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_float64
[       OK ] dds_DCPS_PrinterValueWriter.write_float64 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_float128
[       OK ] dds_DCPS_PrinterValueWriter.write_float128 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_fixed
[       OK ] dds_DCPS_PrinterValueWriter.write_fixed (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_char8
[       OK ] dds_DCPS_PrinterValueWriter.write_char8 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_char8_null
[       OK ] dds_DCPS_PrinterValueWriter.write_char8_null (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_char16
[       OK ] dds_DCPS_PrinterValueWriter.write_char16 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_char16_null
[       OK ] dds_DCPS_PrinterValueWriter.write_char16_null (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_string
[       OK ] dds_DCPS_PrinterValueWriter.write_string (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_enum
[       OK ] dds_DCPS_PrinterValueWriter.write_enum (0 ms)
[----------] 42 tests from dds_DCPS_PrinterValueWriter (2 ms total)

[----------] 5 tests from dds_DCPS_SporadicEvent
[ RUN      ] dds_DCPS_SporadicEvent.ConstructDestruct
[       OK ] dds_DCPS_SporadicEvent.ConstructDestruct (0 ms)
[ RUN      ] dds_DCPS_SporadicEvent.Nominal
[       OK ] dds_DCPS_SporadicEvent.Nominal (2 ms)
[ RUN      ] dds_DCPS_SporadicEvent.MoveUp
[       OK ] dds_DCPS_SporadicEvent.MoveUp (2 ms)
[ RUN      ] dds_DCPS_SporadicEvent.NoDoubleExec
[       OK ] dds_DCPS_SporadicEvent.NoDoubleExec (201 ms)
[ RUN      ] dds_DCPS_SporadicEvent.Cancel
[       OK ] dds_DCPS_SporadicEvent.Cancel (101 ms)
[----------] 5 tests from dds_DCPS_SporadicEvent (306 ms total)

[----------] 4 tests from dds_DCPS_EventDispatcher
[ RUN      ] dds_DCPS_EventDispatcher.EventBaseConstructDestruct
[       OK ] dds_DCPS_EventDispatcher.EventBaseConstructDestruct (0 ms)
[ RUN      ] dds_DCPS_EventDispatcher.EventBasePassThrough
[       OK ] dds_DCPS_EventDispatcher.EventBasePassThrough (0 ms)
[ RUN      ] dds_DCPS_EventDispatcher.EventBaseHandleException
[       OK ] dds_DCPS_EventDispatcher.EventBaseHandleException (22 ms)
[ RUN      ] dds_DCPS_EventDispatcher.TestEventDispatcher
[       OK ] dds_DCPS_EventDispatcher.TestEventDispatcher (0 ms)
[----------] 4 tests from dds_DCPS_EventDispatcher (22 ms total)

[----------] 143 tests from dds_DCPS_Qos_Helper
[ RUN      ] dds_DCPS_Qos_Helper.maintest
[       OK ] dds_DCPS_Qos_Helper.maintest (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TransportPriorityQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.TransportPriorityQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TransportPriorityQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.TransportPriorityQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TransportPriorityQosPolicyBuilder_value
[       OK ] dds_DCPS_Qos_Helper.TransportPriorityQosPolicyBuilder_value (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LifespanQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.LifespanQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LifespanQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.LifespanQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LifespanQosPolicyBuilder_duration
[       OK ] dds_DCPS_Qos_Helper.LifespanQosPolicyBuilder_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_kind
[       OK ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_volatile
[       OK ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_volatile (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_transient_local
[       OK ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_transient_local (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_transient
[       OK ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_transient (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_persistent
[       OK ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_persistent (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_service_cleanup_delay
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_service_cleanup_delay (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_history_kind
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_history_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_keep_last
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_keep_last (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_keep_all
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_keep_all (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_history_depth
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_history_depth (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_max_samples
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_max_samples (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_max_instances
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_max_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_max_samples_per_instance
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_max_samples_per_instance (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DeadlineQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.DeadlineQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DeadlineQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.DeadlineQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DeadlineQosPolicyBuilder_period
[       OK ] dds_DCPS_Qos_Helper.DeadlineQosPolicyBuilder_period (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LatencyBudgetQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.LatencyBudgetQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LatencyBudgetQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.LatencyBudgetQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LatencyBudgetQosPolicyBuilder_duration
[       OK ] dds_DCPS_Qos_Helper.LatencyBudgetQosPolicyBuilder_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_kind
[       OK ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_shared
[       OK ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_shared (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_exclusive
[       OK ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_exclusive (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipStrengthQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.OwnershipStrengthQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipStrengthQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.OwnershipStrengthQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipStrengthQosPolicyBuilder_value
[       OK ] dds_DCPS_Qos_Helper.OwnershipStrengthQosPolicyBuilder_value (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_kind
[       OK ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_automatic
[       OK ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_automatic (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_manual_by_participant
[       OK ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_manual_by_participant (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_manual_by_topic
[       OK ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_manual_by_topic (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_lease_duration
[       OK ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_lease_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_max_samples
[       OK ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_max_samples (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_max_instances
[       OK ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_max_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_max_samples_per_instance
[       OK ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_max_samples_per_instance (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.WriterDataLifecycleQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.WriterDataLifecycleQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.WriterDataLifecycleQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.WriterDataLifecycleQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.WriterDataLifecycleQosPolicyBuilder_autodispose_unregistered_instances
[       OK ] dds_DCPS_Qos_Helper.WriterDataLifecycleQosPolicyBuilder_autodispose_unregistered_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_topic_data_value
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_topic_data_value (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_kind
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_volatile
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_volatile (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_transient_local
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_transient_local (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_transient
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_transient (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_persistent
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_persistent (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_service_cleanup_delay
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_service_cleanup_delay (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_history_kind
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_history_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_keep_last
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_keep_last (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_keep_all
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_keep_all (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_history_depth
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_history_depth (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_max_samples
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_max_samples (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_max_instances
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_max_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_max_samples_per_instance
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_max_samples_per_instance (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_deadline_period
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_deadline_period (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_latency_budget_duration
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_latency_budget_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_kind
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_automatic
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_automatic (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_manual_by_participant
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_manual_by_participant (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_manual_by_topic
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_manual_by_topic (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_lease_duration
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_lease_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_kind
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_best_effort
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_best_effort (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_reliable
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_reliable (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_max_blocking_time
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_max_blocking_time (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_destination_order_kind
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_destination_order_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_destination_order_by_source_timestamp
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_destination_order_by_source_timestamp (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_destination_order_by_reception_timestamp
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_destination_order_by_reception_timestamp (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_kind
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_keep_last
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_keep_last (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_keep_all
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_keep_all (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_depth
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_depth (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_resource_limits_max_samples
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_resource_limits_max_samples (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_resource_limits_max_instances
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_resource_limits_max_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_resource_limits_max_samples_per_instance
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_resource_limits_max_samples_per_instance (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_transport_priority_value
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_transport_priority_value (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_lifespan_duration
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_lifespan_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_ownership_kind
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_ownership_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_ownership_shared
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_ownership_shared (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_ownership_exclusive
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_ownership_exclusive (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_kind
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_volatile
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_volatile (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_transient_local
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_transient_local (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_transient
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_transient (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_persistent
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_persistent (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_service_cleanup_delay
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_service_cleanup_delay (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_history_kind
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_history_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_keep_last
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_keep_last (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_keep_all
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_keep_all (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_history_depth
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_history_depth (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_max_samples
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_max_samples (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_max_instances
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_max_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_max_samples_per_instance
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_max_samples_per_instance (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_deadline_period
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_deadline_period (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_latency_budget_duration
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_latency_budget_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_kind
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_automatic
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_automatic (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_manual_by_participant
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_manual_by_participant (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_manual_by_topic
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_manual_by_topic (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_lease_duration
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_lease_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_kind
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_best_effort
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_best_effort (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_reliable
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_reliable (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_max_blocking_time
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_max_blocking_time (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_destination_order_kind
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_destination_order_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_destination_order_by_source_timestamp
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_destination_order_by_source_timestamp (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_destination_order_by_reception_timestamp
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_destination_order_by_reception_timestamp (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_kind
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_keep_last
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_keep_last (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_keep_all
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_keep_all (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_depth
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_depth (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_resource_limits_max_samples
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_resource_limits_max_samples (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_resource_limits_max_instances
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_resource_limits_max_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_resource_limits_max_samples_per_instance
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_resource_limits_max_samples_per_instance (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_transport_priority_value
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_transport_priority_value (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_lifespan_duration
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_lifespan_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_user_data_value
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_user_data_value (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_kind
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_shared
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_shared (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_exclusive
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_exclusive (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_strength_value
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_strength_value (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_writer_data_lifecycle_autodispose_unregistered_instances
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_writer_data_lifecycle_autodispose_unregistered_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Publisher_ctor
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Publisher_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Publisher_ctor_error
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Publisher_ctor_error (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Topic_ctor
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Topic_ctor (1 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Topic_ctor_error
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Topic_ctor_error (0 ms)
[----------] 143 tests from dds_DCPS_Qos_Helper (5 ms total)

[----------] 3 tests from dds_DCPS_PeriodicEvent
[ RUN      ] dds_DCPS_PeriodicEvent.ConstructDestruct
[       OK ] dds_DCPS_PeriodicEvent.ConstructDestruct (0 ms)
[ RUN      ] dds_DCPS_PeriodicEvent.Nominal
[       OK ] dds_DCPS_PeriodicEvent.Nominal (600 ms)
[ RUN      ] dds_DCPS_PeriodicEvent.NoDoubleExec
[       OK ] dds_DCPS_PeriodicEvent.NoDoubleExec (1001 ms)
[----------] 3 tests from dds_DCPS_PeriodicEvent (1602 ms total)

[----------] 1 test from dds_DCPS_DataSampleHeader
[ RUN      ] dds_DCPS_DataSampleHeader.valid_data
[       OK ] dds_DCPS_DataSampleHeader.valid_data (0 ms)
[----------] 1 test from dds_DCPS_DataSampleHeader (0 ms total)

[----------] 1 test from dds_DCPS_SequenceNumber
[ RUN      ] dds_DCPS_SequenceNumber.maintest
[       OK ] dds_DCPS_SequenceNumber.maintest (0 ms)
[----------] 1 test from dds_DCPS_SequenceNumber (0 ms total)

[----------] 1 test from dds_DCPS_MemoryPool
[ RUN      ] dds_DCPS_MemoryPool.maintest
[       OK ] dds_DCPS_MemoryPool.maintest (8 ms)
[----------] 1 test from dds_DCPS_MemoryPool (8 ms total)

[----------] 9 tests from dds_DCPS_SporadicTask
[ RUN      ] dds_DCPS_SporadicTask.schedule
[       OK ] dds_DCPS_SporadicTask.schedule (0 ms)
[ RUN      ] dds_DCPS_SporadicTask.schedule_pmf
[       OK ] dds_DCPS_SporadicTask.schedule_pmf (1 ms)
[ RUN      ] dds_DCPS_SporadicTask.schedule_error
[       OK ] dds_DCPS_SporadicTask.schedule_error (0 ms)
[ RUN      ] dds_DCPS_SporadicTask.schedule_earlier
[       OK ] dds_DCPS_SporadicTask.schedule_earlier (1 ms)
[ RUN      ] dds_DCPS_SporadicTask.schedule_later
[       OK ] dds_DCPS_SporadicTask.schedule_later (0 ms)
[ RUN      ] dds_DCPS_SporadicTask.schedule_no_interceptor
[       OK ] dds_DCPS_SporadicTask.schedule_no_interceptor (1 ms)
[ RUN      ] dds_DCPS_SporadicTask.cancel_not_scheduled
[       OK ] dds_DCPS_SporadicTask.cancel_not_scheduled (0 ms)
[ RUN      ] dds_DCPS_SporadicTask.cancel_scheduled
[       OK ] dds_DCPS_SporadicTask.cancel_scheduled (0 ms)
[ RUN      ] dds_DCPS_SporadicTask.cancel_no_interceptor
[       OK ] dds_DCPS_SporadicTask.cancel_no_interceptor (1 ms)
[----------] 9 tests from dds_DCPS_SporadicTask (4 ms total)

[----------] 2 tests from dds_DCPS_GuidUtils
[ RUN      ] dds_DCPS_GuidUtils.guid_t_vs_octet_array16_size_test
[       OK ] dds_DCPS_GuidUtils.guid_t_vs_octet_array16_size_test (0 ms)
[ RUN      ] dds_DCPS_GuidUtils.guid_pair_cmp
[       OK ] dds_DCPS_GuidUtils.guid_pair_cmp (0 ms)
[----------] 2 tests from dds_DCPS_GuidUtils (0 ms total)

[----------] 12 tests from dds_DCPS_NetworkResource
[ RUN      ] dds_DCPS_NetworkResource.hostname_info
[       OK ] dds_DCPS_NetworkResource.hostname_info (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.fully_qualified_domain_hostname_basic
(29797|29797) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
[       OK ] dds_DCPS_NetworkResource.fully_qualified_domain_hostname_basic (6 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv4
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv4 (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6 (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_localhost
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_localhost (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_double_self
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_double_self (3 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals_port0
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals_port0 (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals_localhost
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals_localhost (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals_localhost_port0
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals_localhost_port0 (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv4_literals
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv4_literals (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv4_literals_port0
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv4_literals_port0 (0 ms)
[----------] 12 tests from dds_DCPS_NetworkResource (9 ms total)

[----------] 1 test from dds_DCPS_AtomicBool
[ RUN      ] dds_DCPS_AtomicBool.tsan_test
[       OK ] dds_DCPS_AtomicBool.tsan_test (1 ms)
[----------] 1 test from dds_DCPS_AtomicBool (1 ms total)

[----------] 3 tests from dds_DCPS_GuidConverter
[ RUN      ] dds_DCPS_GuidConverter.prefixes_to_ParticipantID
[       OK ] dds_DCPS_GuidConverter.prefixes_to_ParticipantID (0 ms)
[ RUN      ] dds_DCPS_GuidConverter.validate_Checksum
[       OK ] dds_DCPS_GuidConverter.validate_Checksum (0 ms)
[ RUN      ] dds_DCPS_GuidConverter.validate_IDs_and_Key
[       OK ] dds_DCPS_GuidConverter.validate_IDs_and_Key (1 ms)
[----------] 3 tests from dds_DCPS_GuidConverter (1 ms total)

[----------] 7 tests from dds_DCPS_InternalDataWriter
[ RUN      ] dds_DCPS_InternalDataWriter.add_reader
[       OK ] dds_DCPS_InternalDataWriter.add_reader (0 ms)
[ RUN      ] dds_DCPS_InternalDataWriter.add_reader_durable
[       OK ] dds_DCPS_InternalDataWriter.add_reader_durable (0 ms)
[ RUN      ] dds_DCPS_InternalDataWriter.remove_reader
[       OK ] dds_DCPS_InternalDataWriter.remove_reader (0 ms)
[ RUN      ] dds_DCPS_InternalDataWriter.register_instance
[       OK ] dds_DCPS_InternalDataWriter.register_instance (0 ms)
[ RUN      ] dds_DCPS_InternalDataWriter.write
[       OK ] dds_DCPS_InternalDataWriter.write (0 ms)
[ RUN      ] dds_DCPS_InternalDataWriter.unregister_instance
[       OK ] dds_DCPS_InternalDataWriter.unregister_instance (0 ms)
[ RUN      ] dds_DCPS_InternalDataWriter.dispose
[       OK ] dds_DCPS_InternalDataWriter.dispose (0 ms)
[----------] 7 tests from dds_DCPS_InternalDataWriter (0 ms total)

[----------] 6 tests from dds_DCPS_ThreadPool
[ RUN      ] dds_DCPS_ThreadPool.NoArgConstructor
[       OK ] dds_DCPS_ThreadPool.NoArgConstructor (0 ms)
[ RUN      ] dds_DCPS_ThreadPool.ArgConstructorZero
[       OK ] dds_DCPS_ThreadPool.ArgConstructorZero (0 ms)
[ RUN      ] dds_DCPS_ThreadPool.ArgConstructorOne
[       OK ] dds_DCPS_ThreadPool.ArgConstructorOne (0 ms)
[ RUN      ] dds_DCPS_ThreadPool.ArgConstructorFour
[       OK ] dds_DCPS_ThreadPool.ArgConstructorFour (1 ms)
[ RUN      ] dds_DCPS_ThreadPool.ArgConstructorSixteen
[       OK ] dds_DCPS_ThreadPool.ArgConstructorSixteen (2 ms)
[ RUN      ] dds_DCPS_ThreadPool.CheckMembership
[       OK ] dds_DCPS_ThreadPool.CheckMembership (1 ms)
[----------] 6 tests from dds_DCPS_ThreadPool (4 ms total)

[----------] 1 test from dds_DCPS_DomainParticipantImpl
[ RUN      ] dds_DCPS_DomainParticipantImpl.maintest
[       OK ] dds_DCPS_DomainParticipantImpl.maintest (0 ms)
[----------] 1 test from dds_DCPS_DomainParticipantImpl (0 ms total)

[----------] 5 tests from dds_DCPS_FibonacciSequence
[ RUN      ] dds_DCPS_FibonacciSequence.size_t_test
[       OK ] dds_DCPS_FibonacciSequence.size_t_test (0 ms)
[ RUN      ] dds_DCPS_FibonacciSequence.TimeDuration_test
[       OK ] dds_DCPS_FibonacciSequence.TimeDuration_test (0 ms)
[ RUN      ] dds_DCPS_FibonacciSequence.advance_with_max
[       OK ] dds_DCPS_FibonacciSequence.advance_with_max (0 ms)
[ RUN      ] dds_DCPS_FibonacciSequence.set_with_value
[       OK ] dds_DCPS_FibonacciSequence.set_with_value (0 ms)
[ RUN      ] dds_DCPS_FibonacciSequence.set_with_two_values
[       OK ] dds_DCPS_FibonacciSequence.set_with_two_values (0 ms)
[----------] 5 tests from dds_DCPS_FibonacciSequence (0 ms total)

[----------] 8 tests from dds_DCPS_AddressCache
[ RUN      ] dds_DCPS_AddressCache.load_fail
[       OK ] dds_DCPS_AddressCache.load_fail (0 ms)
[ RUN      ] dds_DCPS_AddressCache.store_load_success
[       OK ] dds_DCPS_AddressCache.store_load_success (0 ms)
[ RUN      ] dds_DCPS_AddressCache.store_remove_load_fail
[       OK ] dds_DCPS_AddressCache.store_remove_load_fail (0 ms)
[ RUN      ] dds_DCPS_AddressCache.store_remove_id_load_fail
[       OK ] dds_DCPS_AddressCache.store_remove_id_load_fail (0 ms)
[ RUN      ] dds_DCPS_AddressCache.scoped_access_load_success
[       OK ] dds_DCPS_AddressCache.scoped_access_load_success (0 ms)
[ RUN      ] dds_DCPS_AddressCache.scoped_access_cache_hit
[       OK ] dds_DCPS_AddressCache.scoped_access_cache_hit (0 ms)
[ RUN      ] dds_DCPS_AddressCache.store_twice
[       OK ] dds_DCPS_AddressCache.store_twice (0 ms)
[ RUN      ] dds_DCPS_AddressCache.scoped_access_expired
[       OK ] dds_DCPS_AddressCache.scoped_access_expired (0 ms)
[----------] 8 tests from dds_DCPS_AddressCache (0 ms total)

[----------] 60 tests from dds_DCPS_Serializer
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding
[       OK ] dds_DCPS_Serializer.Encoding_Encoding (1 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR1_ENDIAN_BIG
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR1_ENDIAN_BIG (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_UNALIGNED_CDR_ENDIAN_BIG
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_UNALIGNED_CDR_ENDIAN_BIG (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_ENDIAN_BIG
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_ENDIAN_BIG (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR1_ENDIAN_LITTLE
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR1_ENDIAN_LITTLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_UNALIGNED_CDR_ENDIAN_LITTLE
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_UNALIGNED_CDR_ENDIAN_LITTLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_ENDIAN_LITTLE
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_ENDIAN_LITTLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_Swap
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_Swap (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_No_Swap
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_No_Swap (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR1_max_align
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR1_max_align (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_UNALIGNED_CDR_max_align
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_UNALIGNED_CDR_max_align (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_max_align
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_max_align (0 ms)
[ RUN      ] dds_DCPS_Serializer.align_value_no_offset
[       OK ] dds_DCPS_Serializer.align_value_no_offset (0 ms)
[ RUN      ] dds_DCPS_Serializer.align_value_add_offset
[       OK ] dds_DCPS_Serializer.align_value_add_offset (0 ms)
[ RUN      ] dds_DCPS_Serializer.align_value_smaller_than_by
[       OK ] dds_DCPS_Serializer.align_value_smaller_than_by (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_is_encapsulated_this_XCDR1
[       OK ] dds_DCPS_Serializer.Encoding_is_encapsulated_this_XCDR1 (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_is_encapsulated_this_UNALIGNED_CDR
[       OK ] dds_DCPS_Serializer.Encoding_is_encapsulated_this_UNALIGNED_CDR (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_static_is_encacapsulatd_XCDR2
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_static_is_encacapsulatd_XCDR2 (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_EncapsulationHeader
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_EncapsulationHeader (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_EncapsulationHeader_Encoding_Valid
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_EncapsulationHeader_Encoding_Valid (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_EncapsulationHeader_Encoding_Invalid
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_EncapsulationHeader_Encoding_Invalid (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR1_BIG_FINAL
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR1_BIG_FINAL (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR1_LITTLE_FINAL
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR1_LITTLE_FINAL (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR1_LITTLE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR1_LITTLE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR2_LITTLE_FINAL
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR2_LITTLE_FINAL (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR2_LITTLE_APPENDABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR2_LITTLE_APPENDABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR2_LITTLE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR2_LITTLE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_UNALIGNED_CDR_LITTLE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_UNALIGNED_CDR_LITTLE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_NOT_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_NOT_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR_LE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR_LE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR_LE_NOT_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR_LE_NOT_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR_BE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR_BE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR_LE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR_LE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR2_BE_FINAL
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR2_BE_FINAL (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR2_LE_FINAL
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR2_LE_FINAL (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_D_CDR2_BE_APPENDABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_D_CDR2_BE_APPENDABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_D_CDR2_LE_APPENDABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_D_CDR2_LE_APPENDABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR2_BE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR2_BE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR2_LE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR2_LE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_XML
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_XML (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_INVALID
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_INVALID (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_Serializer_ACE_Message_Block_Encoding
[       OK ] dds_DCPS_Serializer.Serializer_Serializer_ACE_Message_Block_Encoding (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_Serializer_ACE_Message_Block_Kind
[       OK ] dds_DCPS_Serializer.Serializer_Serializer_ACE_Message_Block_Kind (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_Serializer_ACE_Message_Block_Kind_bool
[       OK ] dds_DCPS_Serializer.Serializer_Serializer_ACE_Message_Block_Kind_bool (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_set_endianness
[       OK ] dds_DCPS_Serializer.Serializer_set_endianness (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_swap_bytes_endianness
[       OK ] dds_DCPS_Serializer.Serializer_swap_bytes_endianness (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_align_context_basic_reference
[       OK ] dds_DCPS_Serializer.Serializer_align_context_basic_reference (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_align_context_basic
[       OK ] dds_DCPS_Serializer.Serializer_align_context_basic (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_align_context_2_buff
[       OK ] dds_DCPS_Serializer.Serializer_align_context_2_buff (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_align_context_2_buff_diff_walign
[       OK ] dds_DCPS_Serializer.Serializer_align_context_2_buff_diff_walign (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_align_context_2_buff_diff_walign_read
[       OK ] dds_DCPS_Serializer.Serializer_align_context_2_buff_diff_walign_read (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_align_context_2_buff_diff_walign_read_with_min
[       OK ] dds_DCPS_Serializer.Serializer_align_context_2_buff_diff_walign_read_with_min (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_test_peek_align
[       OK ] dds_DCPS_Serializer.Serializer_test_peek_align (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_test_peek_depth
[       OK ] dds_DCPS_Serializer.Serializer_test_peek_depth (8 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_test_trim
[       OK ] dds_DCPS_Serializer.Serializer_test_trim (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_test_bad_string
[       OK ] dds_DCPS_Serializer.Serializer_test_bad_string (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_test_bad_wstring
[       OK ] dds_DCPS_Serializer.Serializer_test_bad_wstring (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_test_bad_string2
[       OK ] dds_DCPS_Serializer.Serializer_test_bad_string2 (0 ms)
[ RUN      ] dds_DCPS_Serializer.read_parameter_id_xcdr2
[       OK ] dds_DCPS_Serializer.read_parameter_id_xcdr2 (0 ms)
[----------] 60 tests from dds_DCPS_Serializer (11 ms total)

[----------] 6 tests from dds_DCPS_Time_Helper
[ RUN      ] dds_DCPS_Time_Helper.infinite_duration_to_time_value
[       OK ] dds_DCPS_Time_Helper.infinite_duration_to_time_value (0 ms)
[ RUN      ] dds_DCPS_Time_Helper.infinite_duration_to_absolute_time_value
[       OK ] dds_DCPS_Time_Helper.infinite_duration_to_absolute_time_value (0 ms)
[ RUN      ] dds_DCPS_Time_Helper.finite_duration_to_time_value
[       OK ] dds_DCPS_Time_Helper.finite_duration_to_time_value (0 ms)
[ RUN      ] dds_DCPS_Time_Helper.Duration_t_difference
[       OK ] dds_DCPS_Time_Helper.Duration_t_difference (0 ms)
[ RUN      ] dds_DCPS_Time_Helper.MonotonicTime_t_equal
[       OK ] dds_DCPS_Time_Helper.MonotonicTime_t_equal (0 ms)
[ RUN      ] dds_DCPS_Time_Helper.make_duration
[       OK ] dds_DCPS_Time_Helper.make_duration (0 ms)
[----------] 6 tests from dds_DCPS_Time_Helper (0 ms total)

[----------] Global test environment tear-down
[==========] 688 tests from 55 test cases ran. (4041 ms total)
[  PASSED  ] 688 tests.
test PASSED.

auto_run_tests_finished: tests/unit-tests/run_test.pl Time:5s Result:0

==============================================================================

tests/stress-tests/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/stress-tests/StressTests  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile StressTests.log -DCPSPendingTimeout 3 
StressTests PID: 29963 started at 2023-04-21 22:21:10
[==========] Running 7 tests from 5 test cases.
[----------] Global test environment set-up.
[----------] 2 tests from dds_DCPS_DispatchService
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchDelta
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchDelta (171 ms)
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchDelta_ImmediateShutdown
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchDelta_ImmediateShutdown (167 ms)
[----------] 2 tests from dds_DCPS_DispatchService (338 ms total)

[----------] 1 test from dds_DCPS_RcObject
[ RUN      ] dds_DCPS_RcObject.WRCH_lock_vs_RCH_reset
[       OK ] dds_DCPS_RcObject.WRCH_lock_vs_RCH_reset (3900 ms)
[----------] 1 test from dds_DCPS_RcObject (3900 ms total)

[----------] 2 tests from dds_DCPS_ServiceEventDispatcher
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchDelta
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchDelta (257 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchDelta_ImmediateShutdown
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchDelta_ImmediateShutdown (233 ms)
[----------] 2 tests from dds_DCPS_ServiceEventDispatcher (490 ms total)

[----------] 1 test from dds_DCPS_MultiTask
[ RUN      ] dds_DCPS_MultiTask.TimingChecker
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
total_count = 0
TestObj::execute() called at  22:21:17.678903
TestObj::execute() called at  22:21:19.678908
total_count = 2
TestObj::execute() called at  22:21:20.777227
TestObj::execute() called at  22:21:20.877527
TestObj::execute() called at  22:21:20.977804
TestObj::execute() called at  22:21:21.078103
TestObj::execute() called at  22:21:21.178409
TestObj::execute() called at  22:21:21.278693
TestObj::execute() called at  22:21:21.378980
TestObj::execute() called at  22:21:21.479271
TestObj::execute() called at  22:21:21.579549
TestObj::execute() called at  22:21:21.680555
TestObj::execute() called at  22:21:21.780879
TestObj::execute() called at  22:21:21.881156
TestObj::execute() called at  22:21:21.981433
TestObj::execute() called at  22:21:22.081658
TestObj::execute() called at  22:21:22.181935
TestObj::execute() called at  22:21:22.282226
TestObj::execute() called at  22:21:22.382558
TestObj::execute() called at  22:21:22.482935
TestObj::execute() called at  22:21:22.583177
TestObj::execute() called at  22:21:22.683456
enable_calls = 1745
total_count = 22
TestObj::execute() called at  22:21:24.685415
TestObj::execute() called at  22:21:26.685413
total_count = 24
[       OK ] dds_DCPS_MultiTask.TimingChecker (12645 ms)
[----------] 1 test from dds_DCPS_MultiTask (12645 ms total)

[----------] 1 test from dds_DCPS_SporadicTask
[ RUN      ] dds_DCPS_SporadicTask.TimingChecker
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
total_count = 0
TestObj::execute() called at  22:21:30.293900
total_count = 1
TestObj::execute() called at  22:21:33.392217
TestObj::execute() called at  22:21:33.492427
TestObj::execute() called at  22:21:33.592634
TestObj::execute() called at  22:21:33.692843
TestObj::execute() called at  22:21:33.793126
TestObj::execute() called at  22:21:33.893412
TestObj::execute() called at  22:21:33.993687
TestObj::execute() called at  22:21:34.093953
TestObj::execute() called at  22:21:34.194223
TestObj::execute() called at  22:21:34.294427
TestObj::execute() called at  22:21:34.394696
TestObj::execute() called at  22:21:34.494966
TestObj::execute() called at  22:21:34.595255
TestObj::execute() called at  22:21:34.695542
TestObj::execute() called at  22:21:34.795755
TestObj::execute() called at  22:21:34.896015
TestObj::execute() called at  22:21:34.996282
TestObj::execute() called at  22:21:35.096454
TestObj::execute() called at  22:21:35.196739
TestObj::execute() called at  22:21:35.297016
schedule_calls = 1745
total_count = 21
total_count = 21
[       OK ] dds_DCPS_SporadicTask.TimingChecker (9615 ms)
[----------] 1 test from dds_DCPS_SporadicTask (9615 ms total)

[----------] Global test environment tear-down
[==========] 7 tests from 5 test cases ran. (26989 ms total)
[  PASSED  ] 7 tests.
test PASSED.

auto_run_tests_finished: tests/stress-tests/run_test.pl Time:27s Result:0

==============================================================================

tests/DCPS/KeyTest/run_test.pl keymarshalling #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/KeyTest/KeyMarshalling  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile keymarshalling.log -DCPSPendingTimeout 3 
keymarshalling PID: 30013 started at 2023-04-21 22:21:37
Messenger1::Message
  bound = 0
0xd41d8cd98f00b204e9800998ecf8427e
Messenger2::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger3::Message
  bound = 8
0x0ee0646c1c77d8131cc8f4ee65c7673b
Messenger4::Message
  bound = <unbounded>
0x01344d28b287d2f40237b2a4a0ff2e3b
Messenger5::Message
  bound = <unbounded>
0xf1d3ff8443297732862df21dc4e57262
Messenger6::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger7::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger8::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger9::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger10::Message
  bound = <unbounded>
0x4716e03130f78bb16871f3b75b93bd26
Messenger11::Message
  bound = 16
0x190c4c105786a2121d85018939108a6c
Messenger12::Message
  bound = 20
0x4d5555e067dd97d08fef90959b1510cb
Messenger1::Message
  bound = 0
0xd41d8cd98f00b204e9800998ecf8427e
Messenger2::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger3::Message
  bound = 8
0x0ee0646c1c77d8131cc8f4ee65c7673b
Messenger4::Message
  bound = <unbounded>
0x01344d28b287d2f40237b2a4a0ff2e3b
Messenger5::Message
  bound = <unbounded>
0xf1d3ff8443297732862df21dc4e57262
Messenger6::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger7::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger8::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger9::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger10::Message
  bound = <unbounded>
0x4716e03130f78bb16871f3b75b93bd26
Messenger11::Message
  bound = 16
0x190c4c105786a2121d85018939108a6c
Messenger12::Message
  bound = 20
0x4d5555e067dd97d08fef90959b1510cb
Messenger1::Message
  bound = 0
0xd41d8cd98f00b204e9800998ecf8427e
Messenger2::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger3::Message
  bound = 8
0x0ee0646c1c77d8131cc8f4ee65c7673b
Messenger4::Message
  bound = <unbounded>
0x01344d28b287d2f40237b2a4a0ff2e3b
Messenger5::Message
  bound = <unbounded>
0xf1d3ff8443297732862df21dc4e57262
Messenger6::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger7::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger8::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger9::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger10::Message
  bound = <unbounded>
0x4716e03130f78bb16871f3b75b93bd26
Messenger11::Message
  bound = 16
0x190c4c105786a2121d85018939108a6c
Messenger12::Message
  bound = 20
0x4d5555e067dd97d08fef90959b1510cb
test PASSED.

auto_run_tests_finished: tests/DCPS/KeyTest/run_test.pl keymarshalling Time:0s Result:0

==============================================================================

tests/DCPS/KeyTest/run_test.pl isbounded #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/KeyTest/IsBounded  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile isbounded.log -DCPSPendingTimeout 3 
isbounded PID: 30016 started at 2023-04-21 22:21:37
test PASSED.

auto_run_tests_finished: tests/DCPS/KeyTest/run_test.pl isbounded Time:0s Result:0

==============================================================================

tests/DCPS/KeyTest/run_test.pl md5 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/KeyTest/KeyTest_MD5  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile md5.log -DCPSPendingTimeout 3 
md5 PID: 30018 started at 2023-04-21 22:21:37
0xe4d909c290d0fb1ca068ffaddf22cbd0
0xe4d909c290d0fb1ca068ffaddf22cbd0
0xd41d8cd98f00b204e9800998ecf8427e
0xd41d8cd98f00b204e9800998ecf8427e
0x9e107d9d372bb6826bd81d3542a419d6
0x9e107d9d372bb6826bd81d3542a419d6
test PASSED.

auto_run_tests_finished: tests/DCPS/KeyTest/run_test.pl md5 Time:1s Result:0

==============================================================================

tests/DCPS/KeyTest/run_test.pl compiler #

compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_array_noindex.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_bad_nesting.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_missing_right_bracket.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_multi_dim_array.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_nofield.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_nonarrayindex2.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_nonarrayindex.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_struct_no_nest.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_sequence.idl

auto_run_tests_finished: tests/DCPS/KeyTest/run_test.pl compiler Time:0s Result:0

==============================================================================

tests/DCPS/CompatibilityTest/run_test.pl #

Test #1


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 30048
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c true -d transient_local -k automatic -r reliable -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 30055 started at 2023-04-21 22:21:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 30056 started at 2023-04-21 22:21:38
(30048|30048) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #2


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 30071
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 7 -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 30078 started at 2023-04-21 22:21:49
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 30079 started at 2023-04-21 22:21:49
(30071|30071) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #3


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 30094
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l infinite -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 30101 started at 2023-04-21 22:21:59
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 30102 started at 2023-04-21 22:21:59
(30094|30094) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #4


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 30117
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l infinite -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 30124 started at 2023-04-21 22:22:10
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l infinite -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 30125 started at 2023-04-21 22:22:10
(30117|30117) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #5


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 30140
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 30147 started at 2023-04-21 22:22:20
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 30148 started at 2023-04-21 22:22:20
(30140|30140) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #6


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 30163
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 30170 started at 2023-04-21 22:22:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l infinite -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 30171 started at 2023-04-21 22:22:34
(30163|30163) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #7


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 30197
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 30204 started at 2023-04-21 22:22:44
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 30205 started at 2023-04-21 22:22:44
(30197|30197) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #8


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 30222
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 30229 started at 2023-04-21 22:22:58
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r best_effort -l 5 -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 30230 started at 2023-04-21 22:22:58
(30222|30222) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #9


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 30245
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 30252 started at 2023-04-21 22:23:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d volatile -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 30253 started at 2023-04-21 22:23:11
(30245|30245) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/CompatibilityTest/run_test.pl Time:107s Result:0

==============================================================================

tests/DCPS/CompatibilityTest/run_test.pl rtps_disc #

Test #1


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c true -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 30269 started at 2023-04-21 22:23:25
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 30270 started at 2023-04-21 22:23:25
test PASSED.


Test #2


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 7 -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 30281 started at 2023-04-21 22:23:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 30282 started at 2023-04-21 22:23:35
test PASSED.


Test #3


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l infinite -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 30301 started at 2023-04-21 22:23:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 30302 started at 2023-04-21 22:23:45
test PASSED.


Test #4


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l infinite -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 30317 started at 2023-04-21 22:23:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l infinite -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 30318 started at 2023-04-21 22:23:55
test PASSED.


Test #5


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 30329 started at 2023-04-21 22:24:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 30330 started at 2023-04-21 22:24:05
test PASSED.


Test #6


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 30342 started at 2023-04-21 22:24:15
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l infinite -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 30343 started at 2023-04-21 22:24:15
test PASSED.


Test #7


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 30356 started at 2023-04-21 22:24:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 30357 started at 2023-04-21 22:24:26
test PASSED.


Test #8


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 30376 started at 2023-04-21 22:24:36
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r best_effort -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 30377 started at 2023-04-21 22:24:36
test PASSED.


Test #9


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 30388 started at 2023-04-21 22:24:46
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d volatile -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 30389 started at 2023-04-21 22:24:46
test PASSED.

auto_run_tests_finished: tests/DCPS/CompatibilityTest/run_test.pl rtps_disc Time:91s Result:0

==============================================================================

tests/DCPS/CompatibilityTest/run_test.pl rtps_disc_tcp #

Test #1


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c true -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 30401 started at 2023-04-21 22:24:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 30402 started at 2023-04-21 22:24:56
test PASSED.


Test #2


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 7 -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 30414 started at 2023-04-21 22:25:06
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 30415 started at 2023-04-21 22:25:06
test PASSED.


Test #3


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l infinite -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 30427 started at 2023-04-21 22:25:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 30428 started at 2023-04-21 22:25:16
test PASSED.


Test #4


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l infinite -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 30440 started at 2023-04-21 22:25:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l infinite -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 30441 started at 2023-04-21 22:25:26
test PASSED.


Test #5


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 30460 started at 2023-04-21 22:25:37
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 30461 started at 2023-04-21 22:25:37
test PASSED.


Test #6


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 30472 started at 2023-04-21 22:25:47
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l infinite -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 30473 started at 2023-04-21 22:25:47
test PASSED.


Test #7


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 30484 started at 2023-04-21 22:25:57
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 30485 started at 2023-04-21 22:25:57
test PASSED.


Test #8


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 30496 started at 2023-04-21 22:26:07
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r best_effort -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 30497 started at 2023-04-21 22:26:07
test PASSED.


Test #9


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 30508 started at 2023-04-21 22:26:17
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d volatile -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 30509 started at 2023-04-21 22:26:17
test PASSED.

auto_run_tests_finished: tests/DCPS/CompatibilityTest/run_test.pl rtps_disc_tcp Time:91s Result:0

==============================================================================

tests/DCPS/Partition/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 30523
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Partition/publisher -ORBLogFile test_run.data -DCPSPendingTimeout 3 
pub PID: 30530 started at 2023-04-21 22:26:27
(30523|30523) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Partition/subscriber  -DCPSPendingTimeout 3 
sub PID: 30539 started at 2023-04-21 22:26:30
(30539|30539) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(30539|30545) DataReaderListener::on_subscription_matched
(30539|30545) Requested Partition
Partition	Name
=========	====
** Zero length partition name sequence (default) **
(30539|30539) DataReaderListener::on_subscription_matched
(30539|30539) Requested Partition
Partition	Name
=========	====
** Zero length partition name sequence (default) **
(30539|30541) DataReaderListener::on_subscription_matched
(30539|30541) Requested Partition
Partition	Name
=========	====
 0		Amadeus
 1		Wun1Two23Tree
(30539|30539) DataReaderListener::on_subscription_matched
(30539|30539) Requested Partition
Partition	Name
=========	====
 0		Amadeus
 1		Wun1Two23Tree
(30539|30541) DataReaderListener::on_subscription_matched
(30539|30541) Requested Partition
Partition	Name
=========	====
 0		ZiggieStardust
(30539|30541) DataReaderListener::on_subscription_matched
(30539|30541) Requested Partition
Partition	Name
=========	====
 0		""
(30539|30539) DataReaderListener::on_subscription_matched
(30539|30539) Requested Partition
Partition	Name
=========	====
 0		""
(30539|30541) DataReaderListener::on_subscription_matched
(30539|30541) Requested Partition
Partition	Name
=========	====
 0		*
(30539|30541) DataReaderListener::on_subscription_matched
(30539|30541) Requested Partition
Partition	Name
=========	====
 0		*
(30539|30539) DataReaderListener::on_subscription_matched
(30539|30539) Requested Partition
Partition	Name
=========	====
 0		*
(30539|30541) DataReaderListener::on_subscription_matched
(30539|30541) Requested Partition
Partition	Name
=========	====
 0		*
(30539|30540) DataReaderListener::on_subscription_matched
(30539|30540) Requested Partition
Partition	Name
=========	====
** Zero length partition name sequence (default) **
(30539|30540) DataReaderListener::on_subscription_matched
(30539|30540) Requested Partition
Partition	Name
=========	====
 0		Amadeus
 1		Wun1Two23Tree
(30539|30540) DataReaderListener::on_subscription_matched
(30539|30540) Requested Partition
Partition	Name
=========	====
 0		ZiggieStardust
(30539|30540) DataReaderListener::on_subscription_matched
(30539|30540) Requested Partition
Partition	Name
=========	====
 0		""
(30539|30540) DataReaderListener::on_subscription_matched
(30539|30540) Requested Partition
Partition	Name
=========	====
 0		*
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Partition/run_test.pl Time:21s Result:0

==============================================================================

tests/DCPS/Deadline/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 30556
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Deadline/publisher -DCPSConfigFile pub.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 30563 started at 2023-04-21 22:26:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Deadline/subscriber -DCPSConfigFile sub.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 30564 started at 2023-04-21 22:26:48
(30556|30556) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Deadline/run_test.pl Time:27s Result:0

==============================================================================

tests/DCPS/Deadline/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Deadline/publisher -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 30583 started at 2023-04-21 22:27:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Deadline/subscriber -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 30584 started at 2023-04-21 22:27:16
test PASSED.

auto_run_tests_finished: tests/DCPS/Deadline/run_test.pl rtps_disc Time:29s Result:0

==============================================================================

tests/DCPS/SetQosDeadline/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 30609
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosDeadline/publisher -DCPSConfigFile pub.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 30616 started at 2023-04-21 22:27:44
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosDeadline/subscriber -DCPSConfigFile sub.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 30617 started at 2023-04-21 22:27:44
(30609|30609) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
DataReaderListenerImpl::on_requested_incompatible_qos
DataReaderListenerImpl[0x1f9cd10]::on_subscription_matched tc=1 tcc=1 cc=1 ccc=1
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
instance is unregistered
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl[0x1f9cd10]::on_subscription_matched tc=1 tcc=0 cc=0 ccc=-1
DataReaderListenerImpl::on_subscription_lost
DataReaderListenerImpl[0x1f9cd10]::on_subscription_matched tc=2 tcc=1 cc=1 ccc=1
DataReaderListenerImpl[0x1fdec30]::on_subscription_matched tc=1 tcc=1 cc=1 ccc=1
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 1
  total_count_change = 1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 2
  total_count_change = 1
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 3
  total_count_change = 1
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 1
  total_count_change = 1
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 4
  total_count_change = 1
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl[0x1f9cd10]::on_subscription_matched tc=2 tcc=0 cc=0 ccc=-1
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl[0x1fdec30]::on_subscription_matched tc=1 tcc=0 cc=0 ccc=-1
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/SetQosDeadline/run_test.pl Time:23s Result:0

==============================================================================

tests/DCPS/SetQosDeadline/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosDeadline/publisher -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 30636 started at 2023-04-21 22:28:07
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosDeadline/subscriber -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 30637 started at 2023-04-21 22:28:07
DataReaderListenerImpl::on_requested_incompatible_qos
DataReaderListenerImpl[0x1b94800]::on_subscription_matched tc=1 tcc=1 cc=1 ccc=1
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
instance is unregistered
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl[0x1b94800]::on_subscription_matched tc=1 tcc=0 cc=0 ccc=-1
DataReaderListenerImpl[0x1b94800]::on_subscription_matched tc=2 tcc=1 cc=1 ccc=1
DataReaderListenerImpl[0x1babbf0]::on_subscription_matched tc=1 tcc=1 cc=1 ccc=1
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 1
  total_count_change = 1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 2
  total_count_change = 1
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 3
  total_count_change = 1
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 1
  total_count_change = 1
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 4
  total_count_change = 1
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl[0x1b94800]::on_subscription_matched tc=2 tcc=0 cc=0 ccc=-1
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl[0x1babbf0]::on_subscription_matched tc=1 tcc=0 cc=0 ccc=-1
test PASSED.

auto_run_tests_finished: tests/DCPS/SetQosDeadline/run_test.pl rtps_disc Time:22s Result:0

==============================================================================

tests/DCPS/SetQosPartition/run_test.pl ini=inforepo_tcp.ini #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 30651
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosPartition/pubsub -DCPSPendingTimeout 0 -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pubsub.log -DCPSConfigFile inforepo_tcp.ini 
pubsub PID: 30658 started at 2023-04-21 22:28:29
(30651|30651) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Pub waiting for match on partition A
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
instance is unregistered
Pub waiting for match on partition B
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 10
         text       = Worst. Movie. Ever.
Pub waiting for additional match on partition B
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
instance is disposed
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
instance is disposed
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/SetQosPartition/run_test.pl ini=inforepo_tcp.ini Time:1s Result:0

==============================================================================

tests/DCPS/SetQosPartition/run_test.pl ini=rtps_rtps.ini #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosPartition/pubsub -DCPSPendingTimeout 0 -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pubsub.log -DCPSConfigFile rtps_rtps.ini 
pubsub PID: 30673 started at 2023-04-21 22:28:30
Pub waiting for match on partition A
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
instance is unregistered
Pub waiting for match on partition B
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 10
         text       = Worst. Movie. Ever.
Pub waiting for additional match on partition B
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
instance is disposed
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
instance is disposed
test PASSED.

auto_run_tests_finished: tests/DCPS/SetQosPartition/run_test.pl ini=rtps_rtps.ini Time:4s Result:0

==============================================================================

tests/DCPS/SetQosPartition/run_test.pl ini=rtps_tcp.ini #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosPartition/pubsub -DCPSPendingTimeout 0 -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pubsub.log -DCPSConfigFile rtps_tcp.ini 
pubsub PID: 30687 started at 2023-04-21 22:28:34
Pub waiting for match on partition A
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
instance is unregistered
Pub waiting for match on partition B
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 10
         text       = Worst. Movie. Ever.
Pub waiting for additional match on partition B
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
instance is disposed
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
instance is disposed
test PASSED.

auto_run_tests_finished: tests/DCPS/SetQosPartition/run_test.pl ini=rtps_tcp.ini Time:2s Result:0

==============================================================================

tests/DCPS/StringKey/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 30709
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StringKey/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub.log -DCPSPendingTimeout 3 
pub PID: 30716 started at 2023-04-21 22:28:36
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StringKey/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub.log -DCPSPendingTimeout 3 
sub PID: 30717 started at 2023-04-21 22:28:36
(30709|30709) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
HANDLE CHANGED: previous handle = 0
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/StringKey/run_test.pl Time:2s Result:0

==============================================================================

tests/DCPS/GuardCondition/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/GuardCondition/GuardConditionTest  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile GuardConditionTest.log -DCPSPendingTimeout 3 
GuardConditionTest PID: 30734 started at 2023-04-21 22:28:38
test PASSED.

auto_run_tests_finished: tests/DCPS/GuardCondition/run_test.pl Time:9s Result:0

==============================================================================

tests/DCPS/ReadCondition/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -NOBITS -DCPSPendingTimeout 3 
InfoRepo PID: 30740
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReadCondition/ReadConditionTest -DCPSConfigFile dcps.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile rc.log -DCPSBit 0  -DCPSPendingTimeout 3 
rc PID: 30747 started at 2023-04-21 22:28:48
wait returned
took sample 1
took sample 2
took sample 3
testing take_next_instance_w_condition
took sample 4
took sample 5
took sample 6
took sample 7
took sample 8
took sample 9
took sample 10
took sample 11
wait returned
an instance has been disposed, exiting
wait returned
took sample 1
took sample 2
took sample 3
testing take_instance_w_condition
wait returned
took sample 4
took sample 5
took sample 6
testing take_instance_w_condition
wait returned
took sample 7
took sample 8
took sample 9
testing take_instance_w_condition
wait returned
took sample 10
took sample 11
testing take_instance_w_condition
an instance has been disposed, exiting
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ReadCondition/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/RegisterInstance/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RegisterInstance/register_instance_test -DCPSConfigFile rtps_disc.ini -ORBLogFile output.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
register_instance_test PID: 30753 started at 2023-04-21 22:28:48
test PASSED.

auto_run_tests_finished: tests/DCPS/RegisterInstance/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/FileSystemStorage/run_test.pl #

encoded {} =>	{}
encoded {f} =>	{CO======}
encoded {fo} =>	{CPNG====}
encoded {foo} =>	{CPNMU===}
encoded {foob} =>	{CPNMUOG=}
encoded {fooba} =>	{CPNMUOJ1}
encoded {foobar} =>	{CPNMUOJ1E8======}
encoded {The[quIck]brOwn-fox?jumPes\oVer The/lazy dog!} =>	{AHK6AMRHEL4M6QQTC9P4UTRE5LJ6UU1VD9QMQK35EDE6ULJ5E8G58Q355TM62UJP41I6UPP1}
Testing file and directory operations...
...done

auto_run_tests_finished: tests/DCPS/FileSystemStorage/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/LatencyBudget/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 30763
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LatencyBudget/publisher  -ORBLogFile test_run.data -DCPSPendingTimeout 3 
pub PID: 30770 started at 2023-04-21 22:28:49
(30763|30763) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LatencyBudget/subscriber  -DCPSPendingTimeout 3 
sub PID: 30781 started at 2023-04-21 22:28:51
(30781|30781) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/LatencyBudget/run_test.pl Time:31s Result:0

==============================================================================

tests/DCPS/LatencyBudget/run_test.pl late #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 30793
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LatencyBudget/publisher -o 10 -ORBLogFile test_run.data -DCPSPendingTimeout 3 
pub PID: 30800 started at 2023-04-21 22:29:19
(30793|30793) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LatencyBudget/subscriber -l 10 -DCPSPendingTimeout 3 
sub PID: 30810 started at 2023-04-21 22:29:21
(30810|30810) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 1
       max = 10.0014
       min = 10.0014
      mean = 10.0014
  variance = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 2
       max = 10.0021
       min = 10.0014
      mean = 10.0017
  variance = 1.16622e-07
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 3
       max = 10.0104
       min = 10.0014
      mean = 10.0046
  variance = 1.67916e-05
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 4
       max = 10.0107
       min = 10.0014
      mean = 10.0061
  variance = 1.95927e-05
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 5
       max = 10.0111
       min = 10.0014
      mean = 10.0071
  variance = 1.95665e-05
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 6
       max = 10.0114
       min = 10.0014
      mean = 10.0078
  variance = 1.88387e-05
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 7
       max = 10.0118
       min = 10.0014
      mean = 10.0084
  variance = 1.80832e-05
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 8
       max = 10.0121
       min = 10.0014
      mean = 10.0089
  variance = 1.73437e-05
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 9
       max = 10.0125
       min = 10.0014
      mean = 10.0093
  variance = 1.66973e-05
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 10
       max = 10.0128
       min = 10.0014
      mean = 10.0096
  variance = 1.61368e-05
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/LatencyBudget/run_test.pl late Time:31s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl single #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 30826
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1 -n 1 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 30833 started at 2023-04-21 22:29:50
(30833|30833) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(30833|30833) -> Thrasher started
(30833|30833) -> Subscriber::Subscriber
(30826|30826) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(30833|30833) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(30833|30833)    Subscriber reader id: 01030000.257e23eb.00000002.00000507(fab2f0c3)
(30833|30833) -> PublisherService::PublisherService
(30833|30833) -> PublisherService::start (1 threads)
(30833|30833) sub wait_received 0:1
(30833|30842) pub0  writer id: 01030000.257e23eb.00000003.00000102(d3d4e8f8)
(30833|30842) pub0->started
(30833|30842) pub0->wait_match() before write for 01030000.257e23eb.00000003.00000102(d3d4e8f8)
(30833|30842) pub0<-match found! before write for 01030000.257e23eb.00000003.00000102(d3d4e8f8)
(30833|30841)  sub 100% (1 samples received)
(30833|30841) sub condition_.notify_all
(30833|30833) sub condition_.wait returned
(30833|30833) sub check_received
(30833|30833) sub check_received returns 0
(30833|30833) <- PublisherService::end
(30833|30842) pub0  100% (1 samples sent)
(30833|30842) pub0  waiting for acks
(30833|30842) pub0  waiting for acks returned
(30833|30842) pub0<-delete_contained_entities
(30833|30842) pub0<-delete_participant
(30833|30833) <- PublisherService::~PublisherService
(30833|30833) <- Subscriber delete_contained_entities
(30833|30833) <- Subscriber delete_participant
(30833|30833) <- Subscriber::~Subscriber
(30833|30833) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl single Time:0s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl double #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 30844
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 2 -s 1 -n 2 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 30851 started at 2023-04-21 22:29:50
(30851|30851) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(30851|30851) -> Thrasher started
(30851|30851) -> Subscriber::Subscriber
(30844|30844) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(30851|30851) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(30851|30851)    Subscriber reader id: 01030000.7bc0dc89.00000002.00000507(b1d75262)
(30851|30851) -> PublisherService::PublisherService
(30851|30851) -> PublisherService::start (2 threads)
(30851|30851) sub wait_received 0:2
(30851|30860) pub0  writer id: 01030000.7bc0dc89.00000003.00000102(98b14a59)
(30851|30860) pub0->started
(30851|30860) pub0->wait_match() before write for 01030000.7bc0dc89.00000003.00000102(98b14a59)
(30851|30860) pub0<-match found! before write for 01030000.7bc0dc89.00000003.00000102(98b14a59)
(30851|30859)  sub 50% (1 samples received)
(30851|30860) pub0  100% (1 samples sent)
(30851|30860) pub0  waiting for acks
(30851|30860) pub0  waiting for acks returned
(30851|30860) pub0<-delete_contained_entities
(30851|30861) pub1  writer id: 01030000.7bc0dc89.00000004.00000102(2a919649)
(30851|30861) pub1->started
(30851|30861) pub1->wait_match() before write for 01030000.7bc0dc89.00000004.00000102(2a919649)
(30851|30861) pub1<-match found! before write for 01030000.7bc0dc89.00000004.00000102(2a919649)
(30851|30859)  sub 100% (2 samples received)
(30851|30859) sub condition_.notify_all
(30851|30851) sub condition_.wait returned
(30851|30851) sub check_received
(30851|30851) sub check_received returns 0
(30851|30851) <- PublisherService::end
(30851|30861) pub1  100% (1 samples sent)
(30851|30861) pub1  waiting for acks
(30851|30861) pub1  waiting for acks returned
(30851|30861) pub1<-delete_contained_entities
(30851|30860) pub0<-delete_participant
(30851|30861) pub1<-delete_participant
(30851|30851) <- PublisherService::~PublisherService
(30851|30851) <- Subscriber delete_contained_entities
(30851|30851) <- Subscriber delete_participant
(30851|30851) <- Subscriber::~Subscriber
(30851|30851) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl double Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl triangle #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 30863
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 3 -s 3 -n 9 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 30870 started at 2023-04-21 22:29:51
(30870|30870) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(30870|30870) -> Thrasher started
(30870|30870) -> Subscriber::Subscriber
(30863|30863) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(30870|30870) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(30870|30870)    Subscriber reader id: 01030000.52645c4f.00000002.00000507(58330902)
(30870|30870) -> PublisherService::PublisherService
(30870|30870) -> PublisherService::start (3 threads)
(30870|30870) sub wait_received 0:3
(30870|30879) pub0  writer id: 01030000.52645c4f.00000003.00000102(71551139)
(30870|30879) pub0->started
(30870|30879) pub0->wait_match() before write for 01030000.52645c4f.00000003.00000102(71551139)
(30870|30879) pub0<-match found! before write for 01030000.52645c4f.00000003.00000102(71551139)
(30870|30878)  sub 11% (1 samples received)
(30870|30879) pub0  33% (1 samples sent)
(30870|30878)  sub 22% (2 samples received)
(30870|30879) pub0  66% (2 samples sent)
(30870|30879) pub0  100% (3 samples sent)
(30870|30879) pub0  waiting for acks
(30870|30878)  sub 33% (3 samples received)
(30870|30879) pub0  waiting for acks returned
(30870|30879) pub0<-delete_contained_entities
(30870|30880) pub1  writer id: 01030000.52645c4f.00000005.00000102(fe15e499)
(30870|30880) pub1->started
(30870|30880) pub1->wait_match() before write for 01030000.52645c4f.00000005.00000102(fe15e499)
(30870|30880) pub1<-match found! before write for 01030000.52645c4f.00000005.00000102(fe15e499)
(30870|30880) pub1  33% (1 samples sent)
(30870|30880) pub1  66% (2 samples sent)
(30870|30880) pub1  100% (3 samples sent)
(30870|30880) pub1  waiting for acks
(30870|30878)  sub 44% (4 samples received)
(30870|30878)  sub 55% (5 samples received)
(30870|30878)  sub 66% (6 samples received)
(30870|30880) pub1  waiting for acks returned
(30870|30880) pub1<-delete_contained_entities
(30870|30881) pub2  writer id: 01030000.52645c4f.00000004.00000102(c375cd29)
(30870|30881) pub2->started
(30870|30881) pub2->wait_match() before write for 01030000.52645c4f.00000004.00000102(c375cd29)
(30870|30881) pub2<-match found! before write for 01030000.52645c4f.00000004.00000102(c375cd29)
(30870|30881) pub2  33% (1 samples sent)
(30870|30881) pub2  66% (2 samples sent)
(30870|30881) pub2  100% (3 samples sent)
(30870|30881) pub2  waiting for acks
(30870|30878)  sub 77% (7 samples received)
(30870|30878) sub condition_.notify_all
(30870|30870) sub condition_.wait returned
(30870|30870) sub check_received
(30870|30870) sub check_received returns 0
(30870|30870) <- PublisherService::end
(30870|30878)  sub 88% (8 samples received)
(30870|30878) sub condition_.notify_all
(30870|30878)  sub 100% (9 samples received)
(30870|30878) sub condition_.notify_all
(30870|30881) pub2  waiting for acks returned
(30870|30881) pub2<-delete_contained_entities
(30870|30879) pub0<-delete_participant
(30870|30880) pub1<-delete_participant
(30870|30881) pub2<-delete_participant
(30870|30870) <- PublisherService::~PublisherService
(30870|30870) <- Subscriber delete_contained_entities
(30870|30870) <- Subscriber delete_participant
(30870|30870) <- Subscriber::~Subscriber
(30870|30870) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl triangle Time:0s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl default #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 30883
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1024 -n 1024 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 30890 started at 2023-04-21 22:29:52
(30890|30890) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(30890|30890) -> Thrasher started
(30890|30890) -> Subscriber::Subscriber
(30883|30883) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(30890|30890) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(30890|30890)    Subscriber reader id: 01030000.54f1c8dc.00000002.00000507(2416a79c)
(30890|30890) -> PublisherService::PublisherService
(30890|30890) -> PublisherService::start (1 threads)
(30890|30890) sub wait_received 0:1
(30890|30899) pub0  writer id: 01030000.54f1c8dc.00000003.00000102(0d70bfa7)
(30890|30899) pub0->started
(30890|30899) pub0->wait_match() before write for 01030000.54f1c8dc.00000003.00000102(0d70bfa7)
(30890|30899) pub0<-match found! before write for 01030000.54f1c8dc.00000003.00000102(0d70bfa7)
(30890|30898) sub condition_.notify_all
(30890|30890) sub condition_.wait returned
(30890|30890) sub check_received
(30890|30890) sub check_received returns 0
(30890|30890) <- PublisherService::end
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30899) pub0  10% (103 samples sent)
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898)  sub 10% (103 samples received)
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30899) pub0  20% (205 samples sent)
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30899) pub0  30% (308 samples sent)
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30899) pub0  40% (410 samples sent)
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898)  sub 20% (205 samples received)
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30899) pub0  50% (512 samples sent)
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30899) pub0  60% (615 samples sent)
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898)  sub 30% (308 samples received)
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30899) pub0  70% (717 samples sent)
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30899) pub0  80% (820 samples sent)
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30899) pub0  90% (922 samples sent)
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898)  sub 40% (410 samples received)
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30899) pub0  100% (1024 samples sent)
(30890|30899) pub0  waiting for acks
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898)  sub 50% (512 samples received)
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898)  sub 60% (615 samples received)
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898)  sub 70% (717 samples received)
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898)  sub 80% (820 samples received)
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898)  sub 90% (922 samples received)
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898) sub condition_.notify_all
(30890|30898)  sub 100% (1024 samples received)
(30890|30898) sub condition_.notify_all
(30890|30899) pub0  waiting for acks returned
(30890|30899) pub0<-delete_contained_entities
(30890|30899) pub0<-delete_participant
(30890|30890) <- PublisherService::~PublisherService
(30890|30890) <- Subscriber delete_contained_entities
(30890|30890) <- Subscriber delete_participant
(30890|30890) <- Subscriber::~Subscriber
(30890|30890) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl default Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl low #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 30901
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 8 -s 128 -n 1024 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 30908 started at 2023-04-21 22:29:53
(30908|30908) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(30908|30908) -> Thrasher started
(30908|30908) -> Subscriber::Subscriber
(30901|30901) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(30908|30908) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(30908|30908)    Subscriber reader id: 01030000.00a87aee.00000002.00000507(925c961e)
(30908|30908) -> PublisherService::PublisherService
(30908|30908) -> PublisherService::start (8 threads)
(30908|30908) sub wait_received 0:8
(30908|30917) pub0  writer id: 01030000.00a87aee.00000003.00000102(bb3a8e25)
(30908|30917) pub0->started
(30908|30917) pub0->wait_match() before write for 01030000.00a87aee.00000003.00000102(bb3a8e25)
(30908|30917) pub0<-match found! before write for 01030000.00a87aee.00000003.00000102(bb3a8e25)
(30908|30917) pub0  10% (13 samples sent)
(30908|30917) pub0  20% (26 samples sent)
(30908|30917) pub0  30% (39 samples sent)
(30908|30924) pub2  writer id: 01030000.00a87aee.00000004.00000102(091a5235)
(30908|30924) pub2->started
(30908|30924) pub2->wait_match() before write for 01030000.00a87aee.00000004.00000102(091a5235)
(30908|30917) pub0  40% (52 samples sent)
(30908|30918) pub1  writer id: 01030000.00a87aee.00000005.00000102(347a7b85)
(30908|30918) pub1->started
(30908|30918) pub1->wait_match() before write for 01030000.00a87aee.00000005.00000102(347a7b85)
(30908|30923) pub5  writer id: 01030000.00a87aee.00000007.00000102(4eba28e5)
(30908|30923) pub5->started
(30908|30923) pub5->wait_match() before write for 01030000.00a87aee.00000007.00000102(4eba28e5)
(30908|30919) pub3  writer id: 01030000.00a87aee.00000006.00000102(73da0155)
(30908|30919) pub3->started
(30908|30919) pub3->wait_match() before write for 01030000.00a87aee.00000006.00000102(73da0155)
(30908|30917) pub0  50% (64 samples sent)
(30908|30921) pub6  writer id: 01030000.00a87aee.00000009.00000102(f18a9684)
(30908|30921) pub6->started
(30908|30921) pub6->wait_match() before write for 01030000.00a87aee.00000009.00000102(f18a9684)
(30908|30917) pub0  60% (77 samples sent)
(30908|30920) pub7  writer id: 01030000.00a87aee.0000000a.00000102(b62aec54)
(30908|30920) pub7->started
(30908|30920) pub7->wait_match() before write for 01030000.00a87aee.0000000a.00000102(b62aec54)
(30908|30917) pub0  70% (90 samples sent)
(30908|30924) pub2<-match found! before write for 01030000.00a87aee.00000004.00000102(091a5235)
(30908|30922) pub4  writer id: 01030000.00a87aee.00000008.00000102(cceabf34)
(30908|30922) pub4->started
(30908|30922) pub4->wait_match() before write for 01030000.00a87aee.00000008.00000102(cceabf34)
(30908|30918) pub1<-match found! before write for 01030000.00a87aee.00000005.00000102(347a7b85)
(30908|30924) pub2  10% (13 samples sent)
(30908|30918) pub1  10% (13 samples sent)
(30908|30924) pub2  20% (26 samples sent)
(30908|30918) pub1  20% (26 samples sent)
(30908|30918) pub1  30% (39 samples sent)
(30908|30924) pub2  30% (39 samples sent)
(30908|30917) pub0  80% (103 samples sent)
(30908|30917) pub0  90% (116 samples sent)
(30908|30923) pub5<-match found! before write for 01030000.00a87aee.00000007.00000102(4eba28e5)
(30908|30924) pub2  40% (52 samples sent)
(30908|30918) pub1  40% (52 samples sent)
(30908|30919) pub3<-match found! before write for 01030000.00a87aee.00000006.00000102(73da0155)
(30908|30924) pub2  50% (64 samples sent)
(30908|30921) pub6<-match found! before write for 01030000.00a87aee.00000009.00000102(f18a9684)
(30908|30921) pub6  10% (13 samples sent)
(30908|30919) pub3  10% (13 samples sent)
(30908|30919) pub3  20% (26 samples sent)
(30908|30924) pub2  60% (77 samples sent)
(30908|30923) pub5  10% (13 samples sent)
(30908|30918) pub1  50% (64 samples sent)
(30908|30924) pub2  70% (90 samples sent)
(30908|30920) pub7<-match found! before write for 01030000.00a87aee.0000000a.00000102(b62aec54)
(30908|30920) pub7  10% (13 samples sent)
(30908|30920) pub7  20% (26 samples sent)
(30908|30920) pub7  30% (39 samples sent)
(30908|30924) pub2  80% (103 samples sent)
(30908|30921) pub6  20% (26 samples sent)
(30908|30921) pub6  30% (39 samples sent)
(30908|30921) pub6  40% (52 samples sent)
(30908|30923) pub5  20% (26 samples sent)
(30908|30919) pub3  30% (39 samples sent)
(30908|30923) pub5  30% (39 samples sent)
(30908|30917) pub0  100% (128 samples sent)
(30908|30917) pub0  waiting for acks
(30908|30918) pub1  60% (77 samples sent)
(30908|30924) pub2  90% (116 samples sent)
(30908|30919) pub3  40% (52 samples sent)
(30908|30919) pub3  50% (64 samples sent)
(30908|30919) pub3  60% (77 samples sent)
(30908|30919) pub3  70% (90 samples sent)
(30908|30919) pub3  80% (103 samples sent)
(30908|30921) pub6  50% (64 samples sent)
(30908|30921) pub6  60% (77 samples sent)
(30908|30921) pub6  70% (90 samples sent)
(30908|30923) pub5  40% (52 samples sent)
(30908|30924) pub2  100% (128 samples sent)
(30908|30924) pub2  waiting for acks
(30908|30920) pub7  40% (52 samples sent)
(30908|30920) pub7  50% (64 samples sent)
(30908|30920) pub7  60% (77 samples sent)
(30908|30916)  sub 10% (103 samples received)
(30908|30920) pub7  70% (90 samples sent)
(30908|30920) pub7  80% (103 samples sent)
(30908|30923) pub5  50% (64 samples sent)
(30908|30923) pub5  60% (77 samples sent)
(30908|30918) pub1  70% (90 samples sent)
(30908|30918) pub1  80% (103 samples sent)
(30908|30921) pub6  80% (103 samples sent)
(30908|30921) pub6  90% (116 samples sent)
(30908|30921) pub6  100% (128 samples sent)
(30908|30921) pub6  waiting for acks
(30908|30919) pub3  90% (116 samples sent)
(30908|30919) pub3  100% (128 samples sent)
(30908|30919) pub3  waiting for acks
(30908|30918) pub1  90% (116 samples sent)
(30908|30918) pub1  100% (128 samples sent)
(30908|30918) pub1  waiting for acks
(30908|30923) pub5  70% (90 samples sent)
(30908|30923) pub5  80% (103 samples sent)
(30908|30923) pub5  90% (116 samples sent)
(30908|30920) pub7  90% (116 samples sent)
(30908|30920) pub7  100% (128 samples sent)
(30908|30920) pub7  waiting for acks
(30908|30923) pub5  100% (128 samples sent)
(30908|30923) pub5  waiting for acks
(30908|30916)  sub 20% (205 samples received)
(30908|30922) pub4<-match found! before write for 01030000.00a87aee.00000008.00000102(cceabf34)
(30908|30922) pub4  10% (13 samples sent)
(30908|30922) pub4  20% (26 samples sent)
(30908|30922) pub4  30% (39 samples sent)
(30908|30922) pub4  40% (52 samples sent)
(30908|30922) pub4  50% (64 samples sent)
(30908|30922) pub4  60% (77 samples sent)
(30908|30922) pub4  70% (90 samples sent)
(30908|30922) pub4  80% (103 samples sent)
(30908|30922) pub4  90% (116 samples sent)
(30908|30922) pub4  100% (128 samples sent)
(30908|30922) pub4  waiting for acks
(30908|30916)  sub 30% (308 samples received)
(30908|30916)  sub 40% (410 samples received)
(30908|30916)  sub 50% (512 samples received)
(30908|30916)  sub 60% (615 samples received)
(30908|30916)  sub 70% (717 samples received)
(30908|30916)  sub 80% (820 samples received)
(30908|30917) pub0  waiting for acks returned
(30908|30917) pub0<-delete_contained_entities
(30908|30924) pub2  waiting for acks returned
(30908|30924) pub2<-delete_contained_entities
(30908|30919) pub3  waiting for acks returned
(30908|30919) pub3<-delete_contained_entities
(30908|30921) pub6  waiting for acks returned
(30908|30921) pub6<-delete_contained_entities
(30908|30918) pub1  waiting for acks returned
(30908|30918) pub1<-delete_contained_entities
(30908|30924) pub2<-delete_participant
(30908|30916) sub condition_.notify_all
(30908|30908) sub condition_.wait returned
(30908|30908) sub check_received
(30908|30908) sub check_received returns 0
(30908|30908) <- PublisherService::end
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30917) pub0<-delete_participant
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916)  sub 90% (922 samples received)
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30919) pub3<-delete_participant
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30918) pub1<-delete_participant
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30921) pub6<-delete_participant
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916) sub condition_.notify_all
(30908|30916)  sub 100% (1024 samples received)
(30908|30916) sub condition_.notify_all
(30908|30920) pub7  waiting for acks returned
(30908|30920) pub7<-delete_contained_entities
(30908|30922) pub4  waiting for acks returned
(30908|30922) pub4<-delete_contained_entities
(30908|30923) pub5  waiting for acks returned
(30908|30923) pub5<-delete_contained_entities
(30908|30920) pub7<-delete_participant
(30908|30922) pub4<-delete_participant
(30908|30923) pub5<-delete_participant
(30908|30908) <- PublisherService::~PublisherService
(30908|30908) <- Subscriber delete_contained_entities
(30908|30908) <- Subscriber delete_participant
(30908|30908) <- Subscriber::~Subscriber
(30908|30908) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl low Time:2s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl medium #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 30926
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 16 -s 64 -n 1024 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 30933 started at 2023-04-21 22:29:54
(30933|30933) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(30933|30933) -> Thrasher started
(30933|30933) -> Subscriber::Subscriber
(30926|30926) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(30933|30933) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(30933|30933)    Subscriber reader id: 01030000.34344755.00000002.00000507(0142af3e)
(30933|30933) -> PublisherService::PublisherService
(30933|30933) -> PublisherService::start (16 threads)
(30933|30933) sub wait_received 0:16
(30933|30942) pub0  writer id: 01030000.34344755.00000003.00000102(2824b705)
(30933|30942) pub0->started
(30933|30942) pub0->wait_match() before write for 01030000.34344755.00000003.00000102(2824b705)
(30933|30942) pub0<-match found! before write for 01030000.34344755.00000003.00000102(2824b705)
(30933|30942) pub0  10% (7 samples sent)
(30933|30942) pub0  20% (13 samples sent)
(30933|30942) pub0  31% (20 samples sent)
(30933|30942) pub0  40% (26 samples sent)
(30933|30942) pub0  50% (32 samples sent)
(30933|30942) pub0  60% (39 samples sent)
(30933|30942) pub0  70% (45 samples sent)
(30933|30942) pub0  81% (52 samples sent)
(30933|30942) pub0  90% (58 samples sent)
(30933|30942) pub0  100% (64 samples sent)
(30933|30942) pub0  waiting for acks
(30933|30942) pub0  waiting for acks returned
(30933|30942) pub0<-delete_contained_entities
(30933|30946) pub1  writer id: 01030000.34344755.00000004.00000102(9a046b15)
(30933|30946) pub1->started
(30933|30946) pub1->wait_match() before write for 01030000.34344755.00000004.00000102(9a046b15)
(30933|30946) pub1<-match found! before write for 01030000.34344755.00000004.00000102(9a046b15)
(30933|30946) pub1  10% (7 samples sent)
(30933|30946) pub1  20% (13 samples sent)
(30933|30946) pub1  31% (20 samples sent)
(30933|30946) pub1  40% (26 samples sent)
(30933|30946) pub1  50% (32 samples sent)
(30933|30946) pub1  60% (39 samples sent)
(30933|30946) pub1  70% (45 samples sent)
(30933|30946) pub1  81% (52 samples sent)
(30933|30946) pub1  90% (58 samples sent)
(30933|30946) pub1  100% (64 samples sent)
(30933|30946) pub1  waiting for acks
(30933|30941)  sub 10% (103 samples received)
(30933|30945) pub2  writer id: 01030000.34344755.00000005.00000102(a76442a5)
(30933|30945) pub2->started
(30933|30945) pub2->wait_match() before write for 01030000.34344755.00000005.00000102(a76442a5)
(30933|30946) pub1  waiting for acks returned
(30933|30946) pub1<-delete_contained_entities
(30933|30947) pub5  writer id: 01030000.34344755.00000006.00000102(e0c43875)
(30933|30947) pub5->started
(30933|30947) pub5->wait_match() before write for 01030000.34344755.00000006.00000102(e0c43875)
(30933|30945) pub2<-match found! before write for 01030000.34344755.00000005.00000102(a76442a5)
(30933|30945) pub2  10% (7 samples sent)
(30933|30945) pub2  20% (13 samples sent)
(30933|30945) pub2  31% (20 samples sent)
(30933|30945) pub2  40% (26 samples sent)
(30933|30945) pub2  50% (32 samples sent)
(30933|30945) pub2  60% (39 samples sent)
(30933|30947) pub5<-match found! before write for 01030000.34344755.00000006.00000102(e0c43875)
(30933|30947) pub5  10% (7 samples sent)
(30933|30947) pub5  20% (13 samples sent)
(30933|30947) pub5  31% (20 samples sent)
(30933|30947) pub5  40% (26 samples sent)
(30933|30947) pub5  50% (32 samples sent)
(30933|30947) pub5  60% (39 samples sent)
(30933|30947) pub5  70% (45 samples sent)
(30933|30947) pub5  81% (52 samples sent)
(30933|30948) pub6  writer id: 01030000.34344755.00000008.00000102(5ff48614)
(30933|30948) pub6->started
(30933|30948) pub6->wait_match() before write for 01030000.34344755.00000008.00000102(5ff48614)
(30933|30945) pub2  70% (45 samples sent)
(30933|30945) pub2  81% (52 samples sent)
(30933|30945) pub2  90% (58 samples sent)
(30933|30945) pub2  100% (64 samples sent)
(30933|30945) pub2  waiting for acks
(30933|30947) pub5  90% (58 samples sent)
(30933|30944) pub3  writer id: 01030000.34344755.00000007.00000102(dda411c5)
(30933|30944) pub3->started
(30933|30944) pub3->wait_match() before write for 01030000.34344755.00000007.00000102(dda411c5)
(30933|30947) pub5  100% (64 samples sent)
(30933|30947) pub5  waiting for acks
(30933|30957) pub15  writer id: 01030000.34344755.00000012.00000102(75a40937)
(30933|30957) pub15->started
(30933|30957) pub15->wait_match() before write for 01030000.34344755.00000012.00000102(75a40937)
(30933|30948) pub6<-match found! before write for 01030000.34344755.00000008.00000102(5ff48614)
(30933|30944) pub3<-match found! before write for 01030000.34344755.00000007.00000102(dda411c5)
(30933|30948) pub6  10% (7 samples sent)
(30933|30948) pub6  20% (13 samples sent)
(30933|30944) pub3  10% (7 samples sent)
(30933|30944) pub3  20% (13 samples sent)
(30933|30948) pub6  31% (20 samples sent)
(30933|30948) pub6  40% (26 samples sent)
(30933|30944) pub3  31% (20 samples sent)
(30933|30948) pub6  50% (32 samples sent)
(30933|30944) pub3  40% (26 samples sent)
(30933|30944) pub3  50% (32 samples sent)
(30933|30948) pub6  60% (39 samples sent)
(30933|30948) pub6  70% (45 samples sent)
(30933|30944) pub3  60% (39 samples sent)
(30933|30944) pub3  70% (45 samples sent)
(30933|30948) pub6  81% (52 samples sent)
(30933|30944) pub3  81% (52 samples sent)
(30933|30944) pub3  90% (58 samples sent)
(30933|30952) pub10  writer id: 01030000.34344755.00000009.00000102(6294afa4)
(30933|30952) pub10->started
(30933|30952) pub10->wait_match() before write for 01030000.34344755.00000009.00000102(6294afa4)
(30933|30948) pub6  90% (58 samples sent)
(30933|30951) pub8  writer id: 01030000.34344755.0000000a.00000102(2534d574)
(30933|30951) pub8->started
(30933|30951) pub8->wait_match() before write for 01030000.34344755.0000000a.00000102(2534d574)
(30933|30948) pub6  100% (64 samples sent)
(30933|30948) pub6  waiting for acks
(30933|30944) pub3  100% (64 samples sent)
(30933|30944) pub3  waiting for acks
(30933|30943) pub4  writer id: 01030000.34344755.0000000b.00000102(1854fcc4)
(30933|30943) pub4->started
(30933|30943) pub4->wait_match() before write for 01030000.34344755.0000000b.00000102(1854fcc4)
(30933|30941)  sub 20% (205 samples received)
(30933|30955) pub13  writer id: 01030000.34344755.0000000c.00000102(aa7420d4)
(30933|30955) pub13->started
(30933|30955) pub13->wait_match() before write for 01030000.34344755.0000000c.00000102(aa7420d4)
(30933|30949) pub7  writer id: 01030000.34344755.0000000d.00000102(97140964)
(30933|30949) pub7->started
(30933|30949) pub7->wait_match() before write for 01030000.34344755.0000000d.00000102(97140964)
(30933|30956) pub14  writer id: 01030000.34344755.00000011.00000102(320473e7)
(30933|30956) pub14->started
(30933|30956) pub14->wait_match() before write for 01030000.34344755.00000011.00000102(320473e7)
(30933|30950) pub9  writer id: 01030000.34344755.0000000e.00000102(d0b473b4)
(30933|30950) pub9->started
(30933|30950) pub9->wait_match() before write for 01030000.34344755.0000000e.00000102(d0b473b4)
(30933|30957) pub15<-match found! before write for 01030000.34344755.00000012.00000102(75a40937)
(30933|30957) pub15  10% (7 samples sent)
(30933|30954) pub12  writer id: 01030000.34344755.00000010.00000102(0f645a57)
(30933|30954) pub12->started
(30933|30954) pub12->wait_match() before write for 01030000.34344755.00000010.00000102(0f645a57)
(30933|30957) pub15  20% (13 samples sent)
(30933|30957) pub15  31% (20 samples sent)
(30933|30957) pub15  40% (26 samples sent)
(30933|30957) pub15  50% (32 samples sent)
(30933|30957) pub15  60% (39 samples sent)
(30933|30957) pub15  70% (45 samples sent)
(30933|30952) pub10<-match found! before write for 01030000.34344755.00000009.00000102(6294afa4)
(30933|30951) pub8<-match found! before write for 01030000.34344755.0000000a.00000102(2534d574)
(30933|30952) pub10  10% (7 samples sent)
(30933|30951) pub8  10% (7 samples sent)
(30933|30952) pub10  20% (13 samples sent)
(30933|30951) pub8  20% (13 samples sent)
(30933|30951) pub8  31% (20 samples sent)
(30933|30943) pub4<-match found! before write for 01030000.34344755.0000000b.00000102(1854fcc4)
(30933|30952) pub10  31% (20 samples sent)
(30933|30952) pub10  40% (26 samples sent)
(30933|30952) pub10  50% (32 samples sent)
(30933|30952) pub10  60% (39 samples sent)
(30933|30952) pub10  70% (45 samples sent)
(30933|30952) pub10  81% (52 samples sent)
(30933|30955) pub13<-match found! before write for 01030000.34344755.0000000c.00000102(aa7420d4)
(30933|30949) pub7<-match found! before write for 01030000.34344755.0000000d.00000102(97140964)
(30933|30955) pub13  10% (7 samples sent)
(30933|30949) pub7  10% (7 samples sent)
(30933|30945) pub2  waiting for acks returned
(30933|30945) pub2<-delete_contained_entities
(30933|30957) pub15  81% (52 samples sent)
(30933|30949) pub7  20% (13 samples sent)
(30933|30943) pub4  10% (7 samples sent)
(30933|30951) pub8  40% (26 samples sent)
(30933|30943) pub4  20% (13 samples sent)
(30933|30949) pub7  31% (20 samples sent)
(30933|30956) pub14<-match found! before write for 01030000.34344755.00000011.00000102(320473e7)
(30933|30947) pub5  waiting for acks returned
(30933|30947) pub5<-delete_contained_entities
(30933|30950) pub9<-match found! before write for 01030000.34344755.0000000e.00000102(d0b473b4)
(30933|30950) pub9  10% (7 samples sent)
(30933|30950) pub9  20% (13 samples sent)
(30933|30950) pub9  31% (20 samples sent)
(30933|30950) pub9  40% (26 samples sent)
(30933|30950) pub9  50% (32 samples sent)
(30933|30955) pub13  20% (13 samples sent)
(30933|30956) pub14  10% (7 samples sent)
(30933|30956) pub14  20% (13 samples sent)
(30933|30956) pub14  31% (20 samples sent)
(30933|30956) pub14  40% (26 samples sent)
(30933|30956) pub14  50% (32 samples sent)
(30933|30956) pub14  60% (39 samples sent)
(30933|30956) pub14  70% (45 samples sent)
(30933|30951) pub8  50% (32 samples sent)
(30933|30951) pub8  60% (39 samples sent)
(30933|30951) pub8  70% (45 samples sent)
(30933|30951) pub8  81% (52 samples sent)
(30933|30953) pub11  writer id: 01030000.34344755.0000000f.00000102(edd45a04)
(30933|30953) pub11->started
(30933|30953) pub11->wait_match() before write for 01030000.34344755.0000000f.00000102(edd45a04)
(30933|30951) pub8  90% (58 samples sent)
(30933|30951) pub8  100% (64 samples sent)
(30933|30951) pub8  waiting for acks
(30933|30957) pub15  90% (58 samples sent)
(30933|30943) pub4  31% (20 samples sent)
(30933|30943) pub4  40% (26 samples sent)
(30933|30943) pub4  50% (32 samples sent)
(30933|30943) pub4  60% (39 samples sent)
(30933|30943) pub4  70% (45 samples sent)
(30933|30943) pub4  81% (52 samples sent)
(30933|30943) pub4  90% (58 samples sent)
(30933|30957) pub15  100% (64 samples sent)
(30933|30957) pub15  waiting for acks
(30933|30955) pub13  31% (20 samples sent)
(30933|30955) pub13  40% (26 samples sent)
(30933|30955) pub13  50% (32 samples sent)
(30933|30955) pub13  60% (39 samples sent)
(30933|30955) pub13  70% (45 samples sent)
(30933|30955) pub13  81% (52 samples sent)
(30933|30949) pub7  40% (26 samples sent)
(30933|30956) pub14  81% (52 samples sent)
(30933|30956) pub14  90% (58 samples sent)
(30933|30950) pub9  60% (39 samples sent)
(30933|30956) pub14  100% (64 samples sent)
(30933|30956) pub14  waiting for acks
(30933|30952) pub10  90% (58 samples sent)
(30933|30943) pub4  100% (64 samples sent)
(30933|30943) pub4  waiting for acks
(30933|30942) pub0<-delete_participant
(30933|30949) pub7  50% (32 samples sent)
(30933|30949) pub7  60% (39 samples sent)
(30933|30949) pub7  70% (45 samples sent)
(30933|30949) pub7  81% (52 samples sent)
(30933|30949) pub7  90% (58 samples sent)
(30933|30949) pub7  100% (64 samples sent)
(30933|30949) pub7  waiting for acks
(30933|30952) pub10  100% (64 samples sent)
(30933|30952) pub10  waiting for acks
(30933|30950) pub9  70% (45 samples sent)
(30933|30941)  sub 30% (308 samples received)
(30933|30950) pub9  81% (52 samples sent)
(30933|30950) pub9  90% (58 samples sent)
(30933|30950) pub9  100% (64 samples sent)
(30933|30950) pub9  waiting for acks
(30933|30955) pub13  90% (58 samples sent)
(30933|30955) pub13  100% (64 samples sent)
(30933|30955) pub13  waiting for acks
(30933|30946) pub1<-delete_participant
(30933|30945) pub2<-delete_participant
(30933|30947) pub5<-delete_participant
(30933|30941)  sub 40% (410 samples received)
(30933|30954) pub12<-match found! before write for 01030000.34344755.00000010.00000102(0f645a57)
(30933|30954) pub12  10% (7 samples sent)
(30933|30954) pub12  20% (13 samples sent)
(30933|30954) pub12  31% (20 samples sent)
(30933|30954) pub12  40% (26 samples sent)
(30933|30954) pub12  50% (32 samples sent)
(30933|30954) pub12  60% (39 samples sent)
(30933|30953) pub11<-match found! before write for 01030000.34344755.0000000f.00000102(edd45a04)
(30933|30953) pub11  10% (7 samples sent)
(30933|30953) pub11  20% (13 samples sent)
(30933|30948) pub6  waiting for acks returned
(30933|30948) pub6<-delete_contained_entities
(30933|30953) pub11  31% (20 samples sent)
(30933|30944) pub3  waiting for acks returned
(30933|30944) pub3<-delete_contained_entities
(30933|30953) pub11  40% (26 samples sent)
(30933|30953) pub11  50% (32 samples sent)
(30933|30953) pub11  60% (39 samples sent)
(30933|30953) pub11  70% (45 samples sent)
(30933|30953) pub11  81% (52 samples sent)
(30933|30953) pub11  90% (58 samples sent)
(30933|30953) pub11  100% (64 samples sent)
(30933|30953) pub11  waiting for acks
(30933|30954) pub12  70% (45 samples sent)
(30933|30954) pub12  81% (52 samples sent)
(30933|30954) pub12  90% (58 samples sent)
(30933|30954) pub12  100% (64 samples sent)
(30933|30954) pub12  waiting for acks
(30933|30948) pub6<-delete_participant
(30933|30944) pub3<-delete_participant
(30933|30941)  sub 50% (512 samples received)
(30933|30941)  sub 60% (615 samples received)
(30933|30941)  sub 70% (717 samples received)
(30933|30941)  sub 80% (820 samples received)
(30933|30951) pub8  waiting for acks returned
(30933|30951) pub8<-delete_contained_entities
(30933|30957) pub15  waiting for acks returned
(30933|30957) pub15<-delete_contained_entities
(30933|30956) pub14  waiting for acks returned
(30933|30956) pub14<-delete_contained_entities
(30933|30943) pub4  waiting for acks returned
(30933|30943) pub4<-delete_contained_entities
(30933|30952) pub10  waiting for acks returned
(30933|30952) pub10<-delete_contained_entities
(30933|30949) pub7  waiting for acks returned
(30933|30949) pub7<-delete_contained_entities
(30933|30950) pub9  waiting for acks returned
(30933|30950) pub9<-delete_contained_entities
(30933|30955) pub13  waiting for acks returned
(30933|30955) pub13<-delete_contained_entities
(30933|30941)  sub 90% (922 samples received)
(30933|30951) pub8<-delete_participant
(30933|30941) sub condition_.notify_all
(30933|30933) sub condition_.wait returned
(30933|30933) sub check_received
(30933|30933) sub check_received returns 0
(30933|30933) <- PublisherService::end
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30957) pub15<-delete_participant
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30956) pub14<-delete_participant
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941) sub condition_.notify_all
(30933|30941)  sub 100% (1024 samples received)
(30933|30941) sub condition_.notify_all
(30933|30953) pub11  waiting for acks returned
(30933|30953) pub11<-delete_contained_entities
(30933|30954) pub12  waiting for acks returned
(30933|30954) pub12<-delete_contained_entities
(30933|30943) pub4<-delete_participant
(30933|30952) pub10<-delete_participant
(30933|30949) pub7<-delete_participant
(30933|30950) pub9<-delete_participant
(30933|30955) pub13<-delete_participant
(30933|30953) pub11<-delete_participant
(30933|30954) pub12<-delete_participant
(30933|30933) <- PublisherService::~PublisherService
(30933|30933) <- Subscriber delete_contained_entities
(30933|30933) <- Subscriber delete_participant
(30933|30933) <- Subscriber::~Subscriber
(30933|30933) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl medium Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl high #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 30959
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 32 -s 32 -n 1024 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 30966 started at 2023-04-21 22:29:55
(30966|30966) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(30966|30966) -> Thrasher started
(30966|30966) -> Subscriber::Subscriber
(30959|30959) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(30966|30966) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(30966|30966)    Subscriber reader id: 01030000.25b17411.00000002.00000507(90f3fc40)
(30966|30966) -> PublisherService::PublisherService
(30966|30966) -> PublisherService::start (32 threads)
(30966|30966) sub wait_received 0:32
(30966|30977) pub1  writer id: 01030000.25b17411.00000004.00000102(0bb5386b)
(30966|30977) pub1->started
(30966|30977) pub1->wait_match() before write for 01030000.25b17411.00000004.00000102(0bb5386b)
(30966|30977) pub1<-match found! before write for 01030000.25b17411.00000004.00000102(0bb5386b)
(30966|30977) pub1  12% (4 samples sent)
(30966|30977) pub1  21% (7 samples sent)
(30966|30977) pub1  31% (10 samples sent)
(30966|30977) pub1  40% (13 samples sent)
(30966|30977) pub1  50% (16 samples sent)
(30966|30977) pub1  62% (20 samples sent)
(30966|30977) pub1  71% (23 samples sent)
(30966|30977) pub1  81% (26 samples sent)
(30966|30977) pub1  90% (29 samples sent)
(30966|30977) pub1  100% (32 samples sent)
(30966|30977) pub1  waiting for acks
(30966|30977) pub1  waiting for acks returned
(30966|30977) pub1<-delete_contained_entities
(30966|30975) pub0  writer id: 01030000.25b17411.00000003.00000102(b995e47b)
(30966|30975) pub0->started
(30966|30975) pub0->wait_match() before write for 01030000.25b17411.00000003.00000102(b995e47b)
(30966|30975) pub0<-match found! before write for 01030000.25b17411.00000003.00000102(b995e47b)
(30966|30975) pub0  12% (4 samples sent)
(30966|30975) pub0  21% (7 samples sent)
(30966|30975) pub0  31% (10 samples sent)
(30966|30975) pub0  40% (13 samples sent)
(30966|30975) pub0  50% (16 samples sent)
(30966|30975) pub0  62% (20 samples sent)
(30966|30975) pub0  71% (23 samples sent)
(30966|30975) pub0  81% (26 samples sent)
(30966|30975) pub0  90% (29 samples sent)
(30966|30975) pub0  100% (32 samples sent)
(30966|30975) pub0  waiting for acks
(30966|30975) pub0  waiting for acks returned
(30966|30975) pub0<-delete_contained_entities
(30966|30979) pub3  writer id: 01030000.25b17411.00000006.00000102(71756b0b)
(30966|30979) pub3->started
(30966|30979) pub3->wait_match() before write for 01030000.25b17411.00000006.00000102(71756b0b)
(30966|30979) pub3<-match found! before write for 01030000.25b17411.00000006.00000102(71756b0b)
(30966|30979) pub3  12% (4 samples sent)
(30966|30979) pub3  21% (7 samples sent)
(30966|30979) pub3  31% (10 samples sent)
(30966|30979) pub3  40% (13 samples sent)
(30966|30979) pub3  50% (16 samples sent)
(30966|30979) pub3  62% (20 samples sent)
(30966|30979) pub3  71% (23 samples sent)
(30966|30979) pub3  81% (26 samples sent)
(30966|30979) pub3  90% (29 samples sent)
(30966|30979) pub3  100% (32 samples sent)
(30966|30979) pub3  waiting for acks
(30966|30979) pub3  waiting for acks returned
(30966|30979) pub3<-delete_contained_entities
(30966|30980) pub5  writer id: 01030000.25b17411.00000007.00000102(4c1542bb)
(30966|30980) pub5->started
(30966|30980) pub5->wait_match() before write for 01030000.25b17411.00000007.00000102(4c1542bb)
(30966|30980) pub5<-match found! before write for 01030000.25b17411.00000007.00000102(4c1542bb)
(30966|30980) pub5  12% (4 samples sent)
(30966|30980) pub5  21% (7 samples sent)
(30966|30980) pub5  31% (10 samples sent)
(30966|30980) pub5  40% (13 samples sent)
(30966|30980) pub5  50% (16 samples sent)
(30966|30980) pub5  62% (20 samples sent)
(30966|30980) pub5  71% (23 samples sent)
(30966|30980) pub5  81% (26 samples sent)
(30966|30980) pub5  90% (29 samples sent)
(30966|30974)  sub 10% (103 samples received)
(30966|30980) pub5  100% (32 samples sent)
(30966|30980) pub5  waiting for acks
(30966|30980) pub5  waiting for acks returned
(30966|30980) pub5<-delete_contained_entities
(30966|30978) pub2  writer id: 01030000.25b17411.00000005.00000102(36d511db)
(30966|30978) pub2->started
(30966|30978) pub2->wait_match() before write for 01030000.25b17411.00000005.00000102(36d511db)
(30966|30978) pub2<-match found! before write for 01030000.25b17411.00000005.00000102(36d511db)
(30966|30978) pub2  12% (4 samples sent)
(30966|30978) pub2  21% (7 samples sent)
(30966|30978) pub2  31% (10 samples sent)
(30966|30978) pub2  40% (13 samples sent)
(30966|30978) pub2  50% (16 samples sent)
(30966|30978) pub2  62% (20 samples sent)
(30966|30978) pub2  71% (23 samples sent)
(30966|30978) pub2  81% (26 samples sent)
(30966|30978) pub2  90% (29 samples sent)
(30966|30978) pub2  100% (32 samples sent)
(30966|30978) pub2  waiting for acks
(30966|30978) pub2  waiting for acks returned
(30966|30978) pub2<-delete_contained_entities
(30966|30981) pub7  writer id: 01030000.25b17411.00000008.00000102(ce45d56a)
(30966|30981) pub7->started
(30966|30981) pub7->wait_match() before write for 01030000.25b17411.00000008.00000102(ce45d56a)
(30966|30981) pub7<-match found! before write for 01030000.25b17411.00000008.00000102(ce45d56a)
(30966|30981) pub7  12% (4 samples sent)
(30966|30981) pub7  21% (7 samples sent)
(30966|30981) pub7  31% (10 samples sent)
(30966|30981) pub7  40% (13 samples sent)
(30966|30981) pub7  50% (16 samples sent)
(30966|30981) pub7  62% (20 samples sent)
(30966|30981) pub7  71% (23 samples sent)
(30966|30981) pub7  81% (26 samples sent)
(30966|30981) pub7  90% (29 samples sent)
(30966|30981) pub7  100% (32 samples sent)
(30966|30981) pub7  waiting for acks
(30966|30981) pub7  waiting for acks returned
(30966|30981) pub7<-delete_contained_entities
(30966|30987) pub12  writer id: 01030000.25b17411.0000000c.00000102(3bc573aa)
(30966|30987) pub12->started
(30966|30987) pub12->wait_match() before write for 01030000.25b17411.0000000c.00000102(3bc573aa)
(30966|30987) pub12<-match found! before write for 01030000.25b17411.0000000c.00000102(3bc573aa)
(30966|30987) pub12  12% (4 samples sent)
(30966|30987) pub12  21% (7 samples sent)
(30966|30987) pub12  31% (10 samples sent)
(30966|30987) pub12  40% (13 samples sent)
(30966|30987) pub12  50% (16 samples sent)
(30966|30987) pub12  62% (20 samples sent)
(30966|30987) pub12  71% (23 samples sent)
(30966|30987) pub12  81% (26 samples sent)
(30966|30987) pub12  90% (29 samples sent)
(30966|30987) pub12  100% (32 samples sent)
(30966|30987) pub12  waiting for acks
(30966|30974)  sub 20% (205 samples received)
(30966|30987) pub12  waiting for acks returned
(30966|30987) pub12<-delete_contained_entities
(30966|30982) pub6  writer id: 01030000.25b17411.00000009.00000102(f325fcda)
(30966|30982) pub6->started
(30966|30982) pub6->wait_match() before write for 01030000.25b17411.00000009.00000102(f325fcda)
(30966|30982) pub6<-match found! before write for 01030000.25b17411.00000009.00000102(f325fcda)
(30966|30982) pub6  12% (4 samples sent)
(30966|30982) pub6  21% (7 samples sent)
(30966|30982) pub6  31% (10 samples sent)
(30966|30982) pub6  40% (13 samples sent)
(30966|30982) pub6  50% (16 samples sent)
(30966|30982) pub6  62% (20 samples sent)
(30966|30982) pub6  71% (23 samples sent)
(30966|30982) pub6  81% (26 samples sent)
(30966|30982) pub6  90% (29 samples sent)
(30966|30982) pub6  100% (32 samples sent)
(30966|30982) pub6  waiting for acks
(30966|30983) pub11  writer id: 01030000.25b17411.0000000b.00000102(89e5afba)
(30966|30983) pub11->started
(30966|30983) pub11->wait_match() before write for 01030000.25b17411.0000000b.00000102(89e5afba)
(30966|30983) pub11<-match found! before write for 01030000.25b17411.0000000b.00000102(89e5afba)
(30966|30983) pub11  12% (4 samples sent)
(30966|30983) pub11  21% (7 samples sent)
(30966|30983) pub11  31% (10 samples sent)
(30966|30983) pub11  40% (13 samples sent)
(30966|30983) pub11  50% (16 samples sent)
(30966|30983) pub11  62% (20 samples sent)
(30966|30983) pub11  71% (23 samples sent)
(30966|30983) pub11  81% (26 samples sent)
(30966|30983) pub11  90% (29 samples sent)
(30966|30983) pub11  100% (32 samples sent)
(30966|30983) pub11  waiting for acks
(30966|30982) pub6  waiting for acks returned
(30966|30982) pub6<-delete_contained_entities
(30966|30976) pub4  writer id: 01030000.25b17411.00000011.00000102(a3b52099)
(30966|30976) pub4->started
(30966|30976) pub4->wait_match() before write for 01030000.25b17411.00000011.00000102(a3b52099)
(30966|30985) pub8  writer id: 01030000.25b17411.0000000a.00000102(b485860a)
(30966|30985) pub8->started
(30966|30985) pub8->wait_match() before write for 01030000.25b17411.0000000a.00000102(b485860a)
(30966|31004) pub25  writer id: 01030000.25b17411.0000001e.00000102(21e5b748)
(30966|31004) pub25->started
(30966|31004) pub25->wait_match() before write for 01030000.25b17411.0000001e.00000102(21e5b748)
(30966|30990) pub18  writer id: 01030000.25b17411.00000013.00000102(d97573f9)
(30966|30990) pub18->started
(30966|30990) pub18->wait_match() before write for 01030000.25b17411.00000013.00000102(d97573f9)
(30966|30996) pub21  writer id: 01030000.25b17411.00000015.00000102(56358659)
(30966|30996) pub21->started
(30966|30996) pub21->wait_match() before write for 01030000.25b17411.00000015.00000102(56358659)
(30966|30998) pub23  writer id: 01030000.25b17411.0000001b.00000102(e9053838)
(30966|30998) pub23->started
(30966|30998) pub23->wait_match() before write for 01030000.25b17411.0000001b.00000102(e9053838)
(30966|30976) pub4<-match found! before write for 01030000.25b17411.00000011.00000102(a3b52099)
(30966|30976) pub4  12% (4 samples sent)
(30966|30976) pub4  21% (7 samples sent)
(30966|30976) pub4  31% (10 samples sent)
(30966|30976) pub4  40% (13 samples sent)
(30966|30976) pub4  50% (16 samples sent)
(30966|30976) pub4  62% (20 samples sent)
(30966|30976) pub4  71% (23 samples sent)
(30966|30976) pub4  81% (26 samples sent)
(30966|30976) pub4  90% (29 samples sent)
(30966|30976) pub4  100% (32 samples sent)
(30966|30976) pub4  waiting for acks
(30966|30992) pub17  writer id: 01030000.25b17411.00000018.00000102(aea542e8)
(30966|30992) pub17->started
(30966|30992) pub17->wait_match() before write for 01030000.25b17411.00000018.00000102(aea542e8)
(30966|30985) pub8<-match found! before write for 01030000.25b17411.0000000a.00000102(b485860a)
(30966|30985) pub8  12% (4 samples sent)
(30966|30985) pub8  21% (7 samples sent)
(30966|30985) pub8  31% (10 samples sent)
(30966|30997) pub22  writer id: 01030000.25b17411.0000001c.00000102(5b25e428)
(30966|30997) pub22->started
(30966|30997) pub22->wait_match() before write for 01030000.25b17411.0000001c.00000102(5b25e428)
(30966|30985) pub8  40% (13 samples sent)
(30966|30985) pub8  50% (16 samples sent)
(30966|30985) pub8  62% (20 samples sent)
(30966|30985) pub8  71% (23 samples sent)
(30966|30985) pub8  81% (26 samples sent)
(30966|30985) pub8  90% (29 samples sent)
(30966|30986) pub9  writer id: 01030000.25b17411.0000000d.00000102(06a55a1a)
(30966|30986) pub9->started
(30966|30986) pub9->wait_match() before write for 01030000.25b17411.0000000d.00000102(06a55a1a)
(30966|30985) pub8  100% (32 samples sent)
(30966|30985) pub8  waiting for acks
(30966|31004) pub25<-match found! before write for 01030000.25b17411.0000001e.00000102(21e5b748)
(30966|31004) pub25  12% (4 samples sent)
(30966|31004) pub25  21% (7 samples sent)
(30966|31004) pub25  31% (10 samples sent)
(30966|31004) pub25  40% (13 samples sent)
(30966|31004) pub25  50% (16 samples sent)
(30966|31004) pub25  62% (20 samples sent)
(30966|31004) pub25  71% (23 samples sent)
(30966|31004) pub25  81% (26 samples sent)
(30966|31004) pub25  90% (29 samples sent)
(30966|31004) pub25  100% (32 samples sent)
(30966|31004) pub25  waiting for acks
(30966|30990) pub18<-match found! before write for 01030000.25b17411.00000013.00000102(d97573f9)
(30966|30990) pub18  12% (4 samples sent)
(30966|30990) pub18  21% (7 samples sent)
(30966|30991) pub16  writer id: 01030000.25b17411.0000000e.00000102(410520ca)
(30966|30991) pub16->started
(30966|30991) pub16->wait_match() before write for 01030000.25b17411.0000000e.00000102(410520ca)
(30966|30990) pub18  31% (10 samples sent)
(30966|30990) pub18  40% (13 samples sent)
(30966|30990) pub18  50% (16 samples sent)
(30966|30996) pub21<-match found! before write for 01030000.25b17411.00000015.00000102(56358659)
(30966|30990) pub18  62% (20 samples sent)
(30966|30996) pub21  12% (4 samples sent)
(30966|30990) pub18  71% (23 samples sent)
(30966|30996) pub21  21% (7 samples sent)
(30966|30990) pub18  81% (26 samples sent)
(30966|30996) pub21  31% (10 samples sent)
(30966|30990) pub18  90% (29 samples sent)
(30966|30996) pub21  40% (13 samples sent)
(30966|30990) pub18  100% (32 samples sent)
(30966|30990) pub18  waiting for acks
(30966|30996) pub21  50% (16 samples sent)
(30966|30996) pub21  62% (20 samples sent)
(30966|30996) pub21  71% (23 samples sent)
(30966|30996) pub21  81% (26 samples sent)
(30966|30996) pub21  90% (29 samples sent)
(30966|30996) pub21  100% (32 samples sent)
(30966|30996) pub21  waiting for acks
(30966|31003) pub24  writer id: 01030000.25b17411.00000017.00000102(2cf5d539)
(30966|31003) pub24->started
(30966|31003) pub24->wait_match() before write for 01030000.25b17411.00000017.00000102(2cf5d539)
(30966|30998) pub23<-match found! before write for 01030000.25b17411.0000001b.00000102(e9053838)
(30966|30983) pub11  waiting for acks returned
(30966|30983) pub11<-delete_contained_entities
(30966|30998) pub23  12% (4 samples sent)
(30966|30998) pub23  21% (7 samples sent)
(30966|30998) pub23  31% (10 samples sent)
(30966|30998) pub23  40% (13 samples sent)
(30966|30984) pub10  writer id: 01030000.25b17411.0000000f.00000102(7c65097a)
(30966|30984) pub10->started
(30966|30984) pub10->wait_match() before write for 01030000.25b17411.0000000f.00000102(7c65097a)
(30966|30998) pub23  50% (16 samples sent)
(30966|30998) pub23  62% (20 samples sent)
(30966|30998) pub23  71% (23 samples sent)
(30966|30998) pub23  81% (26 samples sent)
(30966|30998) pub23  90% (29 samples sent)
(30966|30998) pub23  100% (32 samples sent)
(30966|30998) pub23  waiting for acks
(30966|30992) pub17<-match found! before write for 01030000.25b17411.00000018.00000102(aea542e8)
(30966|30992) pub17  12% (4 samples sent)
(30966|30992) pub17  21% (7 samples sent)
(30966|30992) pub17  31% (10 samples sent)
(30966|30992) pub17  40% (13 samples sent)
(30966|30992) pub17  50% (16 samples sent)
(30966|30992) pub17  62% (20 samples sent)
(30966|30992) pub17  71% (23 samples sent)
(30966|30992) pub17  81% (26 samples sent)
(30966|30992) pub17  90% (29 samples sent)
(30966|30992) pub17  100% (32 samples sent)
(30966|30992) pub17  waiting for acks
(30966|30994) pub15  writer id: 01030000.25b17411.00000016.00000102(1195fc89)
(30966|30994) pub15->started
(30966|30994) pub15->wait_match() before write for 01030000.25b17411.00000016.00000102(1195fc89)
(30966|30997) pub22<-match found! before write for 01030000.25b17411.0000001c.00000102(5b25e428)
(30966|30997) pub22  12% (4 samples sent)
(30966|30997) pub22  21% (7 samples sent)
(30966|30997) pub22  31% (10 samples sent)
(30966|30997) pub22  40% (13 samples sent)
(30966|30997) pub22  50% (16 samples sent)
(30966|30997) pub22  62% (20 samples sent)
(30966|30997) pub22  71% (23 samples sent)
(30966|30997) pub22  81% (26 samples sent)
(30966|30997) pub22  90% (29 samples sent)
(30966|30997) pub22  100% (32 samples sent)
(30966|30997) pub22  waiting for acks
(30966|30986) pub9<-match found! before write for 01030000.25b17411.0000000d.00000102(06a55a1a)
(30966|30986) pub9  12% (4 samples sent)
(30966|30986) pub9  21% (7 samples sent)
(30966|30986) pub9  31% (10 samples sent)
(30966|30986) pub9  40% (13 samples sent)
(30966|30986) pub9  50% (16 samples sent)
(30966|30986) pub9  62% (20 samples sent)
(30966|30986) pub9  71% (23 samples sent)
(30966|30986) pub9  81% (26 samples sent)
(30966|30986) pub9  90% (29 samples sent)
(30966|30986) pub9  100% (32 samples sent)
(30966|30986) pub9  waiting for acks
(30966|30991) pub16<-match found! before write for 01030000.25b17411.0000000e.00000102(410520ca)
(30966|30991) pub16  12% (4 samples sent)
(30966|30991) pub16  21% (7 samples sent)
(30966|30991) pub16  31% (10 samples sent)
(30966|30991) pub16  40% (13 samples sent)
(30966|30991) pub16  50% (16 samples sent)
(30966|30991) pub16  62% (20 samples sent)
(30966|30991) pub16  71% (23 samples sent)
(30966|30991) pub16  81% (26 samples sent)
(30966|30991) pub16  90% (29 samples sent)
(30966|30991) pub16  100% (32 samples sent)
(30966|30991) pub16  waiting for acks
(30966|30995) pub19  writer id: 01030000.25b17411.00000010.00000102(9ed50929)
(30966|30995) pub19->started
(30966|30995) pub19->wait_match() before write for 01030000.25b17411.00000010.00000102(9ed50929)
(30966|31003) pub24<-match found! before write for 01030000.25b17411.00000017.00000102(2cf5d539)
(30966|31003) pub24  12% (4 samples sent)
(30966|31003) pub24  21% (7 samples sent)
(30966|31003) pub24  31% (10 samples sent)
(30966|31003) pub24  40% (13 samples sent)
(30966|31003) pub24  50% (16 samples sent)
(30966|31003) pub24  62% (20 samples sent)
(30966|31003) pub24  71% (23 samples sent)
(30966|31003) pub24  81% (26 samples sent)
(30966|31003) pub24  90% (29 samples sent)
(30966|31003) pub24  100% (32 samples sent)
(30966|31003) pub24  waiting for acks
(30966|30974)  sub 30% (308 samples received)
(30966|30988) pub13  writer id: 01030000.25b17411.00000012.00000102(e4155a49)
(30966|30988) pub13->started
(30966|30988) pub13->wait_match() before write for 01030000.25b17411.00000012.00000102(e4155a49)
(30966|31006) pub30  writer id: 01030000.25b17411.00000019.00000102(93c56b58)
(30966|31006) pub30->started
(30966|31006) pub30->wait_match() before write for 01030000.25b17411.00000019.00000102(93c56b58)
(30966|30993) pub14  writer id: 01030000.25b17411.00000014.00000102(6b55afe9)
(30966|30993) pub14->started
(30966|30993) pub14->wait_match() before write for 01030000.25b17411.00000014.00000102(6b55afe9)
(30966|30989) pub20  writer id: 01030000.25b17411.0000001a.00000102(d4651188)
(30966|30989) pub20->started
(30966|30989) pub20->wait_match() before write for 01030000.25b17411.0000001a.00000102(d4651188)
(30966|31002) pub26  writer id: 01030000.25b17411.0000001d.00000102(6645cd98)
(30966|31002) pub26->started
(30966|31002) pub26->wait_match() before write for 01030000.25b17411.0000001d.00000102(6645cd98)
(30966|31000) pub27  writer id: 01030000.25b17411.0000001f.00000102(1c859ef8)
(30966|31000) pub27->started
(30966|31000) pub27->wait_match() before write for 01030000.25b17411.0000001f.00000102(1c859ef8)
(30966|31005) pub28  writer id: 01030000.25b17411.00000020.00000102(3ff4b1af)
(30966|31005) pub28->started
(30966|31005) pub28->wait_match() before write for 01030000.25b17411.00000020.00000102(3ff4b1af)
(30966|30999) pub31  writer id: 01030000.25b17411.00000022.00000102(4534e2cf)
(30966|30999) pub31->started
(30966|30999) pub31->wait_match() before write for 01030000.25b17411.00000022.00000102(4534e2cf)
(30966|31001) pub29  writer id: 01030000.25b17411.00000021.00000102(0294981f)
(30966|31001) pub29->started
(30966|31001) pub29->wait_match() before write for 01030000.25b17411.00000021.00000102(0294981f)
(30966|30977) pub1<-delete_participant
(30966|30974)  sub 40% (410 samples received)
(30966|30975) pub0<-delete_participant
(30966|30974)  sub 50% (512 samples received)
(30966|30979) pub3<-delete_participant
(30966|30974)  sub 60% (615 samples received)
(30966|30984) pub10<-match found! before write for 01030000.25b17411.0000000f.00000102(7c65097a)
(30966|30984) pub10  12% (4 samples sent)
(30966|30984) pub10  21% (7 samples sent)
(30966|30984) pub10  31% (10 samples sent)
(30966|30984) pub10  40% (13 samples sent)
(30966|30984) pub10  50% (16 samples sent)
(30966|30984) pub10  62% (20 samples sent)
(30966|30984) pub10  71% (23 samples sent)
(30966|30984) pub10  81% (26 samples sent)
(30966|30984) pub10  90% (29 samples sent)
(30966|30984) pub10  100% (32 samples sent)
(30966|30984) pub10  waiting for acks
(30966|30994) pub15<-match found! before write for 01030000.25b17411.00000016.00000102(1195fc89)
(30966|30994) pub15  12% (4 samples sent)
(30966|30994) pub15  21% (7 samples sent)
(30966|30994) pub15  31% (10 samples sent)
(30966|30994) pub15  40% (13 samples sent)
(30966|30994) pub15  50% (16 samples sent)
(30966|30994) pub15  62% (20 samples sent)
(30966|30994) pub15  71% (23 samples sent)
(30966|30994) pub15  81% (26 samples sent)
(30966|30980) pub5<-delete_participant
(30966|30994) pub15  90% (29 samples sent)
(30966|30994) pub15  100% (32 samples sent)
(30966|30994) pub15  waiting for acks
(30966|30995) pub19<-match found! before write for 01030000.25b17411.00000010.00000102(9ed50929)
(30966|30995) pub19  12% (4 samples sent)
(30966|30995) pub19  21% (7 samples sent)
(30966|30995) pub19  31% (10 samples sent)
(30966|30995) pub19  40% (13 samples sent)
(30966|30995) pub19  50% (16 samples sent)
(30966|30995) pub19  62% (20 samples sent)
(30966|30995) pub19  71% (23 samples sent)
(30966|30995) pub19  81% (26 samples sent)
(30966|30995) pub19  90% (29 samples sent)
(30966|30995) pub19  100% (32 samples sent)
(30966|30995) pub19  waiting for acks
(30966|30988) pub13<-match found! before write for 01030000.25b17411.00000012.00000102(e4155a49)
(30966|30988) pub13  12% (4 samples sent)
(30966|30988) pub13  21% (7 samples sent)
(30966|30988) pub13  31% (10 samples sent)
(30966|30988) pub13  40% (13 samples sent)
(30966|30988) pub13  50% (16 samples sent)
(30966|30988) pub13  62% (20 samples sent)
(30966|30988) pub13  71% (23 samples sent)
(30966|30988) pub13  81% (26 samples sent)
(30966|30988) pub13  90% (29 samples sent)
(30966|30988) pub13  100% (32 samples sent)
(30966|30988) pub13  waiting for acks
(30966|30976) pub4  waiting for acks returned
(30966|30976) pub4<-delete_contained_entities
(30966|31006) pub30<-match found! before write for 01030000.25b17411.00000019.00000102(93c56b58)
(30966|31006) pub30  12% (4 samples sent)
(30966|31006) pub30  21% (7 samples sent)
(30966|31006) pub30  31% (10 samples sent)
(30966|31006) pub30  40% (13 samples sent)
(30966|31006) pub30  50% (16 samples sent)
(30966|31006) pub30  62% (20 samples sent)
(30966|31006) pub30  71% (23 samples sent)
(30966|31006) pub30  81% (26 samples sent)
(30966|31006) pub30  90% (29 samples sent)
(30966|31006) pub30  100% (32 samples sent)
(30966|31006) pub30  waiting for acks
(30966|30978) pub2<-delete_participant
(30966|30993) pub14<-match found! before write for 01030000.25b17411.00000014.00000102(6b55afe9)
(30966|30993) pub14  12% (4 samples sent)
(30966|30993) pub14  21% (7 samples sent)
(30966|30993) pub14  31% (10 samples sent)
(30966|30993) pub14  40% (13 samples sent)
(30966|30993) pub14  50% (16 samples sent)
(30966|30993) pub14  62% (20 samples sent)
(30966|30993) pub14  71% (23 samples sent)
(30966|30993) pub14  81% (26 samples sent)
(30966|30993) pub14  90% (29 samples sent)
(30966|30993) pub14  100% (32 samples sent)
(30966|30993) pub14  waiting for acks
(30966|30989) pub20<-match found! before write for 01030000.25b17411.0000001a.00000102(d4651188)
(30966|30989) pub20  12% (4 samples sent)
(30966|30989) pub20  21% (7 samples sent)
(30966|30989) pub20  31% (10 samples sent)
(30966|30989) pub20  40% (13 samples sent)
(30966|30989) pub20  50% (16 samples sent)
(30966|30989) pub20  62% (20 samples sent)
(30966|30989) pub20  71% (23 samples sent)
(30966|30989) pub20  81% (26 samples sent)
(30966|30989) pub20  90% (29 samples sent)
(30966|30981) pub7<-delete_participant
(30966|30989) pub20  100% (32 samples sent)
(30966|30989) pub20  waiting for acks
(30966|31002) pub26<-match found! before write for 01030000.25b17411.0000001d.00000102(6645cd98)
(30966|31002) pub26  12% (4 samples sent)
(30966|31002) pub26  21% (7 samples sent)
(30966|31002) pub26  31% (10 samples sent)
(30966|31002) pub26  40% (13 samples sent)
(30966|31002) pub26  50% (16 samples sent)
(30966|31002) pub26  62% (20 samples sent)
(30966|31002) pub26  71% (23 samples sent)
(30966|31002) pub26  81% (26 samples sent)
(30966|31002) pub26  90% (29 samples sent)
(30966|31002) pub26  100% (32 samples sent)
(30966|31002) pub26  waiting for acks
(30966|31000) pub27<-match found! before write for 01030000.25b17411.0000001f.00000102(1c859ef8)
(30966|31000) pub27  12% (4 samples sent)
(30966|31000) pub27  21% (7 samples sent)
(30966|31000) pub27  31% (10 samples sent)
(30966|31000) pub27  40% (13 samples sent)
(30966|31000) pub27  50% (16 samples sent)
(30966|31000) pub27  62% (20 samples sent)
(30966|31000) pub27  71% (23 samples sent)
(30966|31000) pub27  81% (26 samples sent)
(30966|31000) pub27  90% (29 samples sent)
(30966|31000) pub27  100% (32 samples sent)
(30966|31000) pub27  waiting for acks
(30966|30985) pub8  waiting for acks returned
(30966|30985) pub8<-delete_contained_entities
(30966|31005) pub28<-match found! before write for 01030000.25b17411.00000020.00000102(3ff4b1af)
(30966|31005) pub28  12% (4 samples sent)
(30966|31005) pub28  21% (7 samples sent)
(30966|31005) pub28  31% (10 samples sent)
(30966|31005) pub28  40% (13 samples sent)
(30966|31005) pub28  50% (16 samples sent)
(30966|31005) pub28  62% (20 samples sent)
(30966|31005) pub28  71% (23 samples sent)
(30966|31005) pub28  81% (26 samples sent)
(30966|31005) pub28  90% (29 samples sent)
(30966|30987) pub12<-delete_participant
(30966|31005) pub28  100% (32 samples sent)
(30966|31005) pub28  waiting for acks
(30966|31001) pub29<-match found! before write for 01030000.25b17411.00000021.00000102(0294981f)
(30966|31001) pub29  12% (4 samples sent)
(30966|31001) pub29  21% (7 samples sent)
(30966|31001) pub29  31% (10 samples sent)
(30966|31001) pub29  40% (13 samples sent)
(30966|31001) pub29  50% (16 samples sent)
(30966|31001) pub29  62% (20 samples sent)
(30966|31001) pub29  71% (23 samples sent)
(30966|31001) pub29  81% (26 samples sent)
(30966|31001) pub29  90% (29 samples sent)
(30966|31001) pub29  100% (32 samples sent)
(30966|31001) pub29  waiting for acks
(30966|30999) pub31<-match found! before write for 01030000.25b17411.00000022.00000102(4534e2cf)
(30966|30999) pub31  12% (4 samples sent)
(30966|30999) pub31  21% (7 samples sent)
(30966|30999) pub31  31% (10 samples sent)
(30966|30999) pub31  40% (13 samples sent)
(30966|30999) pub31  50% (16 samples sent)
(30966|30999) pub31  62% (20 samples sent)
(30966|30999) pub31  71% (23 samples sent)
(30966|30999) pub31  81% (26 samples sent)
(30966|30999) pub31  90% (29 samples sent)
(30966|30999) pub31  100% (32 samples sent)
(30966|30999) pub31  waiting for acks
(30966|30982) pub6<-delete_participant
(30966|31004) pub25  waiting for acks returned
(30966|31004) pub25<-delete_contained_entities
(30966|30990) pub18  waiting for acks returned
(30966|30990) pub18<-delete_contained_entities
(30966|30996) pub21  waiting for acks returned
(30966|30996) pub21<-delete_contained_entities
(30966|30998) pub23  waiting for acks returned
(30966|30998) pub23<-delete_contained_entities
(30966|30992) pub17  waiting for acks returned
(30966|30992) pub17<-delete_contained_entities
(30966|30997) pub22  waiting for acks returned
(30966|30997) pub22<-delete_contained_entities
(30966|30986) pub9  waiting for acks returned
(30966|30986) pub9<-delete_contained_entities
(30966|30991) pub16  waiting for acks returned
(30966|30991) pub16<-delete_contained_entities
(30966|30983) pub11<-delete_participant
(30966|30976) pub4<-delete_participant
(30966|30985) pub8<-delete_participant
(30966|30974)  sub 70% (717 samples received)
(30966|31004) pub25<-delete_participant
(30966|30990) pub18<-delete_participant
(30966|30996) pub21<-delete_participant
(30966|30974)  sub 80% (820 samples received)
(30966|30998) pub23<-delete_participant
(30966|30992) pub17<-delete_participant
(30966|30997) pub22<-delete_participant
(30966|30986) pub9<-delete_participant
(30966|30991) pub16<-delete_participant
(30966|30974)  sub 90% (922 samples received)
(30966|31003) pub24  waiting for acks returned
(30966|31003) pub24<-delete_contained_entities
(30966|30984) pub10  waiting for acks returned
(30966|30984) pub10<-delete_contained_entities
(30966|30994) pub15  waiting for acks returned
(30966|30994) pub15<-delete_contained_entities
(30966|30995) pub19  waiting for acks returned
(30966|30995) pub19<-delete_contained_entities
(30966|30988) pub13  waiting for acks returned
(30966|30988) pub13<-delete_contained_entities
(30966|31006) pub30  waiting for acks returned
(30966|31006) pub30<-delete_contained_entities
(30966|30993) pub14  waiting for acks returned
(30966|30993) pub14<-delete_contained_entities
(30966|30989) pub20  waiting for acks returned
(30966|30989) pub20<-delete_contained_entities
(30966|31002) pub26  waiting for acks returned
(30966|31002) pub26<-delete_contained_entities
(30966|31000) pub27  waiting for acks returned
(30966|31000) pub27<-delete_contained_entities
(30966|30974) sub condition_.notify_all
(30966|30966) sub condition_.wait returned
(30966|30966) sub check_received
(30966|30966) sub check_received returns 0
(30966|30966) <- PublisherService::end
(30966|30974) sub condition_.notify_all
(30966|30974) sub condition_.notify_all
(30966|30974) sub condition_.notify_all
(30966|30974) sub condition_.notify_all
(30966|30974) sub condition_.notify_all
(30966|30974) sub condition_.notify_all
(30966|30974) sub condition_.notify_all
(30966|30974) sub condition_.notify_all
(30966|30974) sub condition_.notify_all
(30966|30974) sub condition_.notify_all
(30966|30974) sub condition_.notify_all
(30966|30974) sub condition_.notify_all
(30966|30974) sub condition_.notify_all
(30966|30974) sub condition_.notify_all
(30966|30974) sub condition_.notify_all
(30966|30974) sub condition_.notify_all
(30966|30974) sub condition_.notify_all
(30966|30974) sub condition_.notify_all
(30966|30974) sub condition_.notify_all
(30966|30974) sub condition_.notify_all
(30966|30974) sub condition_.notify_all
(30966|31003) pub24<-delete_participant
(30966|30974) sub condition_.notify_all
(30966|30974) sub condition_.notify_all
(30966|30974) sub condition_.notify_all
(30966|30974) sub condition_.notify_all
(30966|30974) sub condition_.notify_all
(30966|30974) sub condition_.notify_all
(30966|30974) sub condition_.notify_all
(30966|30974) sub condition_.notify_all
(30966|30974) sub condition_.notify_all
(30966|30974)  sub 100% (1024 samples received)
(30966|30974) sub condition_.notify_all
(30966|31005) pub28  waiting for acks returned
(30966|31005) pub28<-delete_contained_entities
(30966|31001) pub29  waiting for acks returned
(30966|31001) pub29<-delete_contained_entities
(30966|30999) pub31  waiting for acks returned
(30966|30999) pub31<-delete_contained_entities
(30966|30984) pub10<-delete_participant
(30966|30994) pub15<-delete_participant
(30966|30995) pub19<-delete_participant
(30966|30988) pub13<-delete_participant
(30966|31006) pub30<-delete_participant
(30966|30993) pub14<-delete_participant
(30966|30989) pub20<-delete_participant
(30966|31002) pub26<-delete_participant
(30966|31000) pub27<-delete_participant
(30966|31005) pub28<-delete_participant
(30966|31001) pub29<-delete_participant
(30966|30999) pub31<-delete_participant
(30966|30966) <- PublisherService::~PublisherService
(30966|30966) <- Subscriber delete_contained_entities
(30966|30966) <- Subscriber delete_participant
(30966|30966) <- Subscriber::~Subscriber
(30966|30966) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl high Time:2s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl aggressive #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 31008
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 64 -s 16 -n 1024 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 31015 started at 2023-04-21 22:29:57
(31015|31015) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(31015|31015) -> Thrasher started
(31015|31015) -> Subscriber::Subscriber
(31008|31008) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(31015|31015) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(31015|31015)    Subscriber reader id: 01030000.3549d688.00000002.00000507(6470b91c)
(31015|31015) -> PublisherService::PublisherService
(31015|31015) -> PublisherService::start (64 threads)
(31015|31015) sub wait_received 0:64
(31015|31032) pub4  writer id: 01030000.3549d688.00000006.00000102(85f62e57)
(31015|31032) pub4->started
(31015|31032) pub4->wait_match() before write for 01030000.3549d688.00000006.00000102(85f62e57)
(31015|31032) pub4<-match found! before write for 01030000.3549d688.00000006.00000102(85f62e57)
(31015|31032) pub4  12% (2 samples sent)
(31015|31032) pub4  25% (4 samples sent)
(31015|31032) pub4  31% (5 samples sent)
(31015|31032) pub4  43% (7 samples sent)
(31015|31032) pub4  50% (8 samples sent)
(31015|31032) pub4  62% (10 samples sent)
(31015|31032) pub4  75% (12 samples sent)
(31015|31032) pub4  81% (13 samples sent)
(31015|31032) pub4  93% (15 samples sent)
(31015|31032) pub4  100% (16 samples sent)
(31015|31032) pub4  waiting for acks
(31015|31032) pub4  waiting for acks returned
(31015|31032) pub4<-delete_contained_entities
(31015|31045) pub0  writer id: 01030000.3549d688.0000000a.00000102(4006c356)
(31015|31045) pub0->started
(31015|31045) pub0->wait_match() before write for 01030000.3549d688.0000000a.00000102(4006c356)
(31015|31045) pub0<-match found! before write for 01030000.3549d688.0000000a.00000102(4006c356)
(31015|31045) pub0  12% (2 samples sent)
(31015|31045) pub0  25% (4 samples sent)
(31015|31045) pub0  31% (5 samples sent)
(31015|31045) pub0  43% (7 samples sent)
(31015|31045) pub0  50% (8 samples sent)
(31015|31045) pub0  62% (10 samples sent)
(31015|31045) pub0  75% (12 samples sent)
(31015|31045) pub0  81% (13 samples sent)
(31015|31045) pub0  93% (15 samples sent)
(31015|31045) pub0  100% (16 samples sent)
(31015|31045) pub0  waiting for acks
(31015|31045) pub0  waiting for acks returned
(31015|31045) pub0<-delete_contained_entities
(31015|31040) pub7  writer id: 01030000.3549d688.00000009.00000102(07a6b986)
(31015|31040) pub7->started
(31015|31040) pub7->wait_match() before write for 01030000.3549d688.00000009.00000102(07a6b986)
(31015|31040) pub7<-match found! before write for 01030000.3549d688.00000009.00000102(07a6b986)
(31015|31040) pub7  12% (2 samples sent)
(31015|31040) pub7  25% (4 samples sent)
(31015|31040) pub7  31% (5 samples sent)
(31015|31040) pub7  43% (7 samples sent)
(31015|31040) pub7  50% (8 samples sent)
(31015|31040) pub7  62% (10 samples sent)
(31015|31040) pub7  75% (12 samples sent)
(31015|31040) pub7  81% (13 samples sent)
(31015|31040) pub7  93% (15 samples sent)
(31015|31040) pub7  100% (16 samples sent)
(31015|31040) pub7  waiting for acks
(31015|31040) pub7  waiting for acks returned
(31015|31040) pub7<-delete_contained_entities
(31015|31036) pub1  writer id: 01030000.3549d688.00000003.00000102(4d16a127)
(31015|31036) pub1->started
(31015|31036) pub1->wait_match() before write for 01030000.3549d688.00000003.00000102(4d16a127)
(31015|31036) pub1<-match found! before write for 01030000.3549d688.00000003.00000102(4d16a127)
(31015|31036) pub1  12% (2 samples sent)
(31015|31036) pub1  25% (4 samples sent)
(31015|31036) pub1  31% (5 samples sent)
(31015|31036) pub1  43% (7 samples sent)
(31015|31036) pub1  50% (8 samples sent)
(31015|31036) pub1  62% (10 samples sent)
(31015|31036) pub1  75% (12 samples sent)
(31015|31036) pub1  81% (13 samples sent)
(31015|31036) pub1  93% (15 samples sent)
(31015|31036) pub1  100% (16 samples sent)
(31015|31036) pub1  waiting for acks
(31015|31036) pub1  waiting for acks returned
(31015|31036) pub1<-delete_contained_entities
(31015|31038) pub5  writer id: 01030000.3549d688.00000007.00000102(b89607e7)
(31015|31038) pub5->started
(31015|31038) pub5->wait_match() before write for 01030000.3549d688.00000007.00000102(b89607e7)
(31015|31038) pub5<-match found! before write for 01030000.3549d688.00000007.00000102(b89607e7)
(31015|31038) pub5  12% (2 samples sent)
(31015|31038) pub5  25% (4 samples sent)
(31015|31038) pub5  31% (5 samples sent)
(31015|31038) pub5  43% (7 samples sent)
(31015|31038) pub5  50% (8 samples sent)
(31015|31038) pub5  62% (10 samples sent)
(31015|31038) pub5  75% (12 samples sent)
(31015|31038) pub5  81% (13 samples sent)
(31015|31038) pub5  93% (15 samples sent)
(31015|31038) pub5  100% (16 samples sent)
(31015|31038) pub5  waiting for acks
(31015|31038) pub5  waiting for acks returned
(31015|31038) pub5<-delete_contained_entities
(31015|31043) pub6  writer id: 01030000.3549d688.00000008.00000102(3ac69036)
(31015|31043) pub6->started
(31015|31043) pub6->wait_match() before write for 01030000.3549d688.00000008.00000102(3ac69036)
(31015|31043) pub6<-match found! before write for 01030000.3549d688.00000008.00000102(3ac69036)
(31015|31043) pub6  12% (2 samples sent)
(31015|31043) pub6  25% (4 samples sent)
(31015|31043) pub6  31% (5 samples sent)
(31015|31043) pub6  43% (7 samples sent)
(31015|31043) pub6  50% (8 samples sent)
(31015|31043) pub6  62% (10 samples sent)
(31015|31043) pub6  75% (12 samples sent)
(31015|31043) pub6  81% (13 samples sent)
(31015|31043) pub6  93% (15 samples sent)
(31015|31043) pub6  100% (16 samples sent)
(31015|31043) pub6  waiting for acks
(31015|31043) pub6  waiting for acks returned
(31015|31043) pub6<-delete_contained_entities
(31015|31051) pub28  writer id: 01030000.3549d688.00000018.00000102(5a2607b4)
(31015|31051) pub28->started
(31015|31051) pub28->wait_match() before write for 01030000.3549d688.00000018.00000102(5a2607b4)
(31015|31051) pub28<-match found! before write for 01030000.3549d688.00000018.00000102(5a2607b4)
(31015|31051) pub28  12% (2 samples sent)
(31015|31051) pub28  25% (4 samples sent)
(31015|31051) pub28  31% (5 samples sent)
(31015|31051) pub28  43% (7 samples sent)
(31015|31051) pub28  50% (8 samples sent)
(31015|31051) pub28  62% (10 samples sent)
(31015|31023)  sub 10% (103 samples received)
(31015|31051) pub28  75% (12 samples sent)
(31015|31051) pub28  81% (13 samples sent)
(31015|31051) pub28  93% (15 samples sent)
(31015|31051) pub28  100% (16 samples sent)
(31015|31051) pub28  waiting for acks
(31015|31051) pub28  waiting for acks returned
(31015|31051) pub28<-delete_contained_entities
(31015|31052) pub32  writer id: 01030000.3549d688.0000001b.00000102(1d867d64)
(31015|31052) pub32->started
(31015|31052) pub32->wait_match() before write for 01030000.3549d688.0000001b.00000102(1d867d64)
(31015|31052) pub32<-match found! before write for 01030000.3549d688.0000001b.00000102(1d867d64)
(31015|31052) pub32  12% (2 samples sent)
(31015|31052) pub32  25% (4 samples sent)
(31015|31052) pub32  31% (5 samples sent)
(31015|31052) pub32  43% (7 samples sent)
(31015|31052) pub32  50% (8 samples sent)
(31015|31052) pub32  62% (10 samples sent)
(31015|31052) pub32  75% (12 samples sent)
(31015|31052) pub32  81% (13 samples sent)
(31015|31052) pub32  93% (15 samples sent)
(31015|31052) pub32  100% (16 samples sent)
(31015|31052) pub32  waiting for acks
(31015|31052) pub32  waiting for acks returned
(31015|31052) pub32<-delete_contained_entities
(31015|31041) pub3  writer id: 01030000.3549d688.00000005.00000102(c2565487)
(31015|31041) pub3->started
(31015|31041) pub3->wait_match() before write for 01030000.3549d688.00000005.00000102(c2565487)
(31015|31041) pub3<-match found! before write for 01030000.3549d688.00000005.00000102(c2565487)
(31015|31041) pub3  12% (2 samples sent)
(31015|31041) pub3  25% (4 samples sent)
(31015|31041) pub3  31% (5 samples sent)
(31015|31041) pub3  43% (7 samples sent)
(31015|31041) pub3  50% (8 samples sent)
(31015|31041) pub3  62% (10 samples sent)
(31015|31041) pub3  75% (12 samples sent)
(31015|31041) pub3  81% (13 samples sent)
(31015|31041) pub3  93% (15 samples sent)
(31015|31041) pub3  100% (16 samples sent)
(31015|31041) pub3  waiting for acks
(31015|31041) pub3  waiting for acks returned
(31015|31041) pub3<-delete_contained_entities
(31015|31079) pub48  writer id: 01030000.3549d688.00000029.00000102(c6679682)
(31015|31079) pub48->started
(31015|31079) pub48->wait_match() before write for 01030000.3549d688.00000029.00000102(c6679682)
(31015|31079) pub48<-match found! before write for 01030000.3549d688.00000029.00000102(c6679682)
(31015|31079) pub48  12% (2 samples sent)
(31015|31079) pub48  25% (4 samples sent)
(31015|31079) pub48  31% (5 samples sent)
(31015|31079) pub48  43% (7 samples sent)
(31015|31079) pub48  50% (8 samples sent)
(31015|31079) pub48  62% (10 samples sent)
(31015|31079) pub48  75% (12 samples sent)
(31015|31079) pub48  81% (13 samples sent)
(31015|31079) pub48  93% (15 samples sent)
(31015|31079) pub48  100% (16 samples sent)
(31015|31079) pub48  waiting for acks
(31015|31079) pub48  waiting for acks returned
(31015|31079) pub48<-delete_contained_entities
(31015|31034) pub2  writer id: 01030000.3549d688.00000004.00000102(ff367d37)
(31015|31034) pub2->started
(31015|31034) pub2->wait_match() before write for 01030000.3549d688.00000004.00000102(ff367d37)
(31015|31034) pub2<-match found! before write for 01030000.3549d688.00000004.00000102(ff367d37)
(31015|31034) pub2  12% (2 samples sent)
(31015|31034) pub2  25% (4 samples sent)
(31015|31034) pub2  31% (5 samples sent)
(31015|31034) pub2  43% (7 samples sent)
(31015|31034) pub2  50% (8 samples sent)
(31015|31034) pub2  62% (10 samples sent)
(31015|31034) pub2  75% (12 samples sent)
(31015|31034) pub2  81% (13 samples sent)
(31015|31034) pub2  93% (15 samples sent)
(31015|31034) pub2  100% (16 samples sent)
(31015|31034) pub2  waiting for acks
(31015|31034) pub2  waiting for acks returned
(31015|31034) pub2<-delete_contained_entities
(31015|31031) pub19  writer id: 01030000.3549d688.00000024.00000102(3ef75233)
(31015|31031) pub19->started
(31015|31031) pub19->wait_match() before write for 01030000.3549d688.00000024.00000102(3ef75233)
(31015|31031) pub19<-match found! before write for 01030000.3549d688.00000024.00000102(3ef75233)
(31015|31031) pub19  12% (2 samples sent)
(31015|31031) pub19  25% (4 samples sent)
(31015|31031) pub19  31% (5 samples sent)
(31015|31031) pub19  43% (7 samples sent)
(31015|31031) pub19  50% (8 samples sent)
(31015|31031) pub19  62% (10 samples sent)
(31015|31031) pub19  75% (12 samples sent)
(31015|31031) pub19  81% (13 samples sent)
(31015|31031) pub19  93% (15 samples sent)
(31015|31031) pub19  100% (16 samples sent)
(31015|31031) pub19  waiting for acks
(31015|31031) pub19  waiting for acks returned
(31015|31031) pub19<-delete_contained_entities
(31015|31027) pub23  writer id: 01030000.3549d688.00000026.00000102(44370153)
(31015|31027) pub23->started
(31015|31027) pub23->wait_match() before write for 01030000.3549d688.00000026.00000102(44370153)
(31015|31027) pub23<-match found! before write for 01030000.3549d688.00000026.00000102(44370153)
(31015|31027) pub23  12% (2 samples sent)
(31015|31027) pub23  25% (4 samples sent)
(31015|31027) pub23  31% (5 samples sent)
(31015|31027) pub23  43% (7 samples sent)
(31015|31027) pub23  50% (8 samples sent)
(31015|31027) pub23  62% (10 samples sent)
(31015|31027) pub23  75% (12 samples sent)
(31015|31027) pub23  81% (13 samples sent)
(31015|31027) pub23  93% (15 samples sent)
(31015|31027) pub23  100% (16 samples sent)
(31015|31027) pub23  waiting for acks
(31015|31023)  sub 20% (205 samples received)
(31015|31027) pub23  waiting for acks returned
(31015|31027) pub23<-delete_contained_entities
(31015|31030) pub8  writer id: 01030000.3549d688.0000000b.00000102(7d66eae6)
(31015|31030) pub8->started
(31015|31030) pub8->wait_match() before write for 01030000.3549d688.0000000b.00000102(7d66eae6)
(31015|31030) pub8<-match found! before write for 01030000.3549d688.0000000b.00000102(7d66eae6)
(31015|31030) pub8  12% (2 samples sent)
(31015|31030) pub8  25% (4 samples sent)
(31015|31030) pub8  31% (5 samples sent)
(31015|31030) pub8  43% (7 samples sent)
(31015|31030) pub8  50% (8 samples sent)
(31015|31030) pub8  62% (10 samples sent)
(31015|31030) pub8  75% (12 samples sent)
(31015|31030) pub8  81% (13 samples sent)
(31015|31030) pub8  93% (15 samples sent)
(31015|31030) pub8  100% (16 samples sent)
(31015|31030) pub8  waiting for acks
(31015|31030) pub8  waiting for acks returned
(31015|31030) pub8<-delete_contained_entities
(31015|31025) pub12  writer id: 01030000.3549d688.00000010.00000102(6a564c75)
(31015|31025) pub12->started
(31015|31025) pub12->wait_match() before write for 01030000.3549d688.00000010.00000102(6a564c75)
(31015|31025) pub12<-match found! before write for 01030000.3549d688.00000010.00000102(6a564c75)
(31015|31025) pub12  12% (2 samples sent)
(31015|31025) pub12  25% (4 samples sent)
(31015|31025) pub12  31% (5 samples sent)
(31015|31025) pub12  43% (7 samples sent)
(31015|31025) pub12  50% (8 samples sent)
(31015|31025) pub12  62% (10 samples sent)
(31015|31025) pub12  75% (12 samples sent)
(31015|31025) pub12  81% (13 samples sent)
(31015|31025) pub12  93% (15 samples sent)
(31015|31025) pub12  100% (16 samples sent)
(31015|31025) pub12  waiting for acks
(31015|31025) pub12  waiting for acks returned
(31015|31025) pub12<-delete_contained_entities
(31015|31029) pub9  writer id: 01030000.3549d688.0000000c.00000102(cf4636f6)
(31015|31029) pub9->started
(31015|31029) pub9->wait_match() before write for 01030000.3549d688.0000000c.00000102(cf4636f6)
(31015|31029) pub9<-match found! before write for 01030000.3549d688.0000000c.00000102(cf4636f6)
(31015|31029) pub9  12% (2 samples sent)
(31015|31029) pub9  25% (4 samples sent)
(31015|31029) pub9  31% (5 samples sent)
(31015|31029) pub9  43% (7 samples sent)
(31015|31029) pub9  50% (8 samples sent)
(31015|31029) pub9  62% (10 samples sent)
(31015|31029) pub9  75% (12 samples sent)
(31015|31029) pub9  81% (13 samples sent)
(31015|31029) pub9  93% (15 samples sent)
(31015|31029) pub9  100% (16 samples sent)
(31015|31029) pub9  waiting for acks
(31015|31029) pub9  waiting for acks returned
(31015|31029) pub9<-delete_contained_entities
(31015|31035) pub20  writer id: 01030000.3549d688.0000000f.00000102(88e64c26)
(31015|31035) pub20->started
(31015|31035) pub20->wait_match() before write for 01030000.3549d688.0000000f.00000102(88e64c26)
(31015|31035) pub20<-match found! before write for 01030000.3549d688.0000000f.00000102(88e64c26)
(31015|31035) pub20  12% (2 samples sent)
(31015|31035) pub20  25% (4 samples sent)
(31015|31035) pub20  31% (5 samples sent)
(31015|31035) pub20  43% (7 samples sent)
(31015|31035) pub20  50% (8 samples sent)
(31015|31035) pub20  62% (10 samples sent)
(31015|31035) pub20  75% (12 samples sent)
(31015|31035) pub20  81% (13 samples sent)
(31015|31035) pub20  93% (15 samples sent)
(31015|31035) pub20  100% (16 samples sent)
(31015|31035) pub20  waiting for acks
(31015|31035) pub20  waiting for acks returned
(31015|31035) pub20<-delete_contained_entities
(31015|31028) pub10  writer id: 01030000.3549d688.0000000e.00000102(b5866596)
(31015|31028) pub10->started
(31015|31028) pub10->wait_match() before write for 01030000.3549d688.0000000e.00000102(b5866596)
(31015|31028) pub10<-match found! before write for 01030000.3549d688.0000000e.00000102(b5866596)
(31015|31028) pub10  12% (2 samples sent)
(31015|31028) pub10  25% (4 samples sent)
(31015|31028) pub10  31% (5 samples sent)
(31015|31028) pub10  43% (7 samples sent)
(31015|31028) pub10  50% (8 samples sent)
(31015|31028) pub10  62% (10 samples sent)
(31015|31028) pub10  75% (12 samples sent)
(31015|31028) pub10  81% (13 samples sent)
(31015|31028) pub10  93% (15 samples sent)
(31015|31028) pub10  100% (16 samples sent)
(31015|31028) pub10  waiting for acks
(31015|31028) pub10  waiting for acks returned
(31015|31028) pub10<-delete_contained_entities
(31015|31042) pub16  writer id: 01030000.3549d688.0000000d.00000102(f2261f46)
(31015|31042) pub16->started
(31015|31042) pub16->wait_match() before write for 01030000.3549d688.0000000d.00000102(f2261f46)
(31015|31042) pub16<-match found! before write for 01030000.3549d688.0000000d.00000102(f2261f46)
(31015|31042) pub16  12% (2 samples sent)
(31015|31042) pub16  25% (4 samples sent)
(31015|31042) pub16  31% (5 samples sent)
(31015|31042) pub16  43% (7 samples sent)
(31015|31042) pub16  50% (8 samples sent)
(31015|31042) pub16  62% (10 samples sent)
(31015|31042) pub16  75% (12 samples sent)
(31015|31042) pub16  81% (13 samples sent)
(31015|31042) pub16  93% (15 samples sent)
(31015|31042) pub16  100% (16 samples sent)
(31015|31042) pub16  waiting for acks
(31015|31042) pub16  waiting for acks returned
(31015|31042) pub16<-delete_contained_entities
(31015|31044) pub15  writer id: 01030000.3549d688.0000001a.00000102(20e654d4)
(31015|31044) pub15->started
(31015|31044) pub15->wait_match() before write for 01030000.3549d688.0000001a.00000102(20e654d4)
(31015|31044) pub15<-match found! before write for 01030000.3549d688.0000001a.00000102(20e654d4)
(31015|31044) pub15  12% (2 samples sent)
(31015|31044) pub15  25% (4 samples sent)
(31015|31044) pub15  31% (5 samples sent)
(31015|31044) pub15  43% (7 samples sent)
(31015|31044) pub15  50% (8 samples sent)
(31015|31044) pub15  62% (10 samples sent)
(31015|31044) pub15  75% (12 samples sent)
(31015|31044) pub15  81% (13 samples sent)
(31015|31044) pub15  93% (15 samples sent)
(31015|31044) pub15  100% (16 samples sent)
(31015|31044) pub15  waiting for acks
(31015|31047) pub17  writer id: 01030000.3549d688.00000017.00000102(d8769065)
(31015|31047) pub17->started
(31015|31047) pub17->wait_match() before write for 01030000.3549d688.00000017.00000102(d8769065)
(31015|31023)  sub 30% (308 samples received)
(31015|31059) pub35  writer id: 01030000.3549d688.00000019.00000102(67462e04)
(31015|31059) pub35->started
(31015|31059) pub35->wait_match() before write for 01030000.3549d688.00000019.00000102(67462e04)
(31015|31050) pub11  writer id: 01030000.3549d688.00000013.00000102(2df636a5)
(31015|31050) pub11->started
(31015|31050) pub11->wait_match() before write for 01030000.3549d688.00000013.00000102(2df636a5)
(31015|31047) pub17<-match found! before write for 01030000.3549d688.00000017.00000102(d8769065)
(31015|31047) pub17  12% (2 samples sent)
(31015|31047) pub17  25% (4 samples sent)
(31015|31047) pub17  31% (5 samples sent)
(31015|31047) pub17  43% (7 samples sent)
(31015|31047) pub17  50% (8 samples sent)
(31015|31047) pub17  62% (10 samples sent)
(31015|31047) pub17  75% (12 samples sent)
(31015|31047) pub17  81% (13 samples sent)
(31015|31047) pub17  93% (15 samples sent)
(31015|31047) pub17  100% (16 samples sent)
(31015|31047) pub17  waiting for acks
(31015|31059) pub35<-match found! before write for 01030000.3549d688.00000019.00000102(67462e04)
(31015|31059) pub35  12% (2 samples sent)
(31015|31059) pub35  25% (4 samples sent)
(31015|31059) pub35  31% (5 samples sent)
(31015|31059) pub35  43% (7 samples sent)
(31015|31059) pub35  50% (8 samples sent)
(31015|31059) pub35  62% (10 samples sent)
(31015|31059) pub35  75% (12 samples sent)
(31015|31059) pub35  81% (13 samples sent)
(31015|31059) pub35  93% (15 samples sent)
(31015|31059) pub35  100% (16 samples sent)
(31015|31059) pub35  waiting for acks
(31015|31056) pub27  writer id: 01030000.3549d688.0000001d.00000102(92c688c4)
(31015|31056) pub27->started
(31015|31056) pub27->wait_match() before write for 01030000.3549d688.0000001d.00000102(92c688c4)
(31015|31050) pub11<-match found! before write for 01030000.3549d688.00000013.00000102(2df636a5)
(31015|31050) pub11  12% (2 samples sent)
(31015|31050) pub11  25% (4 samples sent)
(31015|31050) pub11  31% (5 samples sent)
(31015|31050) pub11  43% (7 samples sent)
(31015|31050) pub11  50% (8 samples sent)
(31015|31050) pub11  62% (10 samples sent)
(31015|31050) pub11  75% (12 samples sent)
(31015|31050) pub11  81% (13 samples sent)
(31015|31050) pub11  93% (15 samples sent)
(31015|31050) pub11  100% (16 samples sent)
(31015|31050) pub11  waiting for acks
(31015|31044) pub15  waiting for acks returned
(31015|31044) pub15<-delete_contained_entities
(31015|31056) pub27<-match found! before write for 01030000.3549d688.0000001d.00000102(92c688c4)
(31015|31056) pub27  12% (2 samples sent)
(31015|31056) pub27  25% (4 samples sent)
(31015|31056) pub27  31% (5 samples sent)
(31015|31056) pub27  43% (7 samples sent)
(31015|31056) pub27  50% (8 samples sent)
(31015|31056) pub27  62% (10 samples sent)
(31015|31056) pub27  75% (12 samples sent)
(31015|31056) pub27  81% (13 samples sent)
(31015|31056) pub27  93% (15 samples sent)
(31015|31056) pub27  100% (16 samples sent)
(31015|31056) pub27  waiting for acks
(31015|31057) pub33  writer id: 01030000.3549d688.0000001f.00000102(e806dba4)
(31015|31057) pub33->started
(31015|31057) pub33->wait_match() before write for 01030000.3549d688.0000001f.00000102(e806dba4)
(31015|31024) pub14  writer id: 01030000.3549d688.00000014.00000102(9fd6eab5)
(31015|31024) pub14->started
(31015|31024) pub14->wait_match() before write for 01030000.3549d688.00000014.00000102(9fd6eab5)
(31015|31068) pub46  writer id: 01030000.3549d688.00000020.00000102(cb77f4f3)
(31015|31068) pub46->started
(31015|31068) pub46->wait_match() before write for 01030000.3549d688.00000020.00000102(cb77f4f3)
(31015|31067) pub42  writer id: 01030000.3549d688.00000025.00000102(03977b83)
(31015|31067) pub42->started
(31015|31067) pub42->wait_match() before write for 01030000.3549d688.00000025.00000102(03977b83)
(31015|31073) pub47  writer id: 01030000.3549d688.00000027.00000102(795728e3)
(31015|31073) pub47->started
(31015|31073) pub47->wait_match() before write for 01030000.3549d688.00000027.00000102(795728e3)
(31015|31046) pub25  writer id: 01030000.3549d688.00000011.00000102(573665c5)
(31015|31046) pub25->started
(31015|31046) pub25->wait_match() before write for 01030000.3549d688.00000011.00000102(573665c5)
(31015|31055) pub29  writer id: 01030000.3549d688.0000002a.00000102(81c7ec52)
(31015|31055) pub29->started
(31015|31055) pub29->wait_match() before write for 01030000.3549d688.0000002a.00000102(81c7ec52)
(31015|31057) pub33<-match found! before write for 01030000.3549d688.0000001f.00000102(e806dba4)
(31015|31057) pub33  12% (2 samples sent)
(31015|31057) pub33  25% (4 samples sent)
(31015|31057) pub33  31% (5 samples sent)
(31015|31057) pub33  43% (7 samples sent)
(31015|31057) pub33  50% (8 samples sent)
(31015|31057) pub33  62% (10 samples sent)
(31015|31057) pub33  75% (12 samples sent)
(31015|31057) pub33  81% (13 samples sent)
(31015|31057) pub33  93% (15 samples sent)
(31015|31057) pub33  100% (16 samples sent)
(31015|31057) pub33  waiting for acks
(31015|31047) pub17  waiting for acks returned
(31015|31047) pub17<-delete_contained_entities
(31015|31024) pub14<-match found! before write for 01030000.3549d688.00000014.00000102(9fd6eab5)
(31015|31024) pub14  12% (2 samples sent)
(31015|31024) pub14  25% (4 samples sent)
(31015|31024) pub14  31% (5 samples sent)
(31015|31024) pub14  43% (7 samples sent)
(31015|31024) pub14  50% (8 samples sent)
(31015|31024) pub14  62% (10 samples sent)
(31015|31024) pub14  75% (12 samples sent)
(31015|31024) pub14  81% (13 samples sent)
(31015|31024) pub14  93% (15 samples sent)
(31015|31024) pub14  100% (16 samples sent)
(31015|31024) pub14  waiting for acks
(31015|31068) pub46<-match found! before write for 01030000.3549d688.00000020.00000102(cb77f4f3)
(31015|31068) pub46  12% (2 samples sent)
(31015|31068) pub46  25% (4 samples sent)
(31015|31068) pub46  31% (5 samples sent)
(31015|31068) pub46  43% (7 samples sent)
(31015|31068) pub46  50% (8 samples sent)
(31015|31068) pub46  62% (10 samples sent)
(31015|31068) pub46  75% (12 samples sent)
(31015|31068) pub46  81% (13 samples sent)
(31015|31068) pub46  93% (15 samples sent)
(31015|31068) pub46  100% (16 samples sent)
(31015|31068) pub46  waiting for acks
(31015|31059) pub35  waiting for acks returned
(31015|31059) pub35<-delete_contained_entities
(31015|31060) pub36  writer id: 01030000.3549d688.00000023.00000102(8cd78e23)
(31015|31060) pub36->started
(31015|31060) pub36->wait_match() before write for 01030000.3549d688.00000023.00000102(8cd78e23)
(31015|31067) pub42<-match found! before write for 01030000.3549d688.00000025.00000102(03977b83)
(31015|31067) pub42  12% (2 samples sent)
(31015|31067) pub42  25% (4 samples sent)
(31015|31067) pub42  31% (5 samples sent)
(31015|31067) pub42  43% (7 samples sent)
(31015|31067) pub42  50% (8 samples sent)
(31015|31067) pub42  62% (10 samples sent)
(31015|31067) pub42  75% (12 samples sent)
(31015|31067) pub42  81% (13 samples sent)
(31015|31067) pub42  93% (15 samples sent)
(31015|31067) pub42  100% (16 samples sent)
(31015|31067) pub42  waiting for acks
(31015|31073) pub47<-match found! before write for 01030000.3549d688.00000027.00000102(795728e3)
(31015|31073) pub47  12% (2 samples sent)
(31015|31073) pub47  25% (4 samples sent)
(31015|31073) pub47  31% (5 samples sent)
(31015|31073) pub47  43% (7 samples sent)
(31015|31073) pub47  50% (8 samples sent)
(31015|31073) pub47  62% (10 samples sent)
(31015|31046) pub25<-match found! before write for 01030000.3549d688.00000011.00000102(573665c5)
(31015|31073) pub47  75% (12 samples sent)
(31015|31073) pub47  81% (13 samples sent)
(31015|31048) pub13  writer id: 01030000.3549d688.0000001c.00000102(afa6a174)
(31015|31048) pub13->started
(31015|31048) pub13->wait_match() before write for 01030000.3549d688.0000001c.00000102(afa6a174)
(31015|31046) pub25  12% (2 samples sent)
(31015|31073) pub47  93% (15 samples sent)
(31015|31073) pub47  100% (16 samples sent)
(31015|31073) pub47  waiting for acks
(31015|31046) pub25  25% (4 samples sent)
(31015|31046) pub25  31% (5 samples sent)
(31015|31046) pub25  43% (7 samples sent)
(31015|31046) pub25  50% (8 samples sent)
(31015|31046) pub25  62% (10 samples sent)
(31015|31046) pub25  75% (12 samples sent)
(31015|31046) pub25  81% (13 samples sent)
(31015|31046) pub25  93% (15 samples sent)
(31015|31046) pub25  100% (16 samples sent)
(31015|31046) pub25  waiting for acks
(31015|31050) pub11  waiting for acks returned
(31015|31050) pub11<-delete_contained_entities
(31015|31056) pub27  waiting for acks returned
(31015|31056) pub27<-delete_contained_entities
(31015|31037) pub24  writer id: 01030000.3549d688.00000012.00000102(10961f15)
(31015|31037) pub24->started
(31015|31037) pub24->wait_match() before write for 01030000.3549d688.00000012.00000102(10961f15)
(31015|31055) pub29<-match found! before write for 01030000.3549d688.0000002a.00000102(81c7ec52)
(31015|31055) pub29  12% (2 samples sent)
(31015|31055) pub29  25% (4 samples sent)
(31015|31055) pub29  31% (5 samples sent)
(31015|31055) pub29  43% (7 samples sent)
(31015|31055) pub29  50% (8 samples sent)
(31015|31055) pub29  62% (10 samples sent)
(31015|31055) pub29  75% (12 samples sent)
(31015|31055) pub29  81% (13 samples sent)
(31015|31055) pub29  93% (15 samples sent)
(31015|31055) pub29  100% (16 samples sent)
(31015|31055) pub29  waiting for acks
(31015|31060) pub36<-match found! before write for 01030000.3549d688.00000023.00000102(8cd78e23)
(31015|31060) pub36  12% (2 samples sent)
(31015|31060) pub36  25% (4 samples sent)
(31015|31060) pub36  31% (5 samples sent)
(31015|31060) pub36  43% (7 samples sent)
(31015|31060) pub36  50% (8 samples sent)
(31015|31060) pub36  62% (10 samples sent)
(31015|31060) pub36  75% (12 samples sent)
(31015|31060) pub36  81% (13 samples sent)
(31015|31060) pub36  93% (15 samples sent)
(31015|31060) pub36  100% (16 samples sent)
(31015|31060) pub36  waiting for acks
(31015|31071) pub45  writer id: 01030000.3549d688.00000022.00000102(b1b7a793)
(31015|31071) pub45->started
(31015|31071) pub45->wait_match() before write for 01030000.3549d688.00000022.00000102(b1b7a793)
(31015|31048) pub13<-match found! before write for 01030000.3549d688.0000001c.00000102(afa6a174)
(31015|31037) pub24<-match found! before write for 01030000.3549d688.00000012.00000102(10961f15)
(31015|31048) pub13  12% (2 samples sent)
(31015|31037) pub24  12% (2 samples sent)
(31015|31048) pub13  25% (4 samples sent)
(31015|31048) pub13  31% (5 samples sent)
(31015|31037) pub24  25% (4 samples sent)
(31015|31037) pub24  31% (5 samples sent)
(31015|31048) pub13  43% (7 samples sent)
(31015|31048) pub13  50% (8 samples sent)
(31015|31037) pub24  43% (7 samples sent)
(31015|31037) pub24  50% (8 samples sent)
(31015|31048) pub13  62% (10 samples sent)
(31015|31037) pub24  62% (10 samples sent)
(31015|31048) pub13  75% (12 samples sent)
(31015|31048) pub13  81% (13 samples sent)
(31015|31037) pub24  75% (12 samples sent)
(31015|31037) pub24  81% (13 samples sent)
(31015|31048) pub13  93% (15 samples sent)
(31015|31048) pub13  100% (16 samples sent)
(31015|31048) pub13  waiting for acks
(31015|31037) pub24  93% (15 samples sent)
(31015|31037) pub24  100% (16 samples sent)
(31015|31037) pub24  waiting for acks
(31015|31065) pub43  writer id: 01030000.3549d688.00000021.00000102(f617dd43)
(31015|31065) pub43->started
(31015|31065) pub43->wait_match() before write for 01030000.3549d688.00000021.00000102(f617dd43)
(31015|31023)  sub 40% (410 samples received)
(31015|31054) pub30  writer id: 01030000.3549d688.00000028.00000102(fb07bf32)
(31015|31054) pub30->started
(31015|31054) pub30->wait_match() before write for 01030000.3549d688.00000028.00000102(fb07bf32)
(31015|31039) pub18  writer id: 01030000.3549d688.0000001e.00000102(d566f214)
(31015|31039) pub18->started
(31015|31039) pub18->wait_match() before write for 01030000.3549d688.0000001e.00000102(d566f214)
(31015|31026) pub21  writer id: 01030000.3549d688.00000015.00000102(a2b6c305)
(31015|31026) pub21->started
(31015|31026) pub21->wait_match() before write for 01030000.3549d688.00000015.00000102(a2b6c305)
(31015|31049) pub26  writer id: 01030000.3549d688.00000016.00000102(e516b9d5)
(31015|31049) pub26->started
(31015|31049) pub26->wait_match() before write for 01030000.3549d688.00000016.00000102(e516b9d5)
(31015|31070) pub44  writer id: 01030000.3549d688.0000002b.00000102(bca7c5e2)
(31015|31070) pub44->started
(31015|31070) pub44->wait_match() before write for 01030000.3549d688.0000002b.00000102(bca7c5e2)
(31015|31080) pub50  writer id: 01030000.3549d688.0000002c.00000102(0e8719f2)
(31015|31080) pub50->started
(31015|31080) pub50->wait_match() before write for 01030000.3549d688.0000002c.00000102(0e8719f2)
(31015|31064) pub40  writer id: 01030000.3549d688.00000034.00000102(5e17c5b1)
(31015|31064) pub40->started
(31015|31064) pub40->wait_match() before write for 01030000.3549d688.00000034.00000102(5e17c5b1)
(31015|31053) pub31  writer id: 01030000.3549d688.0000002d.00000102(33e73042)
(31015|31053) pub31->started
(31015|31053) pub31->wait_match() before write for 01030000.3549d688.0000002d.00000102(33e73042)
(31015|31063) pub39  writer id: 01030000.3549d688.00000033.00000102(ec3719a1)
(31015|31063) pub39->started
(31015|31063) pub39->wait_match() before write for 01030000.3549d688.00000033.00000102(ec3719a1)
(31015|31074) pub56  writer id: 01030000.3549d688.00000030.00000102(ab976371)
(31015|31074) pub56->started
(31015|31074) pub56->wait_match() before write for 01030000.3549d688.00000030.00000102(ab976371)
(31015|31086) pub53  writer id: 01030000.3549d688.00000038.00000102(9be728b0)
(31015|31086) pub53->started
(31015|31086) pub53->wait_match() before write for 01030000.3549d688.00000038.00000102(9be728b0)
(31015|31075) pub49  writer id: 01030000.3549d688.00000036.00000102(24d796d1)
(31015|31075) pub49->started
(31015|31075) pub49->wait_match() before write for 01030000.3549d688.00000036.00000102(24d796d1)
(31015|31085) pub60  writer id: 01030000.3549d688.0000003d.00000102(5307a7c0)
(31015|31085) pub60->started
(31015|31085) pub60->wait_match() before write for 01030000.3549d688.0000003d.00000102(5307a7c0)
(31015|31077) pub59  writer id: 01030000.3549d688.0000003c.00000102(6e678e70)
(31015|31077) pub59->started
(31015|31077) pub59->wait_match() before write for 01030000.3549d688.0000003c.00000102(6e678e70)
(31015|31082) pub63  writer id: 01030000.3549d688.00000040.00000102(524583be)
(31015|31082) pub63->started
(31015|31082) pub63->wait_match() before write for 01030000.3549d688.00000040.00000102(524583be)
(31015|31058) pub34  writer id: 01030000.3549d688.0000002f.00000102(49276322)
(31015|31058) pub34->started
(31015|31058) pub34->wait_match() before write for 01030000.3549d688.0000002f.00000102(49276322)
(31015|31076) pub51  writer id: 01030000.3549d688.00000037.00000102(19b7bf61)
(31015|31076) pub51->started
(31015|31076) pub51->wait_match() before write for 01030000.3549d688.00000037.00000102(19b7bf61)
(31015|31072) pub61  writer id: 01030000.3549d688.0000003e.00000102(14a7dd10)
(31015|31072) pub61->started
(31015|31072) pub61->wait_match() before write for 01030000.3549d688.0000003e.00000102(14a7dd10)
(31015|31084) pub62  writer id: 01030000.3549d688.0000003f.00000102(29c7f4a0)
(31015|31084) pub62->started
(31015|31084) pub62->wait_match() before write for 01030000.3549d688.0000003f.00000102(29c7f4a0)
(31015|31062) pub38  writer id: 01030000.3549d688.00000032.00000102(d1573011)
(31015|31062) pub38->started
(31015|31062) pub38->wait_match() before write for 01030000.3549d688.00000032.00000102(d1573011)
(31015|31081) pub58  writer id: 01030000.3549d688.0000003b.00000102(dc475260)
(31015|31081) pub58->started
(31015|31081) pub58->wait_match() before write for 01030000.3549d688.0000003b.00000102(dc475260)
(31015|31069) pub52  writer id: 01030000.3549d688.0000002e.00000102(74474a92)
(31015|31069) pub52->started
(31015|31069) pub52->wait_match() before write for 01030000.3549d688.0000002e.00000102(74474a92)
(31015|31066) pub41  writer id: 01030000.3549d688.00000035.00000102(6377ec01)
(31015|31066) pub41->started
(31015|31066) pub41->wait_match() before write for 01030000.3549d688.00000035.00000102(6377ec01)
(31015|31023)  sub 50% (512 samples received)
(31015|31078) pub55  writer id: 01030000.3549d688.00000039.00000102(a6870100)
(31015|31078) pub55->started
(31015|31078) pub55->wait_match() before write for 01030000.3549d688.00000039.00000102(a6870100)
(31015|31083) pub57  writer id: 01030000.3549d688.0000003a.00000102(e1277bd0)
(31015|31083) pub57->started
(31015|31083) pub57->wait_match() before write for 01030000.3549d688.0000003a.00000102(e1277bd0)
(31015|31071) pub45<-match found! before write for 01030000.3549d688.00000022.00000102(b1b7a793)
(31015|31071) pub45  12% (2 samples sent)
(31015|31071) pub45  25% (4 samples sent)
(31015|31071) pub45  31% (5 samples sent)
(31015|31071) pub45  43% (7 samples sent)
(31015|31071) pub45  50% (8 samples sent)
(31015|31071) pub45  62% (10 samples sent)
(31015|31071) pub45  75% (12 samples sent)
(31015|31071) pub45  81% (13 samples sent)
(31015|31071) pub45  93% (15 samples sent)
(31015|31071) pub45  100% (16 samples sent)
(31015|31071) pub45  waiting for acks
(31015|31057) pub33  waiting for acks returned
(31015|31057) pub33<-delete_contained_entities
(31015|31065) pub43<-match found! before write for 01030000.3549d688.00000021.00000102(f617dd43)
(31015|31065) pub43  12% (2 samples sent)
(31015|31065) pub43  25% (4 samples sent)
(31015|31065) pub43  31% (5 samples sent)
(31015|31065) pub43  43% (7 samples sent)
(31015|31065) pub43  50% (8 samples sent)
(31015|31065) pub43  62% (10 samples sent)
(31015|31065) pub43  75% (12 samples sent)
(31015|31065) pub43  81% (13 samples sent)
(31015|31065) pub43  93% (15 samples sent)
(31015|31065) pub43  100% (16 samples sent)
(31015|31065) pub43  waiting for acks
(31015|31054) pub30<-match found! before write for 01030000.3549d688.00000028.00000102(fb07bf32)
(31015|31054) pub30  12% (2 samples sent)
(31015|31054) pub30  25% (4 samples sent)
(31015|31054) pub30  31% (5 samples sent)
(31015|31054) pub30  43% (7 samples sent)
(31015|31054) pub30  50% (8 samples sent)
(31015|31054) pub30  62% (10 samples sent)
(31015|31054) pub30  75% (12 samples sent)
(31015|31054) pub30  81% (13 samples sent)
(31015|31054) pub30  93% (15 samples sent)
(31015|31054) pub30  100% (16 samples sent)
(31015|31054) pub30  waiting for acks
(31015|31039) pub18<-match found! before write for 01030000.3549d688.0000001e.00000102(d566f214)
(31015|31039) pub18  12% (2 samples sent)
(31015|31039) pub18  25% (4 samples sent)
(31015|31039) pub18  31% (5 samples sent)
(31015|31039) pub18  43% (7 samples sent)
(31015|31039) pub18  50% (8 samples sent)
(31015|31039) pub18  62% (10 samples sent)
(31015|31039) pub18  75% (12 samples sent)
(31015|31039) pub18  81% (13 samples sent)
(31015|31039) pub18  93% (15 samples sent)
(31015|31039) pub18  100% (16 samples sent)
(31015|31039) pub18  waiting for acks
(31015|31087) pub54  writer id: 01030000.3549d688.00000041.00000102(6f25aa0e)
(31015|31087) pub54->started
(31015|31087) pub54->wait_match() before write for 01030000.3549d688.00000041.00000102(6f25aa0e)
(31015|31024) pub14  waiting for acks returned
(31015|31024) pub14<-delete_contained_entities
(31015|31026) pub21<-match found! before write for 01030000.3549d688.00000015.00000102(a2b6c305)
(31015|31026) pub21  12% (2 samples sent)
(31015|31026) pub21  25% (4 samples sent)
(31015|31026) pub21  31% (5 samples sent)
(31015|31026) pub21  43% (7 samples sent)
(31015|31026) pub21  50% (8 samples sent)
(31015|31026) pub21  62% (10 samples sent)
(31015|31026) pub21  75% (12 samples sent)
(31015|31026) pub21  81% (13 samples sent)
(31015|31026) pub21  93% (15 samples sent)
(31015|31026) pub21  100% (16 samples sent)
(31015|31026) pub21  waiting for acks
(31015|31049) pub26<-match found! before write for 01030000.3549d688.00000016.00000102(e516b9d5)
(31015|31049) pub26  12% (2 samples sent)
(31015|31049) pub26  25% (4 samples sent)
(31015|31049) pub26  31% (5 samples sent)
(31015|31049) pub26  43% (7 samples sent)
(31015|31049) pub26  50% (8 samples sent)
(31015|31049) pub26  62% (10 samples sent)
(31015|31049) pub26  75% (12 samples sent)
(31015|31049) pub26  81% (13 samples sent)
(31015|31049) pub26  93% (15 samples sent)
(31015|31049) pub26  100% (16 samples sent)
(31015|31049) pub26  waiting for acks
(31015|31061) pub37  writer id: 01030000.3549d688.00000031.00000102(96f74ac1)
(31015|31061) pub37->started
(31015|31061) pub37->wait_match() before write for 01030000.3549d688.00000031.00000102(96f74ac1)
(31015|31070) pub44<-match found! before write for 01030000.3549d688.0000002b.00000102(bca7c5e2)
(31015|31070) pub44  12% (2 samples sent)
(31015|31070) pub44  25% (4 samples sent)
(31015|31070) pub44  31% (5 samples sent)
(31015|31070) pub44  43% (7 samples sent)
(31015|31070) pub44  50% (8 samples sent)
(31015|31070) pub44  62% (10 samples sent)
(31015|31070) pub44  75% (12 samples sent)
(31015|31070) pub44  81% (13 samples sent)
(31015|31070) pub44  93% (15 samples sent)
(31015|31070) pub44  100% (16 samples sent)
(31015|31070) pub44  waiting for acks
(31015|31080) pub50<-match found! before write for 01030000.3549d688.0000002c.00000102(0e8719f2)
(31015|31080) pub50  12% (2 samples sent)
(31015|31080) pub50  25% (4 samples sent)
(31015|31080) pub50  31% (5 samples sent)
(31015|31080) pub50  43% (7 samples sent)
(31015|31080) pub50  50% (8 samples sent)
(31015|31080) pub50  62% (10 samples sent)
(31015|31080) pub50  75% (12 samples sent)
(31015|31080) pub50  81% (13 samples sent)
(31015|31080) pub50  93% (15 samples sent)
(31015|31080) pub50  100% (16 samples sent)
(31015|31080) pub50  waiting for acks
(31015|31064) pub40<-match found! before write for 01030000.3549d688.00000034.00000102(5e17c5b1)
(31015|31064) pub40  12% (2 samples sent)
(31015|31064) pub40  25% (4 samples sent)
(31015|31064) pub40  31% (5 samples sent)
(31015|31064) pub40  43% (7 samples sent)
(31015|31064) pub40  50% (8 samples sent)
(31015|31064) pub40  62% (10 samples sent)
(31015|31064) pub40  75% (12 samples sent)
(31015|31064) pub40  81% (13 samples sent)
(31015|31064) pub40  93% (15 samples sent)
(31015|31064) pub40  100% (16 samples sent)
(31015|31064) pub40  waiting for acks
(31015|31053) pub31<-match found! before write for 01030000.3549d688.0000002d.00000102(33e73042)
(31015|31053) pub31  12% (2 samples sent)
(31015|31053) pub31  25% (4 samples sent)
(31015|31053) pub31  31% (5 samples sent)
(31015|31053) pub31  43% (7 samples sent)
(31015|31053) pub31  50% (8 samples sent)
(31015|31053) pub31  62% (10 samples sent)
(31015|31053) pub31  75% (12 samples sent)
(31015|31053) pub31  81% (13 samples sent)
(31015|31053) pub31  93% (15 samples sent)
(31015|31053) pub31  100% (16 samples sent)
(31015|31053) pub31  waiting for acks
(31015|31068) pub46  waiting for acks returned
(31015|31068) pub46<-delete_contained_entities
(31015|31063) pub39<-match found! before write for 01030000.3549d688.00000033.00000102(ec3719a1)
(31015|31063) pub39  12% (2 samples sent)
(31015|31063) pub39  25% (4 samples sent)
(31015|31063) pub39  31% (5 samples sent)
(31015|31063) pub39  43% (7 samples sent)
(31015|31063) pub39  50% (8 samples sent)
(31015|31063) pub39  62% (10 samples sent)
(31015|31063) pub39  75% (12 samples sent)
(31015|31063) pub39  81% (13 samples sent)
(31015|31063) pub39  93% (15 samples sent)
(31015|31063) pub39  100% (16 samples sent)
(31015|31063) pub39  waiting for acks
(31015|31067) pub42  waiting for acks returned
(31015|31067) pub42<-delete_contained_entities
(31015|31074) pub56<-match found! before write for 01030000.3549d688.00000030.00000102(ab976371)
(31015|31074) pub56  12% (2 samples sent)
(31015|31074) pub56  25% (4 samples sent)
(31015|31074) pub56  31% (5 samples sent)
(31015|31074) pub56  43% (7 samples sent)
(31015|31074) pub56  50% (8 samples sent)
(31015|31074) pub56  62% (10 samples sent)
(31015|31074) pub56  75% (12 samples sent)
(31015|31074) pub56  81% (13 samples sent)
(31015|31074) pub56  93% (15 samples sent)
(31015|31074) pub56  100% (16 samples sent)
(31015|31074) pub56  waiting for acks
(31015|31086) pub53<-match found! before write for 01030000.3549d688.00000038.00000102(9be728b0)
(31015|31086) pub53  12% (2 samples sent)
(31015|31086) pub53  25% (4 samples sent)
(31015|31086) pub53  31% (5 samples sent)
(31015|31086) pub53  43% (7 samples sent)
(31015|31086) pub53  50% (8 samples sent)
(31015|31086) pub53  62% (10 samples sent)
(31015|31086) pub53  75% (12 samples sent)
(31015|31086) pub53  81% (13 samples sent)
(31015|31086) pub53  93% (15 samples sent)
(31015|31086) pub53  100% (16 samples sent)
(31015|31086) pub53  waiting for acks
(31015|31075) pub49<-match found! before write for 01030000.3549d688.00000036.00000102(24d796d1)
(31015|31075) pub49  12% (2 samples sent)
(31015|31075) pub49  25% (4 samples sent)
(31015|31075) pub49  31% (5 samples sent)
(31015|31075) pub49  43% (7 samples sent)
(31015|31075) pub49  50% (8 samples sent)
(31015|31075) pub49  62% (10 samples sent)
(31015|31075) pub49  75% (12 samples sent)
(31015|31075) pub49  81% (13 samples sent)
(31015|31075) pub49  93% (15 samples sent)
(31015|31075) pub49  100% (16 samples sent)
(31015|31075) pub49  waiting for acks
(31015|31085) pub60<-match found! before write for 01030000.3549d688.0000003d.00000102(5307a7c0)
(31015|31085) pub60  12% (2 samples sent)
(31015|31085) pub60  25% (4 samples sent)
(31015|31085) pub60  31% (5 samples sent)
(31015|31085) pub60  43% (7 samples sent)
(31015|31085) pub60  50% (8 samples sent)
(31015|31085) pub60  62% (10 samples sent)
(31015|31085) pub60  75% (12 samples sent)
(31015|31085) pub60  81% (13 samples sent)
(31015|31085) pub60  93% (15 samples sent)
(31015|31085) pub60  100% (16 samples sent)
(31015|31085) pub60  waiting for acks
(31015|31077) pub59<-match found! before write for 01030000.3549d688.0000003c.00000102(6e678e70)
(31015|31077) pub59  12% (2 samples sent)
(31015|31077) pub59  25% (4 samples sent)
(31015|31077) pub59  31% (5 samples sent)
(31015|31077) pub59  43% (7 samples sent)
(31015|31077) pub59  50% (8 samples sent)
(31015|31077) pub59  62% (10 samples sent)
(31015|31077) pub59  75% (12 samples sent)
(31015|31077) pub59  81% (13 samples sent)
(31015|31077) pub59  93% (15 samples sent)
(31015|31077) pub59  100% (16 samples sent)
(31015|31077) pub59  waiting for acks
(31015|31073) pub47  waiting for acks returned
(31015|31073) pub47<-delete_contained_entities
(31015|31082) pub63<-match found! before write for 01030000.3549d688.00000040.00000102(524583be)
(31015|31082) pub63  12% (2 samples sent)
(31015|31082) pub63  25% (4 samples sent)
(31015|31082) pub63  31% (5 samples sent)
(31015|31082) pub63  43% (7 samples sent)
(31015|31082) pub63  50% (8 samples sent)
(31015|31082) pub63  62% (10 samples sent)
(31015|31082) pub63  75% (12 samples sent)
(31015|31082) pub63  81% (13 samples sent)
(31015|31082) pub63  93% (15 samples sent)
(31015|31082) pub63  100% (16 samples sent)
(31015|31082) pub63  waiting for acks
(31015|31058) pub34<-match found! before write for 01030000.3549d688.0000002f.00000102(49276322)
(31015|31058) pub34  12% (2 samples sent)
(31015|31058) pub34  25% (4 samples sent)
(31015|31058) pub34  31% (5 samples sent)
(31015|31058) pub34  43% (7 samples sent)
(31015|31058) pub34  50% (8 samples sent)
(31015|31058) pub34  62% (10 samples sent)
(31015|31058) pub34  75% (12 samples sent)
(31015|31058) pub34  81% (13 samples sent)
(31015|31058) pub34  93% (15 samples sent)
(31015|31058) pub34  100% (16 samples sent)
(31015|31058) pub34  waiting for acks
(31015|31076) pub51<-match found! before write for 01030000.3549d688.00000037.00000102(19b7bf61)
(31015|31076) pub51  12% (2 samples sent)
(31015|31076) pub51  25% (4 samples sent)
(31015|31076) pub51  31% (5 samples sent)
(31015|31076) pub51  43% (7 samples sent)
(31015|31076) pub51  50% (8 samples sent)
(31015|31076) pub51  62% (10 samples sent)
(31015|31076) pub51  75% (12 samples sent)
(31015|31076) pub51  81% (13 samples sent)
(31015|31076) pub51  93% (15 samples sent)
(31015|31076) pub51  100% (16 samples sent)
(31015|31076) pub51  waiting for acks
(31015|31046) pub25  waiting for acks returned
(31015|31046) pub25<-delete_contained_entities
(31015|31072) pub61<-match found! before write for 01030000.3549d688.0000003e.00000102(14a7dd10)
(31015|31072) pub61  12% (2 samples sent)
(31015|31072) pub61  25% (4 samples sent)
(31015|31072) pub61  31% (5 samples sent)
(31015|31072) pub61  43% (7 samples sent)
(31015|31072) pub61  50% (8 samples sent)
(31015|31072) pub61  62% (10 samples sent)
(31015|31072) pub61  75% (12 samples sent)
(31015|31072) pub61  81% (13 samples sent)
(31015|31072) pub61  93% (15 samples sent)
(31015|31072) pub61  100% (16 samples sent)
(31015|31072) pub61  waiting for acks
(31015|31084) pub62<-match found! before write for 01030000.3549d688.0000003f.00000102(29c7f4a0)
(31015|31084) pub62  12% (2 samples sent)
(31015|31084) pub62  25% (4 samples sent)
(31015|31084) pub62  31% (5 samples sent)
(31015|31084) pub62  43% (7 samples sent)
(31015|31084) pub62  50% (8 samples sent)
(31015|31084) pub62  62% (10 samples sent)
(31015|31084) pub62  75% (12 samples sent)
(31015|31084) pub62  81% (13 samples sent)
(31015|31084) pub62  93% (15 samples sent)
(31015|31084) pub62  100% (16 samples sent)
(31015|31084) pub62  waiting for acks
(31015|31062) pub38<-match found! before write for 01030000.3549d688.00000032.00000102(d1573011)
(31015|31062) pub38  12% (2 samples sent)
(31015|31062) pub38  25% (4 samples sent)
(31015|31062) pub38  31% (5 samples sent)
(31015|31062) pub38  43% (7 samples sent)
(31015|31062) pub38  50% (8 samples sent)
(31015|31062) pub38  62% (10 samples sent)
(31015|31062) pub38  75% (12 samples sent)
(31015|31062) pub38  81% (13 samples sent)
(31015|31062) pub38  93% (15 samples sent)
(31015|31062) pub38  100% (16 samples sent)
(31015|31062) pub38  waiting for acks
(31015|31081) pub58<-match found! before write for 01030000.3549d688.0000003b.00000102(dc475260)
(31015|31081) pub58  12% (2 samples sent)
(31015|31081) pub58  25% (4 samples sent)
(31015|31081) pub58  31% (5 samples sent)
(31015|31081) pub58  43% (7 samples sent)
(31015|31081) pub58  50% (8 samples sent)
(31015|31081) pub58  62% (10 samples sent)
(31015|31081) pub58  75% (12 samples sent)
(31015|31081) pub58  81% (13 samples sent)
(31015|31081) pub58  93% (15 samples sent)
(31015|31081) pub58  100% (16 samples sent)
(31015|31081) pub58  waiting for acks
(31015|31055) pub29  waiting for acks returned
(31015|31055) pub29<-delete_contained_entities
(31015|31069) pub52<-match found! before write for 01030000.3549d688.0000002e.00000102(74474a92)
(31015|31069) pub52  12% (2 samples sent)
(31015|31069) pub52  25% (4 samples sent)
(31015|31069) pub52  31% (5 samples sent)
(31015|31069) pub52  43% (7 samples sent)
(31015|31069) pub52  50% (8 samples sent)
(31015|31069) pub52  62% (10 samples sent)
(31015|31069) pub52  75% (12 samples sent)
(31015|31069) pub52  81% (13 samples sent)
(31015|31069) pub52  93% (15 samples sent)
(31015|31069) pub52  100% (16 samples sent)
(31015|31069) pub52  waiting for acks
(31015|31066) pub41<-match found! before write for 01030000.3549d688.00000035.00000102(6377ec01)
(31015|31066) pub41  12% (2 samples sent)
(31015|31066) pub41  25% (4 samples sent)
(31015|31066) pub41  31% (5 samples sent)
(31015|31066) pub41  43% (7 samples sent)
(31015|31066) pub41  50% (8 samples sent)
(31015|31066) pub41  62% (10 samples sent)
(31015|31066) pub41  75% (12 samples sent)
(31015|31066) pub41  81% (13 samples sent)
(31015|31066) pub41  93% (15 samples sent)
(31015|31066) pub41  100% (16 samples sent)
(31015|31066) pub41  waiting for acks
(31015|31060) pub36  waiting for acks returned
(31015|31060) pub36<-delete_contained_entities
(31015|31078) pub55<-match found! before write for 01030000.3549d688.00000039.00000102(a6870100)
(31015|31078) pub55  12% (2 samples sent)
(31015|31078) pub55  25% (4 samples sent)
(31015|31078) pub55  31% (5 samples sent)
(31015|31078) pub55  43% (7 samples sent)
(31015|31078) pub55  50% (8 samples sent)
(31015|31078) pub55  62% (10 samples sent)
(31015|31078) pub55  75% (12 samples sent)
(31015|31078) pub55  81% (13 samples sent)
(31015|31078) pub55  93% (15 samples sent)
(31015|31078) pub55  100% (16 samples sent)
(31015|31078) pub55  waiting for acks
(31015|31083) pub57<-match found! before write for 01030000.3549d688.0000003a.00000102(e1277bd0)
(31015|31083) pub57  12% (2 samples sent)
(31015|31083) pub57  25% (4 samples sent)
(31015|31083) pub57  31% (5 samples sent)
(31015|31083) pub57  43% (7 samples sent)
(31015|31083) pub57  50% (8 samples sent)
(31015|31083) pub57  62% (10 samples sent)
(31015|31083) pub57  75% (12 samples sent)
(31015|31083) pub57  81% (13 samples sent)
(31015|31083) pub57  93% (15 samples sent)
(31015|31083) pub57  100% (16 samples sent)
(31015|31083) pub57  waiting for acks
(31015|31032) pub4<-delete_participant
(31015|31033) pub22  writer id: 01030000.3549d688.00000042.00000102(2885d0de)
(31015|31033) pub22->started
(31015|31033) pub22->wait_match() before write for 01030000.3549d688.00000042.00000102(2885d0de)
(31015|31045) pub0<-delete_participant
(31015|31023)  sub 60% (615 samples received)
(31015|31040) pub7<-delete_participant
(31015|31036) pub1<-delete_participant
(31015|31023)  sub 70% (717 samples received)
(31015|31038) pub5<-delete_participant
(31015|31043) pub6<-delete_participant
(31015|31051) pub28<-delete_participant
(31015|31052) pub32<-delete_participant
(31015|31023)  sub 80% (820 samples received)
(31015|31041) pub3<-delete_participant
(31015|31087) pub54<-match found! before write for 01030000.3549d688.00000041.00000102(6f25aa0e)
(31015|31087) pub54  12% (2 samples sent)
(31015|31087) pub54  25% (4 samples sent)
(31015|31087) pub54  31% (5 samples sent)
(31015|31087) pub54  43% (7 samples sent)
(31015|31087) pub54  50% (8 samples sent)
(31015|31087) pub54  62% (10 samples sent)
(31015|31087) pub54  75% (12 samples sent)
(31015|31087) pub54  81% (13 samples sent)
(31015|31087) pub54  93% (15 samples sent)
(31015|31087) pub54  100% (16 samples sent)
(31015|31087) pub54  waiting for acks
(31015|31061) pub37<-match found! before write for 01030000.3549d688.00000031.00000102(96f74ac1)
(31015|31061) pub37  12% (2 samples sent)
(31015|31061) pub37  25% (4 samples sent)
(31015|31061) pub37  31% (5 samples sent)
(31015|31061) pub37  43% (7 samples sent)
(31015|31061) pub37  50% (8 samples sent)
(31015|31061) pub37  62% (10 samples sent)
(31015|31061) pub37  75% (12 samples sent)
(31015|31061) pub37  81% (13 samples sent)
(31015|31061) pub37  93% (15 samples sent)
(31015|31061) pub37  100% (16 samples sent)
(31015|31061) pub37  waiting for acks
(31015|31048) pub13  waiting for acks returned
(31015|31048) pub13<-delete_contained_entities
(31015|31037) pub24  waiting for acks returned
(31015|31037) pub24<-delete_contained_entities
(31015|31071) pub45  waiting for acks returned
(31015|31071) pub45<-delete_contained_entities
(31015|31033) pub22<-match found! before write for 01030000.3549d688.00000042.00000102(2885d0de)
(31015|31033) pub22  12% (2 samples sent)
(31015|31033) pub22  25% (4 samples sent)
(31015|31033) pub22  31% (5 samples sent)
(31015|31033) pub22  43% (7 samples sent)
(31015|31033) pub22  50% (8 samples sent)
(31015|31033) pub22  62% (10 samples sent)
(31015|31033) pub22  75% (12 samples sent)
(31015|31033) pub22  81% (13 samples sent)
(31015|31033) pub22  93% (15 samples sent)
(31015|31033) pub22  100% (16 samples sent)
(31015|31033) pub22  waiting for acks
(31015|31065) pub43  waiting for acks returned
(31015|31065) pub43<-delete_contained_entities
(31015|31054) pub30  waiting for acks returned
(31015|31054) pub30<-delete_contained_entities
(31015|31039) pub18  waiting for acks returned
(31015|31039) pub18<-delete_contained_entities
(31015|31026) pub21  waiting for acks returned
(31015|31026) pub21<-delete_contained_entities
(31015|31049) pub26  waiting for acks returned
(31015|31049) pub26<-delete_contained_entities
(31015|31079) pub48<-delete_participant
(31015|31070) pub44  waiting for acks returned
(31015|31070) pub44<-delete_contained_entities
(31015|31080) pub50  waiting for acks returned
(31015|31080) pub50<-delete_contained_entities
(31015|31064) pub40  waiting for acks returned
(31015|31064) pub40<-delete_contained_entities
(31015|31053) pub31  waiting for acks returned
(31015|31053) pub31<-delete_contained_entities
(31015|31063) pub39  waiting for acks returned
(31015|31063) pub39<-delete_contained_entities
(31015|31074) pub56  waiting for acks returned
(31015|31074) pub56<-delete_contained_entities
(31015|31086) pub53  waiting for acks returned
(31015|31086) pub53<-delete_contained_entities
(31015|31075) pub49  waiting for acks returned
(31015|31075) pub49<-delete_contained_entities
(31015|31085) pub60  waiting for acks returned
(31015|31085) pub60<-delete_contained_entities
(31015|31077) pub59  waiting for acks returned
(31015|31077) pub59<-delete_contained_entities
(31015|31082) pub63  waiting for acks returned
(31015|31082) pub63<-delete_contained_entities
(31015|31058) pub34  waiting for acks returned
(31015|31058) pub34<-delete_contained_entities
(31015|31076) pub51  waiting for acks returned
(31015|31076) pub51<-delete_contained_entities
(31015|31072) pub61  waiting for acks returned
(31015|31072) pub61<-delete_contained_entities
(31015|31084) pub62  waiting for acks returned
(31015|31084) pub62<-delete_contained_entities
(31015|31023)  sub 90% (922 samples received)
(31015|31034) pub2<-delete_participant
(31015|31062) pub38  waiting for acks returned
(31015|31062) pub38<-delete_contained_entities
(31015|31081) pub58  waiting for acks returned
(31015|31081) pub58<-delete_contained_entities
(31015|31069) pub52  waiting for acks returned
(31015|31069) pub52<-delete_contained_entities
(31015|31066) pub41  waiting for acks returned
(31015|31066) pub41<-delete_contained_entities
(31015|31078) pub55  waiting for acks returned
(31015|31078) pub55<-delete_contained_entities
(31015|31083) pub57  waiting for acks returned
(31015|31083) pub57<-delete_contained_entities
(31015|31087) pub54  waiting for acks returned
(31015|31087) pub54<-delete_contained_entities
(31015|31031) pub19<-delete_participant
(31015|31023) sub condition_.notify_all
(31015|31015) sub condition_.wait returned
(31015|31015) sub check_received
(31015|31015) sub check_received returns 0
(31015|31015) <- PublisherService::end
(31015|31023) sub condition_.notify_all
(31015|31023) sub condition_.notify_all
(31015|31023) sub condition_.notify_all
(31015|31023) sub condition_.notify_all
(31015|31023) sub condition_.notify_all
(31015|31023) sub condition_.notify_all
(31015|31023) sub condition_.notify_all
(31015|31023) sub condition_.notify_all
(31015|31023) sub condition_.notify_all
(31015|31023) sub condition_.notify_all
(31015|31023) sub condition_.notify_all
(31015|31023) sub condition_.notify_all
(31015|31023) sub condition_.notify_all
(31015|31023) sub condition_.notify_all
(31015|31023)  sub 100% (1024 samples received)
(31015|31023) sub condition_.notify_all
(31015|31027) pub23<-delete_participant
(31015|31061) pub37  waiting for acks returned
(31015|31061) pub37<-delete_contained_entities
(31015|31033) pub22  waiting for acks returned
(31015|31033) pub22<-delete_contained_entities
(31015|31030) pub8<-delete_participant
(31015|31025) pub12<-delete_participant
(31015|31029) pub9<-delete_participant
(31015|31035) pub20<-delete_participant
(31015|31028) pub10<-delete_participant
(31015|31042) pub16<-delete_participant
(31015|31044) pub15<-delete_participant
(31015|31047) pub17<-delete_participant
(31015|31059) pub35<-delete_participant
(31015|31050) pub11<-delete_participant
(31015|31056) pub27<-delete_participant
(31015|31057) pub33<-delete_participant
(31015|31024) pub14<-delete_participant
(31015|31068) pub46<-delete_participant
(31015|31067) pub42<-delete_participant
(31015|31073) pub47<-delete_participant
(31015|31046) pub25<-delete_participant
(31015|31055) pub29<-delete_participant
(31015|31060) pub36<-delete_participant
(31015|31048) pub13<-delete_participant
(31015|31037) pub24<-delete_participant
(31015|31071) pub45<-delete_participant
(31015|31065) pub43<-delete_participant
(31015|31054) pub30<-delete_participant
(31015|31039) pub18<-delete_participant
(31015|31026) pub21<-delete_participant
(31015|31049) pub26<-delete_participant
(31015|31070) pub44<-delete_participant
(31015|31080) pub50<-delete_participant
(31015|31064) pub40<-delete_participant
(31015|31053) pub31<-delete_participant
(31015|31063) pub39<-delete_participant
(31015|31074) pub56<-delete_participant
(31015|31086) pub53<-delete_participant
(31015|31075) pub49<-delete_participant
(31015|31085) pub60<-delete_participant
(31015|31077) pub59<-delete_participant
(31015|31082) pub63<-delete_participant
(31015|31058) pub34<-delete_participant
(31015|31076) pub51<-delete_participant
(31015|31072) pub61<-delete_participant
(31015|31084) pub62<-delete_participant
(31015|31062) pub38<-delete_participant
(31015|31081) pub58<-delete_participant
(31015|31069) pub52<-delete_participant
(31015|31066) pub41<-delete_participant
(31015|31078) pub55<-delete_participant
(31015|31083) pub57<-delete_participant
(31015|31087) pub54<-delete_participant
(31015|31061) pub37<-delete_participant
(31015|31033) pub22<-delete_participant
(31015|31015) <- PublisherService::~PublisherService
(31015|31015) <- Subscriber delete_contained_entities
(31015|31015) <- Subscriber delete_participant
(31015|31015) <- Subscriber::~Subscriber
(31015|31015) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl aggressive Time:3s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl single rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1 -n 1 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 31089 started at 2023-04-21 22:30:00
(31089|31089) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(31089|31089) -> Thrasher started
(31089|31089) -> Subscriber::Subscriber
(31089|31089)    Subscriber reader id: 0103000c.297a35f2.79710210.00000007(2c97b236)
(31089|31089) -> PublisherService::PublisherService
(31089|31089) -> PublisherService::start (1 threads)
(31089|31089) sub wait_received 0:1
(31089|31095) pub0->transport cfg_0
(31089|31095) pub0  writer id: 0103000c.297a35f2.79710211.00000002(619d6f09)
(31089|31095) pub0->started
(31089|31095) pub0->wait_match() before write for 0103000c.297a35f2.79710211.00000002(619d6f09)
(31089|31095) pub0<-match found! before write for 0103000c.297a35f2.79710211.00000002(619d6f09)
(31089|31095) pub0  100% (1 samples sent)
(31089|31095) pub0  waiting for acks
(31089|31094)  sub 100% (1 samples received)
(31089|31094) sub condition_.notify_all
(31089|31089) sub condition_.wait returned
(31089|31089) sub check_received
(31089|31089) sub check_received returns 0
(31089|31089) <- PublisherService::end
(31089|31095) pub0  waiting for acks returned
(31089|31095) pub0<-delete_contained_entities
(31089|31095) pub0<-delete_participant
(31089|31089) <- PublisherService::~PublisherService
(31089|31089) <- Subscriber delete_contained_entities
(31089|31089) <- Subscriber delete_participant
(31089|31089) <- Subscriber::~Subscriber
(31089|31089) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl single rtps Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl double rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 2 -s 1 -n 2 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 31101 started at 2023-04-21 22:30:01
(31101|31101) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(31101|31101) -> Thrasher started
(31101|31101) -> Subscriber::Subscriber
(31101|31101)    Subscriber reader id: 0103000c.297a35f2.797d510a.00000007(4b7d2d38)
(31101|31101) -> PublisherService::PublisherService
(31101|31101) -> PublisherService::start (2 threads)
(31101|31101) sub wait_received 0:2
(31101|31107) pub0->transport cfg_0
(31101|31108) pub1->transport cfg_1
(31101|31107) pub0  writer id: 0103000c.297a35f2.797d510b.00000002(0677f007)
(31101|31107) pub0->started
(31101|31107) pub0->wait_match() before write for 0103000c.297a35f2.797d510b.00000002(0677f007)
(31101|31108) pub1  writer id: 0103000c.297a35f2.797d510c.00000002(b4572c17)
(31101|31108) pub1->started
(31101|31108) pub1->wait_match() before write for 0103000c.297a35f2.797d510c.00000002(b4572c17)
(31101|31107) pub0<-match found! before write for 0103000c.297a35f2.797d510b.00000002(0677f007)
(31101|31107) pub0  100% (1 samples sent)
(31101|31107) pub0  waiting for acks
(31101|31108) pub1<-match found! before write for 0103000c.297a35f2.797d510c.00000002(b4572c17)
(31101|31108) pub1  100% (1 samples sent)
(31101|31108) pub1  waiting for acks
(31101|31106)  sub 50% (1 samples received)
(31101|31108) pub1  waiting for acks returned
(31101|31108) pub1<-delete_contained_entities
(31101|31106)  sub 100% (2 samples received)
(31101|31106) sub condition_.notify_all
(31101|31101) sub condition_.wait returned
(31101|31101) sub check_received
(31101|31101) sub check_received returns 0
(31101|31101) <- PublisherService::end
(31101|31108) pub1<-delete_participant
(31101|31107) pub0  waiting for acks returned
(31101|31107) pub0<-delete_contained_entities
(31101|31107) pub0<-delete_participant
(31101|31101) <- PublisherService::~PublisherService
(31101|31101) <- Subscriber delete_contained_entities
(31101|31101) <- Subscriber delete_participant
(31101|31101) <- Subscriber::~Subscriber
(31101|31101) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl double rtps Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl triangle rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 3 -s 3 -n 9 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 31123 started at 2023-04-21 22:30:02
(31123|31123) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(31123|31123) -> Thrasher started
(31123|31123) -> Subscriber::Subscriber
(31123|31123)    Subscriber reader id: 0103000c.297a35f2.799392c9.00000007(212b0e63)
(31123|31123) -> PublisherService::PublisherService
(31123|31123) -> PublisherService::start (3 threads)
(31123|31123) sub wait_received 0:3
(31123|31130) pub1->transport cfg_1
(31123|31129) pub0->transport cfg_0
(31123|31131) pub2->transport cfg_2
(31123|31131) pub2  writer id: 0103000c.297a35f2.799392cc.00000002(99a1759c)
(31123|31131) pub2->started
(31123|31131) pub2->wait_match() before write for 0103000c.297a35f2.799392cc.00000002(99a1759c)
(31123|31130) pub1  writer id: 0103000c.297a35f2.799392cb.00000002(2b81a98c)
(31123|31130) pub1->started
(31123|31130) pub1->wait_match() before write for 0103000c.297a35f2.799392cb.00000002(2b81a98c)
(31123|31129) pub0  writer id: 0103000c.297a35f2.799392ca.00000002(16e1803c)
(31123|31129) pub0->started
(31123|31129) pub0->wait_match() before write for 0103000c.297a35f2.799392ca.00000002(16e1803c)
(31123|31131) pub2<-match found! before write for 0103000c.297a35f2.799392cc.00000002(99a1759c)
(31123|31131) pub2  33% (1 samples sent)
(31123|31131) pub2  66% (2 samples sent)
(31123|31131) pub2  100% (3 samples sent)
(31123|31131) pub2  waiting for acks
(31123|31129) pub0<-match found! before write for 0103000c.297a35f2.799392ca.00000002(16e1803c)
(31123|31129) pub0  33% (1 samples sent)
(31123|31129) pub0  66% (2 samples sent)
(31123|31129) pub0  100% (3 samples sent)
(31123|31129) pub0  waiting for acks
(31123|31130) pub1<-match found! before write for 0103000c.297a35f2.799392cb.00000002(2b81a98c)
(31123|31130) pub1  33% (1 samples sent)
(31123|31130) pub1  66% (2 samples sent)
(31123|31130) pub1  100% (3 samples sent)
(31123|31130) pub1  waiting for acks
(31123|31128)  sub 11% (1 samples received)
(31123|31128)  sub 22% (2 samples received)
(31123|31128)  sub 33% (3 samples received)
(31123|31131) pub2  waiting for acks returned
(31123|31131) pub2<-delete_contained_entities
(31123|31131) pub2<-delete_participant
(31123|31128)  sub 44% (4 samples received)
(31123|31128)  sub 55% (5 samples received)
(31123|31128)  sub 66% (6 samples received)
(31123|31128)  sub 77% (7 samples received)
(31123|31128) sub condition_.notify_all
(31123|31123) sub condition_.wait returned
(31123|31123) sub check_received
(31123|31123) sub check_received returns 0
(31123|31123) <- PublisherService::end
(31123|31128)  sub 88% (8 samples received)
(31123|31128) sub condition_.notify_all
(31123|31128)  sub 100% (9 samples received)
(31123|31128) sub condition_.notify_all
(31123|31129) pub0  waiting for acks returned
(31123|31130) pub1  waiting for acks returned
(31123|31130) pub1<-delete_contained_entities
(31123|31129) pub0<-delete_contained_entities
(31123|31129) pub0<-delete_participant
(31123|31130) pub1<-delete_participant
(31123|31123) <- PublisherService::~PublisherService
(31123|31123) <- Subscriber delete_contained_entities
(31123|31123) <- Subscriber delete_participant
(31123|31123) <- Subscriber::~Subscriber
(31123|31123) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl triangle rtps Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl default rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1024 -n 1024 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 31145 started at 2023-04-21 22:30:03
(31145|31145) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(31145|31145) -> Thrasher started
(31145|31145) -> Subscriber::Subscriber
(31145|31145)    Subscriber reader id: 0103000c.297a35f2.79a90cf7.00000007(144585ab)
(31145|31145) -> PublisherService::PublisherService
(31145|31145) -> PublisherService::start (1 threads)
(31145|31145) sub wait_received 0:1
(31145|31151) pub0->transport cfg_0
(31145|31151) pub0  writer id: 0103000c.297a35f2.79a90cf8.00000002(e67fe6f5)
(31145|31151) pub0->started
(31145|31151) pub0->wait_match() before write for 0103000c.297a35f2.79a90cf8.00000002(e67fe6f5)
(31145|31151) pub0<-match found! before write for 0103000c.297a35f2.79a90cf8.00000002(e67fe6f5)
(31145|31150) sub condition_.notify_all
(31145|31145) sub condition_.wait returned
(31145|31145) sub check_received
(31145|31145) sub check_received returns 0
(31145|31145) <- PublisherService::end
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31151) pub0  10% (103 samples sent)
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31151) pub0  20% (205 samples sent)
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150)  sub 10% (103 samples received)
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31151) pub0  30% (308 samples sent)
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150)  sub 20% (205 samples received)
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31151) pub0  40% (410 samples sent)
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31151) pub0  50% (512 samples sent)
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150)  sub 30% (308 samples received)
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31151) pub0  60% (615 samples sent)
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31151) pub0  70% (717 samples sent)
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150)  sub 40% (410 samples received)
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31151) pub0  80% (820 samples sent)
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31151) pub0  90% (922 samples sent)
(31145|31150)  sub 50% (512 samples received)
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31151) pub0  100% (1024 samples sent)
(31145|31151) pub0  waiting for acks
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150)  sub 60% (615 samples received)
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150)  sub 70% (717 samples received)
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150)  sub 80% (820 samples received)
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150)  sub 90% (922 samples received)
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150) sub condition_.notify_all
(31145|31150)  sub 100% (1024 samples received)
(31145|31150) sub condition_.notify_all
(31145|31151) pub0  waiting for acks returned
(31145|31151) pub0<-delete_contained_entities
(31145|31151) pub0<-delete_participant
(31145|31145) <- PublisherService::~PublisherService
(31145|31145) <- Subscriber delete_contained_entities
(31145|31145) <- Subscriber delete_participant
(31145|31145) <- Subscriber::~Subscriber
(31145|31145) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl default rtps Time:2s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl low rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 8 -s 128 -n 1024 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 31158 started at 2023-04-21 22:30:05
(31158|31158) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(31158|31158) -> Thrasher started
(31158|31158) -> Subscriber::Subscriber
(31158|31158)    Subscriber reader id: 0103000c.297a35f2.79b67444.00000007(35c594e4)
(31158|31158) -> PublisherService::PublisherService
(31158|31158) -> PublisherService::start (8 threads)
(31158|31158) sub wait_received 0:8
(31158|31164) pub0->transport cfg_0
(31158|31166) pub3->transport cfg_3
(31158|31168) pub4->transport cfg_4
(31158|31170) pub5->transport cfg_5
(31158|31169) pub6->transport cfg_6
(31158|31167) pub1->transport cfg_1
(31158|31171) pub7->transport cfg_7
(31158|31165) pub2->transport cfg_2
(31158|31164) pub0  writer id: 0103000c.297a35f2.79b67445.00000002(78cf49db)
(31158|31164) pub0->started
(31158|31164) pub0->wait_match() before write for 0103000c.297a35f2.79b67445.00000002(78cf49db)
(31158|31169) pub6  writer id: 0103000c.297a35f2.79b6744b.00000002(c7fff7ba)
(31158|31169) pub6->started
(31158|31169) pub6->wait_match() before write for 0103000c.297a35f2.79b6744b.00000002(c7fff7ba)
(31158|31165) pub2  writer id: 0103000c.297a35f2.79b67447.00000002(020f1abb)
(31158|31165) pub2->started
(31158|31165) pub2->wait_match() before write for 0103000c.297a35f2.79b67447.00000002(020f1abb)
(31158|31166) pub3  writer id: 0103000c.297a35f2.79b67448.00000002(805f8d6a)
(31158|31166) pub3->started
(31158|31166) pub3->wait_match() before write for 0103000c.297a35f2.79b67448.00000002(805f8d6a)
(31158|31168) pub4  writer id: 0103000c.297a35f2.79b67449.00000002(bd3fa4da)
(31158|31168) pub4->started
(31158|31168) pub4->wait_match() before write for 0103000c.297a35f2.79b67449.00000002(bd3fa4da)
(31158|31170) pub5  writer id: 0103000c.297a35f2.79b6744a.00000002(fa9fde0a)
(31158|31170) pub5->started
(31158|31170) pub5->wait_match() before write for 0103000c.297a35f2.79b6744a.00000002(fa9fde0a)
(31158|31171) pub7  writer id: 0103000c.297a35f2.79b6744c.00000002(75df2baa)
(31158|31171) pub7->started
(31158|31171) pub7->wait_match() before write for 0103000c.297a35f2.79b6744c.00000002(75df2baa)
(31158|31167) pub1  writer id: 0103000c.297a35f2.79b67446.00000002(3f6f330b)
(31158|31167) pub1->started
(31158|31167) pub1->wait_match() before write for 0103000c.297a35f2.79b67446.00000002(3f6f330b)
(31158|31170) pub5<-match found! before write for 0103000c.297a35f2.79b6744a.00000002(fa9fde0a)
(31158|31170) pub5  10% (13 samples sent)
(31158|31167) pub1<-match found! before write for 0103000c.297a35f2.79b67446.00000002(3f6f330b)
(31158|31170) pub5  20% (26 samples sent)
(31158|31167) pub1  10% (13 samples sent)
(31158|31167) pub1  20% (26 samples sent)
(31158|31170) pub5  30% (39 samples sent)
(31158|31170) pub5  40% (52 samples sent)
(31158|31168) pub4<-match found! before write for 0103000c.297a35f2.79b67449.00000002(bd3fa4da)
(31158|31167) pub1  30% (39 samples sent)
(31158|31168) pub4  10% (13 samples sent)
(31158|31168) pub4  20% (26 samples sent)
(31158|31166) pub3<-match found! before write for 0103000c.297a35f2.79b67448.00000002(805f8d6a)
(31158|31169) pub6<-match found! before write for 0103000c.297a35f2.79b6744b.00000002(c7fff7ba)
(31158|31167) pub1  40% (52 samples sent)
(31158|31171) pub7<-match found! before write for 0103000c.297a35f2.79b6744c.00000002(75df2baa)
(31158|31164) pub0<-match found! before write for 0103000c.297a35f2.79b67445.00000002(78cf49db)
(31158|31165) pub2<-match found! before write for 0103000c.297a35f2.79b67447.00000002(020f1abb)
(31158|31171) pub7  10% (13 samples sent)
(31158|31170) pub5  50% (64 samples sent)
(31158|31166) pub3  10% (13 samples sent)
(31158|31164) pub0  10% (13 samples sent)
(31158|31165) pub2  10% (13 samples sent)
(31158|31169) pub6  10% (13 samples sent)
(31158|31170) pub5  60% (77 samples sent)
(31158|31171) pub7  20% (26 samples sent)
(31158|31167) pub1  50% (64 samples sent)
(31158|31170) pub5  70% (90 samples sent)
(31158|31167) pub1  60% (77 samples sent)
(31158|31170) pub5  80% (103 samples sent)
(31158|31168) pub4  30% (39 samples sent)
(31158|31169) pub6  20% (26 samples sent)
(31158|31165) pub2  20% (26 samples sent)
(31158|31169) pub6  30% (39 samples sent)
(31158|31165) pub2  30% (39 samples sent)
(31158|31164) pub0  20% (26 samples sent)
(31158|31171) pub7  30% (39 samples sent)
(31158|31164) pub0  30% (39 samples sent)
(31158|31166) pub3  20% (26 samples sent)
(31158|31167) pub1  70% (90 samples sent)
(31158|31166) pub3  30% (39 samples sent)
(31158|31168) pub4  40% (52 samples sent)
(31158|31168) pub4  50% (64 samples sent)
(31158|31166) pub3  40% (52 samples sent)
(31158|31169) pub6  40% (52 samples sent)
(31158|31171) pub7  40% (52 samples sent)
(31158|31167) pub1  80% (103 samples sent)
(31158|31170) pub5  90% (116 samples sent)
(31158|31164) pub0  40% (52 samples sent)
(31158|31165) pub2  40% (52 samples sent)
(31158|31169) pub6  50% (64 samples sent)
(31158|31166) pub3  50% (64 samples sent)
(31158|31169) pub6  60% (77 samples sent)
(31158|31171) pub7  50% (64 samples sent)
(31158|31168) pub4  60% (77 samples sent)
(31158|31163)  sub 10% (103 samples received)
(31158|31166) pub3  60% (77 samples sent)
(31158|31171) pub7  60% (77 samples sent)
(31158|31167) pub1  90% (116 samples sent)
(31158|31164) pub0  50% (64 samples sent)
(31158|31165) pub2  50% (64 samples sent)
(31158|31170) pub5  100% (128 samples sent)
(31158|31170) pub5  waiting for acks
(31158|31169) pub6  70% (90 samples sent)
(31158|31168) pub4  70% (90 samples sent)
(31158|31167) pub1  100% (128 samples sent)
(31158|31167) pub1  waiting for acks
(31158|31171) pub7  70% (90 samples sent)
(31158|31164) pub0  60% (77 samples sent)
(31158|31166) pub3  70% (90 samples sent)
(31158|31169) pub6  80% (103 samples sent)
(31158|31165) pub2  60% (77 samples sent)
(31158|31168) pub4  80% (103 samples sent)
(31158|31171) pub7  80% (103 samples sent)
(31158|31164) pub0  70% (90 samples sent)
(31158|31163)  sub 20% (205 samples received)
(31158|31169) pub6  90% (116 samples sent)
(31158|31168) pub4  90% (116 samples sent)
(31158|31166) pub3  80% (103 samples sent)
(31158|31165) pub2  70% (90 samples sent)
(31158|31171) pub7  90% (116 samples sent)
(31158|31169) pub6  100% (128 samples sent)
(31158|31169) pub6  waiting for acks
(31158|31164) pub0  80% (103 samples sent)
(31158|31168) pub4  100% (128 samples sent)
(31158|31168) pub4  waiting for acks
(31158|31166) pub3  90% (116 samples sent)
(31158|31165) pub2  80% (103 samples sent)
(31158|31164) pub0  90% (116 samples sent)
(31158|31171) pub7  100% (128 samples sent)
(31158|31171) pub7  waiting for acks
(31158|31166) pub3  100% (128 samples sent)
(31158|31166) pub3  waiting for acks
(31158|31165) pub2  90% (116 samples sent)
(31158|31164) pub0  100% (128 samples sent)
(31158|31164) pub0  waiting for acks
(31158|31165) pub2  100% (128 samples sent)
(31158|31165) pub2  waiting for acks
(31158|31163)  sub 30% (308 samples received)
(31158|31163) sub condition_.notify_all
(31158|31158) sub condition_.wait returned
(31158|31158) sub check_received
(31158|31158) sub check_received returns 0
(31158|31158) <- PublisherService::end
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163)  sub 40% (410 samples received)
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31164) pub0  waiting for acks returned
(31158|31164) pub0<-delete_contained_entities
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163)  sub 50% (512 samples received)
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163)  sub 60% (615 samples received)
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163)  sub 70% (717 samples received)
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163)  sub 80% (820 samples received)
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31165) pub2  waiting for acks returned
(31158|31165) pub2<-delete_contained_entities
(31158|31171) pub7  waiting for acks returned
(31158|31171) pub7<-delete_contained_entities
(31158|31168) pub4  waiting for acks returned
(31158|31168) pub4<-delete_contained_entities
(31158|31169) pub6  waiting for acks returned
(31158|31169) pub6<-delete_contained_entities
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31164) pub0<-delete_participant
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31165) pub2<-delete_participant
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31171) pub7<-delete_participant
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163)  sub 90% (922 samples received)
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163) sub condition_.notify_all
(31158|31163)  sub 100% (1024 samples received)
(31158|31163) sub condition_.notify_all
(31158|31170) pub5  waiting for acks returned
(31158|31170) pub5<-delete_contained_entities
(31158|31167) pub1  waiting for acks returned
(31158|31167) pub1<-delete_contained_entities
(31158|31166) pub3  waiting for acks returned
(31158|31166) pub3<-delete_contained_entities
(31158|31168) pub4<-delete_participant
(31158|31169) pub6<-delete_participant
(31158|31170) pub5<-delete_participant
(31158|31167) pub1<-delete_participant
(31158|31166) pub3<-delete_participant
(31158|31158) <- PublisherService::~PublisherService
(31158|31158) <- Subscriber delete_contained_entities
(31158|31158) <- Subscriber delete_participant
(31158|31158) <- Subscriber::~Subscriber
(31158|31158) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl low rtps Time:2s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl medium rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 16 -s 64 -n 1024 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 31205 started at 2023-04-21 22:30:07
(31205|31205) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(31205|31205) -> Thrasher started
(31205|31205) -> Subscriber::Subscriber
(31205|31205)    Subscriber reader id: 0103000c.297a35f2.79e5c59e.00000007(352bc0e6)
(31205|31205) -> PublisherService::PublisherService
(31205|31205) -> PublisherService::start (16 threads)
(31205|31205) sub wait_received 0:16
(31205|31215) pub7->transport cfg_7
(31205|31211) pub0->transport cfg_0
(31205|31214) pub2->transport cfg_2
(31205|31212) pub1->transport cfg_1
(31205|31220) pub9->transport cfg_9
(31205|31216) pub5->transport cfg_5
(31205|31217) pub4->transport cfg_4
(31205|31213) pub6->transport cfg_6
(31205|31218) pub3->transport cfg_3
(31205|31222) pub11->transport cfg_11
(31205|31221) pub10->transport cfg_10
(31205|31226) pub15->transport cfg_15
(31205|31224) pub13->transport cfg_13
(31205|31225) pub14->transport cfg_14
(31205|31223) pub12->transport cfg_12
(31205|31219) pub8->transport cfg_8
(31205|31226) pub15  writer id: 0103000c.297a35f2.79e5c5ae.00000002(e4608cef)
(31205|31226) pub15->started
(31205|31226) pub15->wait_match() before write for 0103000c.297a35f2.79e5c5ae.00000002(e4608cef)
(31205|31214) pub2  writer id: 0103000c.297a35f2.79e5c5a1.00000002(66301b3e)
(31205|31214) pub2->started
(31205|31214) pub2->wait_match() before write for 0103000c.297a35f2.79e5c5a1.00000002(66301b3e)
(31205|31221) pub10  writer id: 0103000c.297a35f2.79e5c5a8.00000002(6b20794f)
(31205|31221) pub10->started
(31205|31221) pub10->wait_match() before write for 0103000c.297a35f2.79e5c5a8.00000002(6b20794f)
(31205|31220) pub9  writer id: 0103000c.297a35f2.79e5c5a9.00000002(564050ff)
(31205|31220) pub9->started
(31205|31220) pub9->wait_match() before write for 0103000c.297a35f2.79e5c5a9.00000002(564050ff)
(31205|31215) pub7  writer id: 0103000c.297a35f2.79e5c5a6.00000002(d410c72e)
(31205|31215) pub7->started
(31205|31215) pub7->wait_match() before write for 0103000c.297a35f2.79e5c5a6.00000002(d410c72e)
(31205|31224) pub13  writer id: 0103000c.297a35f2.79e5c5ac.00000002(9ea0df8f)
(31205|31224) pub13->started
(31205|31224) pub13->wait_match() before write for 0103000c.297a35f2.79e5c5ac.00000002(9ea0df8f)
(31205|31216) pub5  writer id: 0103000c.297a35f2.79e5c5a3.00000002(1cf0485e)
(31205|31216) pub5->started
(31205|31216) pub5->wait_match() before write for 0103000c.297a35f2.79e5c5a3.00000002(1cf0485e)
(31205|31212) pub1  writer id: 0103000c.297a35f2.79e5c5a0.00000002(5b50328e)
(31205|31212) pub1->started
(31205|31212) pub1->wait_match() before write for 0103000c.297a35f2.79e5c5a0.00000002(5b50328e)
(31205|31213) pub6  writer id: 0103000c.297a35f2.79e5c5a4.00000002(aed0944e)
(31205|31213) pub6->started
(31205|31213) pub6->wait_match() before write for 0103000c.297a35f2.79e5c5a4.00000002(aed0944e)
(31205|31219) pub8  writer id: 0103000c.297a35f2.79e5c5a7.00000002(e970ee9e)
(31205|31225) pub14  writer id: 0103000c.297a35f2.79e5c5ad.00000002(a3c0f63f)
(31205|31219) pub8->started
(31205|31219) pub8->wait_match() before write for 0103000c.297a35f2.79e5c5a7.00000002(e970ee9e)
(31205|31211) pub0  writer id: 0103000c.297a35f2.79e5c59f.00000002(78211dd9)
(31205|31211) pub0->started
(31205|31211) pub0->wait_match() before write for 0103000c.297a35f2.79e5c59f.00000002(78211dd9)
(31205|31223) pub12  writer id: 0103000c.297a35f2.79e5c5ab.00000002(2c80039f)
(31205|31223) pub12->started
(31205|31223) pub12->wait_match() before write for 0103000c.297a35f2.79e5c5ab.00000002(2c80039f)
(31205|31222) pub11  writer id: 0103000c.297a35f2.79e5c5aa.00000002(11e02a2f)
(31205|31222) pub11->started
(31205|31222) pub11->wait_match() before write for 0103000c.297a35f2.79e5c5aa.00000002(11e02a2f)
(31205|31217) pub4  writer id: 0103000c.297a35f2.79e5c5a2.00000002(219061ee)
(31205|31225) pub14->started
(31205|31225) pub14->wait_match() before write for 0103000c.297a35f2.79e5c5ad.00000002(a3c0f63f)
(31205|31217) pub4->started
(31205|31217) pub4->wait_match() before write for 0103000c.297a35f2.79e5c5a2.00000002(219061ee)
(31205|31218) pub3  writer id: 0103000c.297a35f2.79e5c5a5.00000002(93b0bdfe)
(31205|31218) pub3->started
(31205|31218) pub3->wait_match() before write for 0103000c.297a35f2.79e5c5a5.00000002(93b0bdfe)
(31205|31216) pub5<-match found! before write for 0103000c.297a35f2.79e5c5a3.00000002(1cf0485e)
(31205|31216) pub5  10% (7 samples sent)
(31205|31216) pub5  20% (13 samples sent)
(31205|31216) pub5  31% (20 samples sent)
(31205|31226) pub15<-match found! before write for 0103000c.297a35f2.79e5c5ae.00000002(e4608cef)
(31205|31226) pub15  10% (7 samples sent)
(31205|31226) pub15  20% (13 samples sent)
(31205|31226) pub15  31% (20 samples sent)
(31205|31226) pub15  40% (26 samples sent)
(31205|31226) pub15  50% (32 samples sent)
(31205|31226) pub15  60% (39 samples sent)
(31205|31216) pub5  40% (26 samples sent)
(31205|31216) pub5  50% (32 samples sent)
(31205|31216) pub5  60% (39 samples sent)
(31205|31216) pub5  70% (45 samples sent)
(31205|31216) pub5  81% (52 samples sent)
(31205|31216) pub5  90% (58 samples sent)
(31205|31226) pub15  70% (45 samples sent)
(31205|31226) pub15  81% (52 samples sent)
(31205|31226) pub15  90% (58 samples sent)
(31205|31216) pub5  100% (64 samples sent)
(31205|31216) pub5  waiting for acks
(31205|31226) pub15  100% (64 samples sent)
(31205|31226) pub15  waiting for acks
(31205|31222) pub11<-match found! before write for 0103000c.297a35f2.79e5c5aa.00000002(11e02a2f)
(31205|31222) pub11  10% (7 samples sent)
(31205|31222) pub11  20% (13 samples sent)
(31205|31222) pub11  31% (20 samples sent)
(31205|31222) pub11  40% (26 samples sent)
(31205|31222) pub11  50% (32 samples sent)
(31205|31222) pub11  60% (39 samples sent)
(31205|31222) pub11  70% (45 samples sent)
(31205|31220) pub9<-match found! before write for 0103000c.297a35f2.79e5c5a9.00000002(564050ff)
(31205|31220) pub9  10% (7 samples sent)
(31205|31220) pub9  20% (13 samples sent)
(31205|31220) pub9  31% (20 samples sent)
(31205|31220) pub9  40% (26 samples sent)
(31205|31220) pub9  50% (32 samples sent)
(31205|31220) pub9  60% (39 samples sent)
(31205|31220) pub9  70% (45 samples sent)
(31205|31222) pub11  81% (52 samples sent)
(31205|31222) pub11  90% (58 samples sent)
(31205|31224) pub13<-match found! before write for 0103000c.297a35f2.79e5c5ac.00000002(9ea0df8f)
(31205|31224) pub13  10% (7 samples sent)
(31205|31224) pub13  20% (13 samples sent)
(31205|31224) pub13  31% (20 samples sent)
(31205|31224) pub13  40% (26 samples sent)
(31205|31224) pub13  50% (32 samples sent)
(31205|31224) pub13  60% (39 samples sent)
(31205|31220) pub9  81% (52 samples sent)
(31205|31220) pub9  90% (58 samples sent)
(31205|31220) pub9  100% (64 samples sent)
(31205|31220) pub9  waiting for acks
(31205|31222) pub11  100% (64 samples sent)
(31205|31222) pub11  waiting for acks
(31205|31224) pub13  70% (45 samples sent)
(31205|31224) pub13  81% (52 samples sent)
(31205|31224) pub13  90% (58 samples sent)
(31205|31224) pub13  100% (64 samples sent)
(31205|31224) pub13  waiting for acks
(31205|31219) pub8<-match found! before write for 0103000c.297a35f2.79e5c5a7.00000002(e970ee9e)
(31205|31219) pub8  10% (7 samples sent)
(31205|31219) pub8  20% (13 samples sent)
(31205|31219) pub8  31% (20 samples sent)
(31205|31219) pub8  40% (26 samples sent)
(31205|31219) pub8  50% (32 samples sent)
(31205|31219) pub8  60% (39 samples sent)
(31205|31219) pub8  70% (45 samples sent)
(31205|31219) pub8  81% (52 samples sent)
(31205|31214) pub2<-match found! before write for 0103000c.297a35f2.79e5c5a1.00000002(66301b3e)
(31205|31214) pub2  10% (7 samples sent)
(31205|31214) pub2  20% (13 samples sent)
(31205|31215) pub7<-match found! before write for 0103000c.297a35f2.79e5c5a6.00000002(d410c72e)
(31205|31215) pub7  10% (7 samples sent)
(31205|31214) pub2  31% (20 samples sent)
(31205|31215) pub7  20% (13 samples sent)
(31205|31214) pub2  40% (26 samples sent)
(31205|31215) pub7  31% (20 samples sent)
(31205|31219) pub8  90% (58 samples sent)
(31205|31214) pub2  50% (32 samples sent)
(31205|31215) pub7  40% (26 samples sent)
(31205|31214) pub2  60% (39 samples sent)
(31205|31219) pub8  100% (64 samples sent)
(31205|31219) pub8  waiting for acks
(31205|31215) pub7  50% (32 samples sent)
(31205|31215) pub7  60% (39 samples sent)
(31205|31215) pub7  70% (45 samples sent)
(31205|31214) pub2  70% (45 samples sent)
(31205|31211) pub0<-match found! before write for 0103000c.297a35f2.79e5c59f.00000002(78211dd9)
(31205|31213) pub6<-match found! before write for 0103000c.297a35f2.79e5c5a4.00000002(aed0944e)
(31205|31211) pub0  10% (7 samples sent)
(31205|31213) pub6  10% (7 samples sent)
(31205|31211) pub0  20% (13 samples sent)
(31205|31213) pub6  20% (13 samples sent)
(31205|31213) pub6  31% (20 samples sent)
(31205|31211) pub0  31% (20 samples sent)
(31205|31213) pub6  40% (26 samples sent)
(31205|31211) pub0  40% (26 samples sent)
(31205|31213) pub6  50% (32 samples sent)
(31205|31214) pub2  81% (52 samples sent)
(31205|31210)  sub 10% (103 samples received)
(31205|31214) pub2  90% (58 samples sent)
(31205|31213) pub6  60% (39 samples sent)
(31205|31215) pub7  81% (52 samples sent)
(31205|31213) pub6  70% (45 samples sent)
(31205|31214) pub2  100% (64 samples sent)
(31205|31214) pub2  waiting for acks
(31205|31215) pub7  90% (58 samples sent)
(31205|31211) pub0  50% (32 samples sent)
(31205|31213) pub6  81% (52 samples sent)
(31205|31213) pub6  90% (58 samples sent)
(31205|31211) pub0  60% (39 samples sent)
(31205|31211) pub0  70% (45 samples sent)
(31205|31218) pub3<-match found! before write for 0103000c.297a35f2.79e5c5a5.00000002(93b0bdfe)
(31205|31218) pub3  10% (7 samples sent)
(31205|31218) pub3  20% (13 samples sent)
(31205|31215) pub7  100% (64 samples sent)
(31205|31215) pub7  waiting for acks
(31205|31213) pub6  100% (64 samples sent)
(31205|31213) pub6  waiting for acks
(31205|31211) pub0  81% (52 samples sent)
(31205|31218) pub3  31% (20 samples sent)
(31205|31223) pub12<-match found! before write for 0103000c.297a35f2.79e5c5ab.00000002(2c80039f)
(31205|31218) pub3  40% (26 samples sent)
(31205|31223) pub12  10% (7 samples sent)
(31205|31218) pub3  50% (32 samples sent)
(31205|31217) pub4<-match found! before write for 0103000c.297a35f2.79e5c5a2.00000002(219061ee)
(31205|31211) pub0  90% (58 samples sent)
(31205|31221) pub10<-match found! before write for 0103000c.297a35f2.79e5c5a8.00000002(6b20794f)
(31205|31217) pub4  10% (7 samples sent)
(31205|31221) pub10  10% (7 samples sent)
(31205|31217) pub4  20% (13 samples sent)
(31205|31221) pub10  20% (13 samples sent)
(31205|31223) pub12  20% (13 samples sent)
(31205|31223) pub12  31% (20 samples sent)
(31205|31211) pub0  100% (64 samples sent)
(31205|31211) pub0  waiting for acks
(31205|31221) pub10  31% (20 samples sent)
(31205|31223) pub12  40% (26 samples sent)
(31205|31217) pub4  31% (20 samples sent)
(31205|31217) pub4  40% (26 samples sent)
(31205|31217) pub4  50% (32 samples sent)
(31205|31218) pub3  60% (39 samples sent)
(31205|31217) pub4  60% (39 samples sent)
(31205|31221) pub10  40% (26 samples sent)
(31205|31218) pub3  70% (45 samples sent)
(31205|31221) pub10  50% (32 samples sent)
(31205|31218) pub3  81% (52 samples sent)
(31205|31221) pub10  60% (39 samples sent)
(31205|31218) pub3  90% (58 samples sent)
(31205|31221) pub10  70% (45 samples sent)
(31205|31218) pub3  100% (64 samples sent)
(31205|31218) pub3  waiting for acks
(31205|31223) pub12  50% (32 samples sent)
(31205|31225) pub14<-match found! before write for 0103000c.297a35f2.79e5c5ad.00000002(a3c0f63f)
(31205|31225) pub14  10% (7 samples sent)
(31205|31212) pub1<-match found! before write for 0103000c.297a35f2.79e5c5a0.00000002(5b50328e)
(31205|31212) pub1  10% (7 samples sent)
(31205|31212) pub1  20% (13 samples sent)
(31205|31223) pub12  60% (39 samples sent)
(31205|31223) pub12  70% (45 samples sent)
(31205|31223) pub12  81% (52 samples sent)
(31205|31225) pub14  20% (13 samples sent)
(31205|31217) pub4  70% (45 samples sent)
(31205|31225) pub14  31% (20 samples sent)
(31205|31217) pub4  81% (52 samples sent)
(31205|31225) pub14  40% (26 samples sent)
(31205|31217) pub4  90% (58 samples sent)
(31205|31225) pub14  50% (32 samples sent)
(31205|31217) pub4  100% (64 samples sent)
(31205|31217) pub4  waiting for acks
(31205|31212) pub1  31% (20 samples sent)
(31205|31212) pub1  40% (26 samples sent)
(31205|31212) pub1  50% (32 samples sent)
(31205|31221) pub10  81% (52 samples sent)
(31205|31212) pub1  60% (39 samples sent)
(31205|31223) pub12  90% (58 samples sent)
(31205|31221) pub10  90% (58 samples sent)
(31205|31225) pub14  60% (39 samples sent)
(31205|31212) pub1  70% (45 samples sent)
(31205|31221) pub10  100% (64 samples sent)
(31205|31221) pub10  waiting for acks
(31205|31223) pub12  100% (64 samples sent)
(31205|31223) pub12  waiting for acks
(31205|31212) pub1  81% (52 samples sent)
(31205|31225) pub14  70% (45 samples sent)
(31205|31212) pub1  90% (58 samples sent)
(31205|31225) pub14  81% (52 samples sent)
(31205|31212) pub1  100% (64 samples sent)
(31205|31212) pub1  waiting for acks
(31205|31225) pub14  90% (58 samples sent)
(31205|31225) pub14  100% (64 samples sent)
(31205|31225) pub14  waiting for acks
(31205|31210)  sub 20% (205 samples received)
(31205|31216) pub5  waiting for acks returned
(31205|31216) pub5<-delete_contained_entities
(31205|31210)  sub 30% (308 samples received)
(31205|31210)  sub 40% (410 samples received)
(31205|31224) pub13  waiting for acks returned
(31205|31224) pub13<-delete_contained_entities
(31205|31220) pub9  waiting for acks returned
(31205|31220) pub9<-delete_contained_entities
(31205|31219) pub8  waiting for acks returned
(31205|31219) pub8<-delete_contained_entities
(31205|31216) pub5<-delete_participant
(31205|31224) pub13<-delete_participant
(31205|31210)  sub 50% (512 samples received)
(31205|31217) pub4  waiting for acks returned
(31205|31223) pub12  waiting for acks returned
(31205|31217) pub4<-delete_contained_entities
(31205|31223) pub12<-delete_contained_entities
(31205|31210)  sub 60% (615 samples received)
(31205|31226) pub15  waiting for acks returned
(31205|31226) pub15<-delete_contained_entities
(31205|31221) pub10  waiting for acks returned
(31205|31221) pub10<-delete_contained_entities
(31205|31211) pub0  waiting for acks returned
(31205|31211) pub0<-delete_contained_entities
(31205|31220) pub9<-delete_participant
(31205|31210)  sub 70% (717 samples received)
(31205|31219) pub8<-delete_participant
(31205|31222) pub11  waiting for acks returned
(31205|31222) pub11<-delete_contained_entities
(31205|31210)  sub 80% (820 samples received)
(31205|31215) pub7  waiting for acks returned
(31205|31215) pub7<-delete_contained_entities
(31205|31213) pub6  waiting for acks returned
(31205|31214) pub2  waiting for acks returned
(31205|31213) pub6<-delete_contained_entities
(31205|31214) pub2<-delete_contained_entities
(31205|31218) pub3  waiting for acks returned
(31205|31218) pub3<-delete_contained_entities
(31205|31217) pub4<-delete_participant
(31205|31223) pub12<-delete_participant
(31205|31226) pub15<-delete_participant
(31205|31221) pub10<-delete_participant
(31205|31210)  sub 90% (922 samples received)
(31205|31212) pub1  waiting for acks returned
(31205|31212) pub1<-delete_contained_entities
(31205|31210) sub condition_.notify_all
(31205|31205) sub condition_.wait returned
(31205|31205) sub check_received
(31205|31205) sub check_received returns 0
(31205|31205) <- PublisherService::end
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210) sub condition_.notify_all
(31205|31210)  sub 100% (1024 samples received)
(31205|31210) sub condition_.notify_all
(31205|31225) pub14  waiting for acks returned
(31205|31225) pub14<-delete_contained_entities
(31205|31211) pub0<-delete_participant
(31205|31222) pub11<-delete_participant
(31205|31215) pub7<-delete_participant
(31205|31213) pub6<-delete_participant
(31205|31214) pub2<-delete_participant
(31205|31218) pub3<-delete_participant
(31205|31212) pub1<-delete_participant
(31205|31225) pub14<-delete_participant
(31205|31205) <- PublisherService::~PublisherService
(31205|31205) <- Subscriber delete_contained_entities
(31205|31205) <- Subscriber delete_participant
(31205|31205) <- Subscriber::~Subscriber
(31205|31205) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl medium rtps Time:3s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl high rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 32 -s 32 -n 1024 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 31293 started at 2023-04-21 22:30:10
(31293|31293) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(31293|31293) -> Thrasher started
(31293|31293) -> Subscriber::Subscriber
(31293|31293)    Subscriber reader id: 0103000c.297a35f2.7a3d5071.00000007(c4a75dd9)
(31293|31293) -> PublisherService::PublisherService
(31293|31293) -> PublisherService::start (32 threads)
(31293|31293) sub wait_received 0:32
(31293|31302) pub4->transport cfg_4
(31293|31299) pub0->transport cfg_0
(31293|31300) pub1->transport cfg_1
(31293|31323) pub24->transport cfg_24
(31293|31315) pub16->transport cfg_16
(31293|31305) pub6->transport cfg_6
(31293|31317) pub19->transport cfg_19
(31293|31303) pub3->transport cfg_3
(31293|31306) pub7->transport cfg_7
(31293|31309) pub9->transport cfg_9
(31293|31321) pub21->transport cfg_21
(31293|31304) pub5->transport cfg_5
(31293|31308) pub10->transport cfg_10
(31293|31307) pub8->transport cfg_8
(31293|31320) pub23->transport cfg_23
(31293|31312) pub13->transport cfg_13
(31293|31299) pub0  writer id: 0103000c.297a35f2.7a3d5072.00000002(f36dd386)
(31293|31299) pub0->started
(31293|31299) pub0->wait_match() before write for 0103000c.297a35f2.7a3d5072.00000002(f36dd386)
(31293|31314) pub15->transport cfg_15
(31293|31301) pub2->transport cfg_2
(31293|31313) pub14->transport cfg_14
(31293|31325) pub26->transport cfg_26
(31293|31321) pub21  writer id: 0103000c.297a35f2.7a3d5090.00000002(a1794739)
(31293|31321) pub21->started
(31293|31321) pub21->wait_match() before write for 0103000c.297a35f2.7a3d5090.00000002(a1794739)
(31293|31311) pub12->transport cfg_12
(31293|31328) pub29->transport cfg_29
(31293|31303) pub3  writer id: 0103000c.297a35f2.7a3d5075.00000002(414d0f96)
(31293|31309) pub9  writer id: 0103000c.297a35f2.7a3d507b.00000002(fe7db1f7)
(31293|31309) pub9->started
(31293|31309) pub9->wait_match() before write for 0103000c.297a35f2.7a3d507b.00000002(fe7db1f7)
(31293|31300) pub1  writer id: 0103000c.297a35f2.7a3d5073.00000002(ce0dfa36)
(31293|31300) pub1->started
(31293|31300) pub1->wait_match() before write for 0103000c.297a35f2.7a3d5073.00000002(ce0dfa36)
(31293|31306) pub7  writer id: 0103000c.297a35f2.7a3d5079.00000002(84bde297)
(31293|31306) pub7->started
(31293|31306) pub7->wait_match() before write for 0103000c.297a35f2.7a3d5079.00000002(84bde297)
(31293|31318) pub18->transport cfg_18
(31293|31317) pub19  writer id: 0103000c.297a35f2.7a3d5085.00000002(09795fcb)
(31293|31317) pub19->started
(31293|31317) pub19->wait_match() before write for 0103000c.297a35f2.7a3d5085.00000002(09795fcb)
(31293|31303) pub3->started
(31293|31303) pub3->wait_match() before write for 0103000c.297a35f2.7a3d5075.00000002(414d0f96)
(31293|31302) pub4  writer id: 0103000c.297a35f2.7a3d5076.00000002(06ed7546)
(31293|31302) pub4->started
(31293|31322) pub22->transport cfg_22
(31293|31327) pub28->transport cfg_28
(31293|31330) pub31->transport cfg_31
(31293|31304) pub5  writer id: 0103000c.297a35f2.7a3d5077.00000002(3b8d5cf6)
(31293|31304) pub5->started
(31293|31304) pub5->wait_match() before write for 0103000c.297a35f2.7a3d5077.00000002(3b8d5cf6)
(31293|31305) pub6  writer id: 0103000c.297a35f2.7a3d5078.00000002(b9ddcb27)
(31293|31324) pub25->transport cfg_25
(31293|31307) pub8  writer id: 0103000c.297a35f2.7a3d507a.00000002(c31d9847)
(31293|31307) pub8->started
(31293|31307) pub8->wait_match() before write for 0103000c.297a35f2.7a3d507a.00000002(c31d9847)
(31293|31323) pub24  writer id: 0103000c.297a35f2.7a3d5087.00000002(73b90cab)
(31293|31316) pub17->transport cfg_17
(31293|31310) pub11->transport cfg_11
(31293|31312) pub13  writer id: 0103000c.297a35f2.7a3d507f.00000002(0bfd1737)
(31293|31312) pub13->started
(31293|31312) pub13->wait_match() before write for 0103000c.297a35f2.7a3d507f.00000002(0bfd1737)
(31293|31320) pub23  writer id: 0103000c.297a35f2.7a3d5088.00000002(f1e99b7a)
(31293|31320) pub23->started
(31293|31320) pub23->wait_match() before write for 0103000c.297a35f2.7a3d5088.00000002(f1e99b7a)
(31293|31315) pub16  writer id: 0103000c.297a35f2.7a3d5081.00000002(fcf9f90b)
(31293|31315) pub16->started
(31293|31315) pub16->wait_match() before write for 0103000c.297a35f2.7a3d5081.00000002(fcf9f90b)
(31293|31302) pub4->wait_match() before write for 0103000c.297a35f2.7a3d5076.00000002(06ed7546)
(31293|31326) pub27->transport cfg_27
(31293|31319) pub20->transport cfg_20
(31293|31305) pub6->started
(31293|31305) pub6->wait_match() before write for 0103000c.297a35f2.7a3d5078.00000002(b9ddcb27)
(31293|31329) pub30->transport cfg_30
(31293|31323) pub24->started
(31293|31308) pub10  writer id: 0103000c.297a35f2.7a3d507c.00000002(4c5d6de7)
(31293|31308) pub10->started
(31293|31308) pub10->wait_match() before write for 0103000c.297a35f2.7a3d507c.00000002(4c5d6de7)
(31293|31314) pub15  writer id: 0103000c.297a35f2.7a3d5082.00000002(bb5983db)
(31293|31314) pub15->started
(31293|31314) pub15->wait_match() before write for 0103000c.297a35f2.7a3d5082.00000002(bb5983db)
(31293|31301) pub2  writer id: 0103000c.297a35f2.7a3d5074.00000002(7c2d2626)
(31293|31301) pub2->started
(31293|31301) pub2->wait_match() before write for 0103000c.297a35f2.7a3d5074.00000002(7c2d2626)
(31293|31313) pub14  writer id: 0103000c.297a35f2.7a3d5080.00000002(c199d0bb)
(31293|31313) pub14->started
(31293|31313) pub14->wait_match() before write for 0103000c.297a35f2.7a3d5080.00000002(c199d0bb)
(31293|31323) pub24->wait_match() before write for 0103000c.297a35f2.7a3d5087.00000002(73b90cab)
(31293|31327) pub28  writer id: 0103000c.297a35f2.7a3d508d.00000002(3909140a)
(31293|31327) pub28->started
(31293|31325) pub26  writer id: 0103000c.297a35f2.7a3d508c.00000002(04693dba)
(31293|31327) pub28->wait_match() before write for 0103000c.297a35f2.7a3d508d.00000002(3909140a)
(31293|31325) pub26->started
(31293|31325) pub26->wait_match() before write for 0103000c.297a35f2.7a3d508c.00000002(04693dba)
(31293|31328) pub29  writer id: 0103000c.297a35f2.7a3d508f.00000002(43c9476a)
(31293|31328) pub29->started
(31293|31328) pub29->wait_match() before write for 0103000c.297a35f2.7a3d508f.00000002(43c9476a)
(31293|31330) pub31  writer id: 0103000c.297a35f2.7a3d508e.00000002(7ea96eda)
(31293|31322) pub22  writer id: 0103000c.297a35f2.7a3d5089.00000002(cc89b2ca)
(31293|31322) pub22->started
(31293|31322) pub22->wait_match() before write for 0103000c.297a35f2.7a3d5089.00000002(cc89b2ca)
(31293|31330) pub31->started
(31293|31330) pub31->wait_match() before write for 0103000c.297a35f2.7a3d508e.00000002(7ea96eda)
(31293|31324) pub25  writer id: 0103000c.297a35f2.7a3d508a.00000002(8b29c81a)
(31293|31324) pub25->started
(31293|31324) pub25->wait_match() before write for 0103000c.297a35f2.7a3d508a.00000002(8b29c81a)
(31293|31316) pub17  writer id: 0103000c.297a35f2.7a3d5083.00000002(8639aa6b)
(31293|31316) pub17->started
(31293|31316) pub17->wait_match() before write for 0103000c.297a35f2.7a3d5083.00000002(8639aa6b)
(31293|31311) pub12  writer id: 0103000c.297a35f2.7a3d507e.00000002(369d3e87)
(31293|31311) pub12->started
(31293|31311) pub12->wait_match() before write for 0103000c.297a35f2.7a3d507e.00000002(369d3e87)
(31293|31326) pub27  writer id: 0103000c.297a35f2.7a3d508b.00000002(b649e1aa)
(31293|31326) pub27->started
(31293|31326) pub27->wait_match() before write for 0103000c.297a35f2.7a3d508b.00000002(b649e1aa)
(31293|31318) pub18  writer id: 0103000c.297a35f2.7a3d5084.00000002(3419767b)
(31293|31318) pub18->started
(31293|31318) pub18->wait_match() before write for 0103000c.297a35f2.7a3d5084.00000002(3419767b)
(31293|31319) pub20  writer id: 0103000c.297a35f2.7a3d5086.00000002(4ed9251b)
(31293|31319) pub20->started
(31293|31319) pub20->wait_match() before write for 0103000c.297a35f2.7a3d5086.00000002(4ed9251b)
(31293|31310) pub11  writer id: 0103000c.297a35f2.7a3d507d.00000002(713d4457)
(31293|31310) pub11->started
(31293|31310) pub11->wait_match() before write for 0103000c.297a35f2.7a3d507d.00000002(713d4457)
(31293|31329) pub30  writer id: 0103000c.297a35f2.7a3d5091.00000002(9c196e89)
(31293|31329) pub30->started
(31293|31329) pub30->wait_match() before write for 0103000c.297a35f2.7a3d5091.00000002(9c196e89)
(31293|31306) pub7<-match found! before write for 0103000c.297a35f2.7a3d5079.00000002(84bde297)
(31293|31306) pub7  12% (4 samples sent)
(31293|31306) pub7  21% (7 samples sent)
(31293|31306) pub7  31% (10 samples sent)
(31293|31306) pub7  40% (13 samples sent)
(31293|31306) pub7  50% (16 samples sent)
(31293|31306) pub7  62% (20 samples sent)
(31293|31306) pub7  71% (23 samples sent)
(31293|31306) pub7  81% (26 samples sent)
(31293|31306) pub7  90% (29 samples sent)
(31293|31306) pub7  100% (32 samples sent)
(31293|31306) pub7  waiting for acks
(31293|31306) pub7  waiting for acks returned
(31293|31306) pub7<-delete_contained_entities
(31293|31306) pub7<-delete_participant
(31293|31326) pub27<-match found! before write for 0103000c.297a35f2.7a3d508b.00000002(b649e1aa)
(31293|31326) pub27  12% (4 samples sent)
(31293|31326) pub27  21% (7 samples sent)
(31293|31326) pub27  31% (10 samples sent)
(31293|31326) pub27  40% (13 samples sent)
(31293|31326) pub27  50% (16 samples sent)
(31293|31326) pub27  62% (20 samples sent)
(31293|31326) pub27  71% (23 samples sent)
(31293|31326) pub27  81% (26 samples sent)
(31293|31326) pub27  90% (29 samples sent)
(31293|31326) pub27  100% (32 samples sent)
(31293|31326) pub27  waiting for acks
(31293|31326) pub27  waiting for acks returned
(31293|31326) pub27<-delete_contained_entities
(31293|31311) pub12<-match found! before write for 0103000c.297a35f2.7a3d507e.00000002(369d3e87)
(31293|31311) pub12  12% (4 samples sent)
(31293|31311) pub12  21% (7 samples sent)
(31293|31311) pub12  31% (10 samples sent)
(31293|31311) pub12  40% (13 samples sent)
(31293|31311) pub12  50% (16 samples sent)
(31293|31311) pub12  62% (20 samples sent)
(31293|31311) pub12  71% (23 samples sent)
(31293|31311) pub12  81% (26 samples sent)
(31293|31311) pub12  90% (29 samples sent)
(31293|31311) pub12  100% (32 samples sent)
(31293|31311) pub12  waiting for acks
(31293|31323) pub24<-match found! before write for 0103000c.297a35f2.7a3d5087.00000002(73b90cab)
(31293|31323) pub24  12% (4 samples sent)
(31293|31323) pub24  21% (7 samples sent)
(31293|31323) pub24  31% (10 samples sent)
(31293|31323) pub24  40% (13 samples sent)
(31293|31323) pub24  50% (16 samples sent)
(31293|31323) pub24  62% (20 samples sent)
(31293|31323) pub24  71% (23 samples sent)
(31293|31323) pub24  81% (26 samples sent)
(31293|31323) pub24  90% (29 samples sent)
(31293|31323) pub24  100% (32 samples sent)
(31293|31323) pub24  waiting for acks
(31293|31298)  sub 10% (103 samples received)
(31293|31322) pub22<-match found! before write for 0103000c.297a35f2.7a3d5089.00000002(cc89b2ca)
(31293|31322) pub22  12% (4 samples sent)
(31293|31322) pub22  21% (7 samples sent)
(31293|31322) pub22  31% (10 samples sent)
(31293|31322) pub22  40% (13 samples sent)
(31293|31322) pub22  50% (16 samples sent)
(31293|31322) pub22  62% (20 samples sent)
(31293|31322) pub22  71% (23 samples sent)
(31293|31322) pub22  81% (26 samples sent)
(31293|31322) pub22  90% (29 samples sent)
(31293|31322) pub22  100% (32 samples sent)
(31293|31322) pub22  waiting for acks
(31293|31323) pub24  waiting for acks returned
(31293|31323) pub24<-delete_contained_entities
(31293|31311) pub12  waiting for acks returned
(31293|31311) pub12<-delete_contained_entities
(31293|31322) pub22  waiting for acks returned
(31293|31322) pub22<-delete_contained_entities
(31293|31326) pub27<-delete_participant
(31293|31323) pub24<-delete_participant
(31293|31311) pub12<-delete_participant
(31293|31322) pub22<-delete_participant
(31293|31315) pub16<-match found! before write for 0103000c.297a35f2.7a3d5081.00000002(fcf9f90b)
(31293|31315) pub16  12% (4 samples sent)
(31293|31315) pub16  21% (7 samples sent)
(31293|31315) pub16  31% (10 samples sent)
(31293|31315) pub16  40% (13 samples sent)
(31293|31315) pub16  50% (16 samples sent)
(31293|31315) pub16  62% (20 samples sent)
(31293|31315) pub16  71% (23 samples sent)
(31293|31315) pub16  81% (26 samples sent)
(31293|31315) pub16  90% (29 samples sent)
(31293|31315) pub16  100% (32 samples sent)
(31293|31315) pub16  waiting for acks
(31293|31309) pub9<-match found! before write for 0103000c.297a35f2.7a3d507b.00000002(fe7db1f7)
(31293|31309) pub9  12% (4 samples sent)
(31293|31309) pub9  21% (7 samples sent)
(31293|31309) pub9  31% (10 samples sent)
(31293|31309) pub9  40% (13 samples sent)
(31293|31309) pub9  50% (16 samples sent)
(31293|31309) pub9  62% (20 samples sent)
(31293|31309) pub9  71% (23 samples sent)
(31293|31309) pub9  81% (26 samples sent)
(31293|31309) pub9  90% (29 samples sent)
(31293|31309) pub9  100% (32 samples sent)
(31293|31309) pub9  waiting for acks
(31293|31308) pub10<-match found! before write for 0103000c.297a35f2.7a3d507c.00000002(4c5d6de7)
(31293|31308) pub10  12% (4 samples sent)
(31293|31308) pub10  21% (7 samples sent)
(31293|31308) pub10  31% (10 samples sent)
(31293|31308) pub10  40% (13 samples sent)
(31293|31308) pub10  50% (16 samples sent)
(31293|31308) pub10  62% (20 samples sent)
(31293|31308) pub10  71% (23 samples sent)
(31293|31308) pub10  81% (26 samples sent)
(31293|31308) pub10  90% (29 samples sent)
(31293|31308) pub10  100% (32 samples sent)
(31293|31308) pub10  waiting for acks
(31293|31324) pub25<-match found! before write for 0103000c.297a35f2.7a3d508a.00000002(8b29c81a)
(31293|31324) pub25  12% (4 samples sent)
(31293|31324) pub25  21% (7 samples sent)
(31293|31324) pub25  31% (10 samples sent)
(31293|31324) pub25  40% (13 samples sent)
(31293|31324) pub25  50% (16 samples sent)
(31293|31324) pub25  62% (20 samples sent)
(31293|31324) pub25  71% (23 samples sent)
(31293|31324) pub25  81% (26 samples sent)
(31293|31324) pub25  90% (29 samples sent)
(31293|31324) pub25  100% (32 samples sent)
(31293|31324) pub25  waiting for acks
(31293|31304) pub5<-match found! before write for 0103000c.297a35f2.7a3d5077.00000002(3b8d5cf6)
(31293|31304) pub5  12% (4 samples sent)
(31293|31304) pub5  21% (7 samples sent)
(31293|31304) pub5  31% (10 samples sent)
(31293|31304) pub5  40% (13 samples sent)
(31293|31304) pub5  50% (16 samples sent)
(31293|31304) pub5  62% (20 samples sent)
(31293|31304) pub5  71% (23 samples sent)
(31293|31304) pub5  81% (26 samples sent)
(31293|31304) pub5  90% (29 samples sent)
(31293|31304) pub5  100% (32 samples sent)
(31293|31304) pub5  waiting for acks
(31293|31309) pub9  waiting for acks returned
(31293|31309) pub9<-delete_contained_entities
(31293|31316) pub17<-match found! before write for 0103000c.297a35f2.7a3d5083.00000002(8639aa6b)
(31293|31316) pub17  12% (4 samples sent)
(31293|31316) pub17  21% (7 samples sent)
(31293|31316) pub17  31% (10 samples sent)
(31293|31316) pub17  40% (13 samples sent)
(31293|31316) pub17  50% (16 samples sent)
(31293|31316) pub17  62% (20 samples sent)
(31293|31316) pub17  71% (23 samples sent)
(31293|31316) pub17  81% (26 samples sent)
(31293|31298)  sub 20% (205 samples received)
(31293|31316) pub17  90% (29 samples sent)
(31293|31316) pub17  100% (32 samples sent)
(31293|31316) pub17  waiting for acks
(31293|31317) pub19<-match found! before write for 0103000c.297a35f2.7a3d5085.00000002(09795fcb)
(31293|31317) pub19  12% (4 samples sent)
(31293|31317) pub19  21% (7 samples sent)
(31293|31317) pub19  31% (10 samples sent)
(31293|31317) pub19  40% (13 samples sent)
(31293|31317) pub19  50% (16 samples sent)
(31293|31302) pub4<-match found! before write for 0103000c.297a35f2.7a3d5076.00000002(06ed7546)
(31293|31302) pub4  12% (4 samples sent)
(31293|31302) pub4  21% (7 samples sent)
(31293|31302) pub4  31% (10 samples sent)
(31293|31317) pub19  62% (20 samples sent)
(31293|31317) pub19  71% (23 samples sent)
(31293|31317) pub19  81% (26 samples sent)
(31293|31317) pub19  90% (29 samples sent)
(31293|31302) pub4  40% (13 samples sent)
(31293|31302) pub4  50% (16 samples sent)
(31293|31302) pub4  62% (20 samples sent)
(31293|31302) pub4  71% (23 samples sent)
(31293|31302) pub4  81% (26 samples sent)
(31293|31302) pub4  90% (29 samples sent)
(31293|31302) pub4  100% (32 samples sent)
(31293|31302) pub4  waiting for acks
(31293|31317) pub19  100% (32 samples sent)
(31293|31317) pub19  waiting for acks
(31293|31329) pub30<-match found! before write for 0103000c.297a35f2.7a3d5091.00000002(9c196e89)
(31293|31329) pub30  12% (4 samples sent)
(31293|31329) pub30  21% (7 samples sent)
(31293|31329) pub30  31% (10 samples sent)
(31293|31329) pub30  40% (13 samples sent)
(31293|31329) pub30  50% (16 samples sent)
(31293|31329) pub30  62% (20 samples sent)
(31293|31329) pub30  71% (23 samples sent)
(31293|31329) pub30  81% (26 samples sent)
(31293|31329) pub30  90% (29 samples sent)
(31293|31329) pub30  100% (32 samples sent)
(31293|31329) pub30  waiting for acks
(31293|31319) pub20<-match found! before write for 0103000c.297a35f2.7a3d5086.00000002(4ed9251b)
(31293|31319) pub20  12% (4 samples sent)
(31293|31319) pub20  21% (7 samples sent)
(31293|31319) pub20  31% (10 samples sent)
(31293|31319) pub20  40% (13 samples sent)
(31293|31319) pub20  50% (16 samples sent)
(31293|31319) pub20  62% (20 samples sent)
(31293|31319) pub20  71% (23 samples sent)
(31293|31319) pub20  81% (26 samples sent)
(31293|31319) pub20  90% (29 samples sent)
(31293|31319) pub20  100% (32 samples sent)
(31293|31319) pub20  waiting for acks
(31293|31327) pub28<-match found! before write for 0103000c.297a35f2.7a3d508d.00000002(3909140a)
(31293|31327) pub28  12% (4 samples sent)
(31293|31327) pub28  21% (7 samples sent)
(31293|31327) pub28  31% (10 samples sent)
(31293|31327) pub28  40% (13 samples sent)
(31293|31327) pub28  50% (16 samples sent)
(31293|31327) pub28  62% (20 samples sent)
(31293|31327) pub28  71% (23 samples sent)
(31293|31327) pub28  81% (26 samples sent)
(31293|31327) pub28  90% (29 samples sent)
(31293|31327) pub28  100% (32 samples sent)
(31293|31327) pub28  waiting for acks
(31293|31307) pub8<-match found! before write for 0103000c.297a35f2.7a3d507a.00000002(c31d9847)
(31293|31307) pub8  12% (4 samples sent)
(31293|31307) pub8  21% (7 samples sent)
(31293|31307) pub8  31% (10 samples sent)
(31293|31307) pub8  40% (13 samples sent)
(31293|31307) pub8  50% (16 samples sent)
(31293|31307) pub8  62% (20 samples sent)
(31293|31307) pub8  71% (23 samples sent)
(31293|31307) pub8  81% (26 samples sent)
(31293|31307) pub8  90% (29 samples sent)
(31293|31307) pub8  100% (32 samples sent)
(31293|31307) pub8  waiting for acks
(31293|31301) pub2<-match found! before write for 0103000c.297a35f2.7a3d5074.00000002(7c2d2626)
(31293|31301) pub2  12% (4 samples sent)
(31293|31301) pub2  21% (7 samples sent)
(31293|31301) pub2  31% (10 samples sent)
(31293|31301) pub2  40% (13 samples sent)
(31293|31301) pub2  50% (16 samples sent)
(31293|31301) pub2  62% (20 samples sent)
(31293|31301) pub2  71% (23 samples sent)
(31293|31301) pub2  81% (26 samples sent)
(31293|31301) pub2  90% (29 samples sent)
(31293|31301) pub2  100% (32 samples sent)
(31293|31301) pub2  waiting for acks
(31293|31309) pub9<-delete_participant
(31293|31300) pub1<-match found! before write for 0103000c.297a35f2.7a3d5073.00000002(ce0dfa36)
(31293|31300) pub1  12% (4 samples sent)
(31293|31300) pub1  21% (7 samples sent)
(31293|31300) pub1  31% (10 samples sent)
(31293|31300) pub1  40% (13 samples sent)
(31293|31300) pub1  50% (16 samples sent)
(31293|31300) pub1  62% (20 samples sent)
(31293|31300) pub1  71% (23 samples sent)
(31293|31300) pub1  81% (26 samples sent)
(31293|31300) pub1  90% (29 samples sent)
(31293|31300) pub1  100% (32 samples sent)
(31293|31300) pub1  waiting for acks
(31293|31316) pub17  waiting for acks returned
(31293|31316) pub17<-delete_contained_entities
(31293|31330) pub31<-match found! before write for 0103000c.297a35f2.7a3d508e.00000002(7ea96eda)
(31293|31330) pub31  12% (4 samples sent)
(31293|31330) pub31  21% (7 samples sent)
(31293|31330) pub31  31% (10 samples sent)
(31293|31330) pub31  40% (13 samples sent)
(31293|31330) pub31  50% (16 samples sent)
(31293|31314) pub15<-match found! before write for 0103000c.297a35f2.7a3d5082.00000002(bb5983db)
(31293|31314) pub15  12% (4 samples sent)
(31293|31314) pub15  21% (7 samples sent)
(31293|31314) pub15  31% (10 samples sent)
(31293|31314) pub15  40% (13 samples sent)
(31293|31314) pub15  50% (16 samples sent)
(31293|31314) pub15  62% (20 samples sent)
(31293|31314) pub15  71% (23 samples sent)
(31293|31314) pub15  81% (26 samples sent)
(31293|31314) pub15  90% (29 samples sent)
(31293|31314) pub15  100% (32 samples sent)
(31293|31314) pub15  waiting for acks
(31293|31330) pub31  62% (20 samples sent)
(31293|31310) pub11<-match found! before write for 0103000c.297a35f2.7a3d507d.00000002(713d4457)
(31293|31330) pub31  71% (23 samples sent)
(31293|31310) pub11  12% (4 samples sent)
(31293|31330) pub31  81% (26 samples sent)
(31293|31310) pub11  21% (7 samples sent)
(31293|31330) pub31  90% (29 samples sent)
(31293|31310) pub11  31% (10 samples sent)
(31293|31330) pub31  100% (32 samples sent)
(31293|31330) pub31  waiting for acks
(31293|31310) pub11  40% (13 samples sent)
(31293|31310) pub11  50% (16 samples sent)
(31293|31310) pub11  62% (20 samples sent)
(31293|31310) pub11  71% (23 samples sent)
(31293|31310) pub11  81% (26 samples sent)
(31293|31310) pub11  90% (29 samples sent)
(31293|31310) pub11  100% (32 samples sent)
(31293|31310) pub11  waiting for acks
(31293|31298)  sub 30% (308 samples received)
(31293|31307) pub8  waiting for acks returned
(31293|31307) pub8<-delete_contained_entities
(31293|31321) pub21<-match found! before write for 0103000c.297a35f2.7a3d5090.00000002(a1794739)
(31293|31321) pub21  12% (4 samples sent)
(31293|31321) pub21  21% (7 samples sent)
(31293|31321) pub21  31% (10 samples sent)
(31293|31321) pub21  40% (13 samples sent)
(31293|31321) pub21  50% (16 samples sent)
(31293|31321) pub21  62% (20 samples sent)
(31293|31321) pub21  71% (23 samples sent)
(31293|31321) pub21  81% (26 samples sent)
(31293|31321) pub21  90% (29 samples sent)
(31293|31321) pub21  100% (32 samples sent)
(31293|31321) pub21  waiting for acks
(31293|31299) pub0<-match found! before write for 0103000c.297a35f2.7a3d5072.00000002(f36dd386)
(31293|31299) pub0  12% (4 samples sent)
(31293|31299) pub0  21% (7 samples sent)
(31293|31299) pub0  31% (10 samples sent)
(31293|31299) pub0  40% (13 samples sent)
(31293|31299) pub0  50% (16 samples sent)
(31293|31299) pub0  62% (20 samples sent)
(31293|31299) pub0  71% (23 samples sent)
(31293|31299) pub0  81% (26 samples sent)
(31293|31299) pub0  90% (29 samples sent)
(31293|31299) pub0  100% (32 samples sent)
(31293|31299) pub0  waiting for acks
(31293|31325) pub26<-match found! before write for 0103000c.297a35f2.7a3d508c.00000002(04693dba)
(31293|31325) pub26  12% (4 samples sent)
(31293|31325) pub26  21% (7 samples sent)
(31293|31325) pub26  31% (10 samples sent)
(31293|31325) pub26  40% (13 samples sent)
(31293|31325) pub26  50% (16 samples sent)
(31293|31325) pub26  62% (20 samples sent)
(31293|31325) pub26  71% (23 samples sent)
(31293|31325) pub26  81% (26 samples sent)
(31293|31325) pub26  90% (29 samples sent)
(31293|31325) pub26  100% (32 samples sent)
(31293|31325) pub26  waiting for acks
(31293|31301) pub2  waiting for acks returned
(31293|31301) pub2<-delete_contained_entities
(31293|31316) pub17<-delete_participant
(31293|31305) pub6<-match found! before write for 0103000c.297a35f2.7a3d5078.00000002(b9ddcb27)
(31293|31305) pub6  12% (4 samples sent)
(31293|31305) pub6  21% (7 samples sent)
(31293|31305) pub6  31% (10 samples sent)
(31293|31305) pub6  40% (13 samples sent)
(31293|31305) pub6  50% (16 samples sent)
(31293|31305) pub6  62% (20 samples sent)
(31293|31305) pub6  71% (23 samples sent)
(31293|31305) pub6  81% (26 samples sent)
(31293|31305) pub6  90% (29 samples sent)
(31293|31305) pub6  100% (32 samples sent)
(31293|31305) pub6  waiting for acks
(31293|31320) pub23<-match found! before write for 0103000c.297a35f2.7a3d5088.00000002(f1e99b7a)
(31293|31320) pub23  12% (4 samples sent)
(31293|31320) pub23  21% (7 samples sent)
(31293|31320) pub23  31% (10 samples sent)
(31293|31320) pub23  40% (13 samples sent)
(31293|31320) pub23  50% (16 samples sent)
(31293|31320) pub23  62% (20 samples sent)
(31293|31320) pub23  71% (23 samples sent)
(31293|31320) pub23  81% (26 samples sent)
(31293|31320) pub23  90% (29 samples sent)
(31293|31303) pub3<-match found! before write for 0103000c.297a35f2.7a3d5075.00000002(414d0f96)
(31293|31303) pub3  12% (4 samples sent)
(31293|31303) pub3  21% (7 samples sent)
(31293|31303) pub3  31% (10 samples sent)
(31293|31303) pub3  40% (13 samples sent)
(31293|31303) pub3  50% (16 samples sent)
(31293|31303) pub3  62% (20 samples sent)
(31293|31303) pub3  71% (23 samples sent)
(31293|31303) pub3  81% (26 samples sent)
(31293|31303) pub3  90% (29 samples sent)
(31293|31303) pub3  100% (32 samples sent)
(31293|31303) pub3  waiting for acks
(31293|31320) pub23  100% (32 samples sent)
(31293|31320) pub23  waiting for acks
(31293|31324) pub25  waiting for acks returned
(31293|31324) pub25<-delete_contained_entities
(31293|31328) pub29<-match found! before write for 0103000c.297a35f2.7a3d508f.00000002(43c9476a)
(31293|31328) pub29  12% (4 samples sent)
(31293|31328) pub29  21% (7 samples sent)
(31293|31328) pub29  31% (10 samples sent)
(31293|31328) pub29  40% (13 samples sent)
(31293|31328) pub29  50% (16 samples sent)
(31293|31328) pub29  62% (20 samples sent)
(31293|31328) pub29  71% (23 samples sent)
(31293|31328) pub29  81% (26 samples sent)
(31293|31313) pub14<-match found! before write for 0103000c.297a35f2.7a3d5080.00000002(c199d0bb)
(31293|31313) pub14  12% (4 samples sent)
(31293|31313) pub14  21% (7 samples sent)
(31293|31313) pub14  31% (10 samples sent)
(31293|31313) pub14  40% (13 samples sent)
(31293|31313) pub14  50% (16 samples sent)
(31293|31313) pub14  62% (20 samples sent)
(31293|31313) pub14  71% (23 samples sent)
(31293|31313) pub14  81% (26 samples sent)
(31293|31313) pub14  90% (29 samples sent)
(31293|31313) pub14  100% (32 samples sent)
(31293|31313) pub14  waiting for acks
(31293|31328) pub29  90% (29 samples sent)
(31293|31328) pub29  100% (32 samples sent)
(31293|31328) pub29  waiting for acks
(31293|31298)  sub 40% (410 samples received)
(31293|31315) pub16  waiting for acks returned
(31293|31315) pub16<-delete_contained_entities
(31293|31317) pub19  waiting for acks returned
(31293|31317) pub19<-delete_contained_entities
(31293|31302) pub4  waiting for acks returned
(31293|31302) pub4<-delete_contained_entities
(31293|31318) pub18<-match found! before write for 0103000c.297a35f2.7a3d5084.00000002(3419767b)
(31293|31318) pub18  12% (4 samples sent)
(31293|31318) pub18  21% (7 samples sent)
(31293|31318) pub18  31% (10 samples sent)
(31293|31318) pub18  40% (13 samples sent)
(31293|31318) pub18  50% (16 samples sent)
(31293|31318) pub18  62% (20 samples sent)
(31293|31318) pub18  71% (23 samples sent)
(31293|31318) pub18  81% (26 samples sent)
(31293|31318) pub18  90% (29 samples sent)
(31293|31318) pub18  100% (32 samples sent)
(31293|31318) pub18  waiting for acks
(31293|31298)  sub 50% (512 samples received)
(31293|31312) pub13<-match found! before write for 0103000c.297a35f2.7a3d507f.00000002(0bfd1737)
(31293|31312) pub13  12% (4 samples sent)
(31293|31312) pub13  21% (7 samples sent)
(31293|31312) pub13  31% (10 samples sent)
(31293|31312) pub13  40% (13 samples sent)
(31293|31312) pub13  50% (16 samples sent)
(31293|31312) pub13  62% (20 samples sent)
(31293|31312) pub13  71% (23 samples sent)
(31293|31312) pub13  81% (26 samples sent)
(31293|31312) pub13  90% (29 samples sent)
(31293|31312) pub13  100% (32 samples sent)
(31293|31312) pub13  waiting for acks
(31293|31304) pub5  waiting for acks returned
(31293|31304) pub5<-delete_contained_entities
(31293|31321) pub21  waiting for acks returned
(31293|31321) pub21<-delete_contained_entities
(31293|31298)  sub 60% (615 samples received)
(31293|31314) pub15  waiting for acks returned
(31293|31314) pub15<-delete_contained_entities
(31293|31300) pub1  waiting for acks returned
(31293|31300) pub1<-delete_contained_entities
(31293|31310) pub11  waiting for acks returned
(31293|31310) pub11<-delete_contained_entities
(31293|31330) pub31  waiting for acks returned
(31293|31330) pub31<-delete_contained_entities
(31293|31307) pub8<-delete_participant
(31293|31327) pub28  waiting for acks returned
(31293|31318) pub18  waiting for acks returned
(31293|31327) pub28<-delete_contained_entities
(31293|31318) pub18<-delete_contained_entities
(31293|31298)  sub 70% (717 samples received)
(31293|31312) pub13  waiting for acks returned
(31293|31312) pub13<-delete_contained_entities
(31293|31320) pub23  waiting for acks returned
(31293|31320) pub23<-delete_contained_entities
(31293|31298)  sub 80% (820 samples received)
(31293|31298)  sub 90% (922 samples received)
(31293|31329) pub30  waiting for acks returned
(31293|31329) pub30<-delete_contained_entities
(31293|31325) pub26  waiting for acks returned
(31293|31325) pub26<-delete_contained_entities
(31293|31299) pub0  waiting for acks returned
(31293|31305) pub6  waiting for acks returned
(31293|31305) pub6<-delete_contained_entities
(31293|31299) pub0<-delete_contained_entities
(31293|31308) pub10  waiting for acks returned
(31293|31308) pub10<-delete_contained_entities
(31293|31319) pub20  waiting for acks returned
(31293|31319) pub20<-delete_contained_entities
(31293|31301) pub2<-delete_participant
(31293|31298) sub condition_.notify_all
(31293|31293) sub condition_.wait returned
(31293|31293) sub check_received
(31293|31293) sub check_received returns 0
(31293|31293) <- PublisherService::end
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31303) pub3  waiting for acks returned
(31293|31303) pub3<-delete_contained_entities
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31324) pub25<-delete_participant
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298) sub condition_.notify_all
(31293|31298)  sub 100% (1024 samples received)
(31293|31298) sub condition_.notify_all
(31293|31328) pub29  waiting for acks returned
(31293|31328) pub29<-delete_contained_entities
(31293|31313) pub14  waiting for acks returned
(31293|31313) pub14<-delete_contained_entities
(31293|31315) pub16<-delete_participant
(31293|31317) pub19<-delete_participant
(31293|31302) pub4<-delete_participant
(31293|31304) pub5<-delete_participant
(31293|31321) pub21<-delete_participant
(31293|31314) pub15<-delete_participant
(31293|31300) pub1<-delete_participant
(31293|31310) pub11<-delete_participant
(31293|31330) pub31<-delete_participant
(31293|31318) pub18<-delete_participant
(31293|31327) pub28<-delete_participant
(31293|31312) pub13<-delete_participant
(31293|31320) pub23<-delete_participant
(31293|31329) pub30<-delete_participant
(31293|31325) pub26<-delete_participant
(31293|31305) pub6<-delete_participant
(31293|31299) pub0<-delete_participant
(31293|31308) pub10<-delete_participant
(31293|31319) pub20<-delete_participant
(31293|31303) pub3<-delete_participant
(31293|31328) pub29<-delete_participant
(31293|31313) pub14<-delete_participant
(31293|31293) <- PublisherService::~PublisherService
(31293|31293) <- Subscriber delete_contained_entities
(31293|31293) <- Subscriber delete_participant
(31293|31293) <- Subscriber::~Subscriber
(31293|31293) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl high rtps Time:16s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl aggressive rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 64 -s 16 -n 1024 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 31461 started at 2023-04-21 22:30:26
(31461|31461) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(31461|31461) -> Thrasher started
(31461|31461) -> Subscriber::Subscriber
(31461|31461)    Subscriber reader id: 0103000c.297a35f2.7ae54f91.00000007(86df676a)
(31461|31461) -> PublisherService::PublisherService
(31461|31461) -> PublisherService::start (64 threads)
(31461|31461) sub wait_received 0:64
(31461|31477) pub10->transport cfg_10
(31461|31476) pub9->transport cfg_9
(31461|31474) pub4->transport cfg_4
(31461|31473) pub7->transport cfg_7
(31461|31472) pub3->transport cfg_3
(31461|31470) pub5->transport cfg_5
(31461|31469) pub2->transport cfg_2
(31461|31467) pub0->transport cfg_0
(31461|31471) pub6->transport cfg_6
(31461|31468) pub1->transport cfg_1
(31461|31475) pub8->transport cfg_8
(31461|31480) pub12->transport cfg_12
(31461|31469) pub2  writer id: 0103000c.297a35f2.7ae54f94.00000002(3e551c95)
(31461|31469) pub2->started
(31461|31469) pub2->wait_match() before write for 0103000c.297a35f2.7ae54f94.00000002(3e551c95)
(31461|31479) pub11->transport cfg_11
(31461|31482) pub14->transport cfg_14
(31461|31476) pub9  writer id: 0103000c.297a35f2.7ae54f9b.00000002(bc058b44)
(31461|31476) pub9->started
(31461|31476) pub9->wait_match() before write for 0103000c.297a35f2.7ae54f9b.00000002(bc058b44)
(31461|31474) pub4  writer id: 0103000c.297a35f2.7ae54f96.00000002(44954ff5)
(31461|31471) pub6  writer id: 0103000c.297a35f2.7ae54f98.00000002(fba5f194)
(31461|31471) pub6->started
(31461|31471) pub6->wait_match() before write for 0103000c.297a35f2.7ae54f98.00000002(fba5f194)
(31461|31484) pub16->transport cfg_16
(31461|31473) pub7  writer id: 0103000c.297a35f2.7ae54f99.00000002(c6c5d824)
(31461|31473) pub7->started
(31461|31473) pub7->wait_match() before write for 0103000c.297a35f2.7ae54f99.00000002(c6c5d824)
(31461|31481) pub13->transport cfg_13
(31461|31474) pub4->started
(31461|31474) pub4->wait_match() before write for 0103000c.297a35f2.7ae54f96.00000002(44954ff5)
(31461|31477) pub10  writer id: 0103000c.297a35f2.7ae54f9c.00000002(0e255754)
(31461|31477) pub10->started
(31461|31477) pub10->wait_match() before write for 0103000c.297a35f2.7ae54f9c.00000002(0e255754)
(31461|31470) pub5  writer id: 0103000c.297a35f2.7ae54f97.00000002(79f56645)
(31461|31467) pub0  writer id: 0103000c.297a35f2.7ae54f92.00000002(b115e935)
(31461|31467) pub0->started
(31461|31467) pub0->wait_match() before write for 0103000c.297a35f2.7ae54f92.00000002(b115e935)
(31461|31470) pub5->started
(31461|31470) pub5->wait_match() before write for 0103000c.297a35f2.7ae54f97.00000002(79f56645)
(31461|31472) pub3  writer id: 0103000c.297a35f2.7ae54f95.00000002(03353525)
(31461|31472) pub3->started
(31461|31475) pub8  writer id: 0103000c.297a35f2.7ae54f9a.00000002(8165a2f4)
(31461|31475) pub8->started
(31461|31475) pub8->wait_match() before write for 0103000c.297a35f2.7ae54f9a.00000002(8165a2f4)
(31461|31472) pub3->wait_match() before write for 0103000c.297a35f2.7ae54f95.00000002(03353525)
(31461|31468) pub1  writer id: 0103000c.297a35f2.7ae54f93.00000002(8c75c085)
(31461|31468) pub1->started
(31461|31468) pub1->wait_match() before write for 0103000c.297a35f2.7ae54f93.00000002(8c75c085)
(31461|31484) pub16  writer id: 0103000c.297a35f2.7ae54fa1.00000002(57942b63)
(31461|31484) pub16->started
(31461|31484) pub16->wait_match() before write for 0103000c.297a35f2.7ae54fa1.00000002(57942b63)
(31461|31482) pub14  writer id: 0103000c.297a35f2.7ae54fa0.00000002(6af402d3)
(31461|31482) pub14->started
(31461|31482) pub14->wait_match() before write for 0103000c.297a35f2.7ae54fa0.00000002(6af402d3)
(31461|31479) pub11  writer id: 0103000c.297a35f2.7ae54f9d.00000002(33457ee4)
(31461|31479) pub11->started
(31461|31479) pub11->wait_match() before write for 0103000c.297a35f2.7ae54f9d.00000002(33457ee4)
(31461|31481) pub13  writer id: 0103000c.297a35f2.7ae54f9f.00000002(49852d84)
(31461|31481) pub13->started
(31461|31481) pub13->wait_match() before write for 0103000c.297a35f2.7ae54f9f.00000002(49852d84)
(31461|31480) pub12  writer id: 0103000c.297a35f2.7ae54f9e.00000002(74e50434)
(31461|31480) pub12->started
(31461|31480) pub12->wait_match() before write for 0103000c.297a35f2.7ae54f9e.00000002(74e50434)
(31461|31508) pub39->transport cfg_39
(31461|31528) pub54->transport cfg_54
(31461|31483) pub15->transport cfg_15
(31461|31506) pub37->transport cfg_37
(31461|31491) pub24->transport cfg_24
(31461|31489) pub22->transport cfg_22
(31461|31507) pub38->transport cfg_38
(31461|31501) pub32->transport cfg_32
(31461|31486) pub18->transport cfg_18
(31461|31478) pub17->transport cfg_17
(31461|31492) pub25->transport cfg_25
(31461|31535) pub61->transport cfg_61
(31461|31495) pub28->transport cfg_28
(31461|31490) pub23->transport cfg_23
(31461|31485) pub19->transport cfg_19
(31461|31487) pub20->transport cfg_20
(31461|31528) pub54  writer id: 0103000c.297a35f2.7ae54fc9.00000002(fed617ef)
(31461|31528) pub54->started
(31461|31528) pub54->wait_match() before write for 0103000c.297a35f2.7ae54fc9.00000002(fed617ef)
(31461|31520) pub48->transport cfg_48
(31461|31488) pub21->transport cfg_21
(31461|31510) pub41->transport cfg_41
(31461|31509) pub40->transport cfg_40
(31461|31508) pub39  writer id: 0103000c.297a35f2.7ae54fba.00000002(40a48df0)
(31461|31508) pub39->started
(31461|31508) pub39->wait_match() before write for 0103000c.297a35f2.7ae54fba.00000002(40a48df0)
(31461|31506) pub37  writer id: 0103000c.297a35f2.7ae54fb4.00000002(ff943391)
(31461|31506) pub37->started
(31461|31506) pub37->wait_match() before write for 0103000c.297a35f2.7ae54fb4.00000002(ff943391)
(31461|31516) pub46->transport cfg_46
(31461|31511) pub42->transport cfg_42
(31461|31489) pub22  writer id: 0103000c.297a35f2.7ae54fa8.00000002(5a844912)
(31461|31489) pub22->started
(31461|31489) pub22->wait_match() before write for 0103000c.297a35f2.7ae54fa8.00000002(5a844912)
(31461|31521) pub49->transport cfg_49
(31461|31499) pub31->transport cfg_31
(31461|31483) pub15  writer id: 0103000c.297a35f2.7ae54fa2.00000002(103451b3)
(31461|31483) pub15->started
(31461|31483) pub15->wait_match() before write for 0103000c.297a35f2.7ae54fa2.00000002(103451b3)
(31461|31491) pub24  writer id: 0103000c.297a35f2.7ae54faa.00000002(20441a72)
(31461|31491) pub24->started
(31461|31491) pub24->wait_match() before write for 0103000c.297a35f2.7ae54faa.00000002(20441a72)
(31461|31497) pub29->transport cfg_29
(31461|31503) pub34->transport cfg_34
(31461|31492) pub25  writer id: 0103000c.297a35f2.7ae54fab.00000002(1d2433c2)
(31461|31492) pub25->started
(31461|31492) pub25->wait_match() before write for 0103000c.297a35f2.7ae54fab.00000002(1d2433c2)
(31461|31493) pub26->transport cfg_26
(31461|31517) pub47->transport cfg_47
(31461|31507) pub38  writer id: 0103000c.297a35f2.7ae54fb8.00000002(3a64de90)
(31461|31494) pub27->transport cfg_27
(31461|31486) pub18  writer id: 0103000c.297a35f2.7ae54fa4.00000002(9f74a413)
(31461|31486) pub18->started
(31461|31486) pub18->wait_match() before write for 0103000c.297a35f2.7ae54fa4.00000002(9f74a413)
(31461|31526) pub53->transport cfg_53
(31461|31501) pub32  writer id: 0103000c.297a35f2.7ae54fb0.00000002(0a149551)
(31461|31501) pub32->started
(31461|31501) pub32->wait_match() before write for 0103000c.297a35f2.7ae54fb0.00000002(0a149551)
(31461|31498) pub30->transport cfg_30
(31461|31502) pub33->transport cfg_33
(31461|31515) pub43->transport cfg_43
(31461|31495) pub28  writer id: 0103000c.297a35f2.7ae54fae.00000002(d5c4bcb2)
(31461|31495) pub28->started
(31461|31495) pub28->wait_match() before write for 0103000c.297a35f2.7ae54fae.00000002(d5c4bcb2)
(31461|31504) pub35->transport cfg_35
(31461|31535) pub61  writer id: 0103000c.297a35f2.7ae54fce.00000002(4cf6cbff)
(31461|31535) pub61->started
(31461|31490) pub23  writer id: 0103000c.297a35f2.7ae54fa9.00000002(67e460a2)
(31461|31490) pub23->started
(31461|31534) pub60->transport cfg_60
(31461|31536) pub62->transport cfg_62
(31461|31529) pub55->transport cfg_55
(31461|31507) pub38->started
(31461|31507) pub38->wait_match() before write for 0103000c.297a35f2.7ae54fb8.00000002(3a64de90)
(31461|31505) pub36->transport cfg_36
(31461|31537) pub63->transport cfg_63
(31461|31525) pub52->transport cfg_52
(31461|31487) pub20  writer id: 0103000c.297a35f2.7ae54fa6.00000002(e5b4f773)
(31461|31487) pub20->started
(31461|31487) pub20->wait_match() before write for 0103000c.297a35f2.7ae54fa6.00000002(e5b4f773)
(31461|31514) pub44->transport cfg_44
(31461|31531) pub57->transport cfg_57
(31461|31513) pub45->transport cfg_45
(31461|31478) pub17  writer id: 0103000c.297a35f2.7ae54fa3.00000002(2d547803)
(31461|31478) pub17->started
(31461|31478) pub17->wait_match() before write for 0103000c.297a35f2.7ae54fa3.00000002(2d547803)
(31461|31485) pub19  writer id: 0103000c.297a35f2.7ae54fa5.00000002(a2148da3)
(31461|31535) pub61->wait_match() before write for 0103000c.297a35f2.7ae54fce.00000002(4cf6cbff)
(31461|31490) pub23->wait_match() before write for 0103000c.297a35f2.7ae54fa9.00000002(67e460a2)
(31461|31533) pub59->transport cfg_59
(31461|31520) pub48  writer id: 0103000c.297a35f2.7ae54fc2.00000002(890626fe)
(31461|31520) pub48->started
(31461|31520) pub48->wait_match() before write for 0103000c.297a35f2.7ae54fc2.00000002(890626fe)
(31461|31511) pub42  writer id: 0103000c.297a35f2.7ae54fbc.00000002(cfe47850)
(31461|31511) pub42->started
(31461|31511) pub42->wait_match() before write for 0103000c.297a35f2.7ae54fbc.00000002(cfe47850)
(31461|31497) pub29  writer id: 0103000c.297a35f2.7ae54faf.00000002(e8a49502)
(31461|31497) pub29->started
(31461|31497) pub29->wait_match() before write for 0103000c.297a35f2.7ae54faf.00000002(e8a49502)
(31461|31516) pub46  writer id: 0103000c.297a35f2.7ae54fbd.00000002(f28451e0)
(31461|31516) pub46->started
(31461|31516) pub46->wait_match() before write for 0103000c.297a35f2.7ae54fbd.00000002(f28451e0)
(31461|31532) pub58->transport cfg_58
(31461|31509) pub40  writer id: 0103000c.297a35f2.7ae54fbb.00000002(7dc4a440)
(31461|31509) pub40->started
(31461|31509) pub40->wait_match() before write for 0103000c.297a35f2.7ae54fbb.00000002(7dc4a440)
(31461|31530) pub56->transport cfg_56
(31461|31510) pub41  writer id: 0103000c.297a35f2.7ae54fb9.00000002(0704f720)
(31461|31510) pub41->started
(31461|31510) pub41->wait_match() before write for 0103000c.297a35f2.7ae54fb9.00000002(0704f720)
(31461|31488) pub21  writer id: 0103000c.297a35f2.7ae54fa7.00000002(d8d4dec3)
(31461|31488) pub21->started
(31461|31488) pub21->wait_match() before write for 0103000c.297a35f2.7ae54fa7.00000002(d8d4dec3)
(31461|31485) pub19->started
(31461|31485) pub19->wait_match() before write for 0103000c.297a35f2.7ae54fa5.00000002(a2148da3)
(31461|31524) pub51->transport cfg_51
(31461|31499) pub31  writer id: 0103000c.297a35f2.7ae54fb2.00000002(70d4c631)
(31461|31499) pub31->started
(31461|31499) pub31->wait_match() before write for 0103000c.297a35f2.7ae54fb2.00000002(70d4c631)
(31461|31523) pub50->transport cfg_50
(31461|31503) pub34  writer id: 0103000c.297a35f2.7ae54fb6.00000002(855460f1)
(31461|31503) pub34->started
(31461|31503) pub34->wait_match() before write for 0103000c.297a35f2.7ae54fb6.00000002(855460f1)
(31461|31521) pub49  writer id: 0103000c.297a35f2.7ae54fc4.00000002(0646d35e)
(31461|31521) pub49->started
(31461|31521) pub49->wait_match() before write for 0103000c.297a35f2.7ae54fc4.00000002(0646d35e)
(31461|31493) pub26  writer id: 0103000c.297a35f2.7ae54fac.00000002(af04efd2)
(31461|31493) pub26->started
(31461|31493) pub26->wait_match() before write for 0103000c.297a35f2.7ae54fac.00000002(af04efd2)
(31461|31517) pub47  writer id: 0103000c.297a35f2.7ae54fc1.00000002(cea65c2e)
(31461|31526) pub53  writer id: 0103000c.297a35f2.7ae54fc8.00000002(c3b63e5f)
(31461|31526) pub53->started
(31461|31526) pub53->wait_match() before write for 0103000c.297a35f2.7ae54fc8.00000002(c3b63e5f)
(31461|31502) pub33  writer id: 0103000c.297a35f2.7ae54fb3.00000002(4db4ef81)
(31461|31502) pub33->started
(31461|31502) pub33->wait_match() before write for 0103000c.297a35f2.7ae54fb3.00000002(4db4ef81)
(31461|31498) pub30  writer id: 0103000c.297a35f2.7ae54fb1.00000002(3774bce1)
(31461|31498) pub30->started
(31461|31498) pub30->wait_match() before write for 0103000c.297a35f2.7ae54fb1.00000002(3774bce1)
(31461|31517) pub47->started
(31461|31517) pub47->wait_match() before write for 0103000c.297a35f2.7ae54fc1.00000002(cea65c2e)
(31461|31515) pub43  writer id: 0103000c.297a35f2.7ae54fc0.00000002(f3c6759e)
(31461|31515) pub43->started
(31461|31515) pub43->wait_match() before write for 0103000c.297a35f2.7ae54fc0.00000002(f3c6759e)
(31461|31504) pub35  writer id: 0103000c.297a35f2.7ae54fb5.00000002(c2f41a21)
(31461|31504) pub35->started
(31461|31504) pub35->wait_match() before write for 0103000c.297a35f2.7ae54fb5.00000002(c2f41a21)
(31461|31536) pub62  writer id: 0103000c.297a35f2.7ae54fc3.00000002(b4660f4e)
(31461|31536) pub62->started
(31461|31536) pub62->wait_match() before write for 0103000c.297a35f2.7ae54fc3.00000002(b4660f4e)
(31461|31537) pub63  writer id: 0103000c.297a35f2.7ae54fd1.00000002(ae46cbac)
(31461|31537) pub63->started
(31461|31537) pub63->wait_match() before write for 0103000c.297a35f2.7ae54fd1.00000002(ae46cbac)
(31461|31513) pub45  writer id: 0103000c.297a35f2.7ae54fbf.00000002(88440280)
(31461|31513) pub45->started
(31461|31513) pub45->wait_match() before write for 0103000c.297a35f2.7ae54fbf.00000002(88440280)
(31461|31525) pub52  writer id: 0103000c.297a35f2.7ae54fc7.00000002(41e6a98e)
(31461|31525) pub52->started
(31461|31525) pub52->wait_match() before write for 0103000c.297a35f2.7ae54fc7.00000002(41e6a98e)
(31461|31494) pub27  writer id: 0103000c.297a35f2.7ae54fad.00000002(9264c662)
(31461|31494) pub27->started
(31461|31494) pub27->wait_match() before write for 0103000c.297a35f2.7ae54fad.00000002(9264c662)
(31461|31533) pub59  writer id: 0103000c.297a35f2.7ae54fcf.00000002(7196e24f)
(31461|31533) pub59->started
(31461|31533) pub59->wait_match() before write for 0103000c.297a35f2.7ae54fcf.00000002(7196e24f)
(31461|31529) pub55  writer id: 0103000c.297a35f2.7ae54fca.00000002(b9766d3f)
(31461|31529) pub55->started
(31461|31529) pub55->wait_match() before write for 0103000c.297a35f2.7ae54fca.00000002(b9766d3f)
(31461|31514) pub44  writer id: 0103000c.297a35f2.7ae54fbe.00000002(b5242b30)
(31461|31514) pub44->started
(31461|31514) pub44->wait_match() before write for 0103000c.297a35f2.7ae54fbe.00000002(b5242b30)
(31461|31532) pub58  writer id: 0103000c.297a35f2.7ae54fcd.00000002(0b56b12f)
(31461|31532) pub58->started
(31461|31505) pub36  writer id: 0103000c.297a35f2.7ae54fb7.00000002(b8344941)
(31461|31505) pub36->started
(31461|31505) pub36->wait_match() before write for 0103000c.297a35f2.7ae54fb7.00000002(b8344941)
(31461|31524) pub51  writer id: 0103000c.297a35f2.7ae54fc6.00000002(7c86803e)
(31461|31524) pub51->started
(31461|31524) pub51->wait_match() before write for 0103000c.297a35f2.7ae54fc6.00000002(7c86803e)
(31461|31534) pub60  writer id: 0103000c.297a35f2.7ae54fd0.00000002(9326e21c)
(31461|31534) pub60->started
(31461|31534) pub60->wait_match() before write for 0103000c.297a35f2.7ae54fd0.00000002(9326e21c)
(31461|31532) pub58->wait_match() before write for 0103000c.297a35f2.7ae54fcd.00000002(0b56b12f)
(31461|31531) pub57  writer id: 0103000c.297a35f2.7ae54fcc.00000002(3636989f)
(31461|31531) pub57->started
(31461|31531) pub57->wait_match() before write for 0103000c.297a35f2.7ae54fcc.00000002(3636989f)
(31461|31523) pub50  writer id: 0103000c.297a35f2.7ae54fc5.00000002(3b26faee)
(31461|31523) pub50->started
(31461|31523) pub50->wait_match() before write for 0103000c.297a35f2.7ae54fc5.00000002(3b26faee)
(31461|31530) pub56  writer id: 0103000c.297a35f2.7ae54fcb.00000002(8416448f)
(31461|31530) pub56->started
(31461|31530) pub56->wait_match() before write for 0103000c.297a35f2.7ae54fcb.00000002(8416448f)
(31461|31469) pub2<-match found! before write for 0103000c.297a35f2.7ae54f94.00000002(3e551c95)
(31461|31469) pub2  12% (2 samples sent)
(31461|31469) pub2  25% (4 samples sent)
(31461|31469) pub2  31% (5 samples sent)
(31461|31469) pub2  43% (7 samples sent)
(31461|31469) pub2  50% (8 samples sent)
(31461|31469) pub2  62% (10 samples sent)
(31461|31469) pub2  75% (12 samples sent)
(31461|31469) pub2  81% (13 samples sent)
(31461|31469) pub2  93% (15 samples sent)
(31461|31469) pub2  100% (16 samples sent)
(31461|31469) pub2  waiting for acks
(31461|31482) pub14<-match found! before write for 0103000c.297a35f2.7ae54fa0.00000002(6af402d3)
(31461|31482) pub14  12% (2 samples sent)
(31461|31482) pub14  25% (4 samples sent)
(31461|31482) pub14  31% (5 samples sent)
(31461|31482) pub14  43% (7 samples sent)
(31461|31482) pub14  50% (8 samples sent)
(31461|31482) pub14  62% (10 samples sent)
(31461|31482) pub14  75% (12 samples sent)
(31461|31482) pub14  81% (13 samples sent)
(31461|31482) pub14  93% (15 samples sent)
(31461|31482) pub14  100% (16 samples sent)
(31461|31482) pub14  waiting for acks
(31461|31482) pub14  waiting for acks returned
(31461|31482) pub14<-delete_contained_entities
(31461|31482) pub14<-delete_participant
(31461|31507) pub38<-match found! before write for 0103000c.297a35f2.7ae54fb8.00000002(3a64de90)
(31461|31507) pub38  12% (2 samples sent)
(31461|31507) pub38  25% (4 samples sent)
(31461|31507) pub38  31% (5 samples sent)
(31461|31507) pub38  43% (7 samples sent)
(31461|31507) pub38  50% (8 samples sent)
(31461|31507) pub38  62% (10 samples sent)
(31461|31507) pub38  75% (12 samples sent)
(31461|31507) pub38  81% (13 samples sent)
(31461|31507) pub38  93% (15 samples sent)
(31461|31507) pub38  100% (16 samples sent)
(31461|31507) pub38  waiting for acks
(31461|31508) pub39<-match found! before write for 0103000c.297a35f2.7ae54fba.00000002(40a48df0)
(31461|31508) pub39  12% (2 samples sent)
(31461|31508) pub39  25% (4 samples sent)
(31461|31508) pub39  31% (5 samples sent)
(31461|31508) pub39  43% (7 samples sent)
(31461|31508) pub39  50% (8 samples sent)
(31461|31508) pub39  62% (10 samples sent)
(31461|31508) pub39  75% (12 samples sent)
(31461|31508) pub39  81% (13 samples sent)
(31461|31508) pub39  93% (15 samples sent)
(31461|31508) pub39  100% (16 samples sent)
(31461|31508) pub39  waiting for acks
(31461|31516) pub46<-match found! before write for 0103000c.297a35f2.7ae54fbd.00000002(f28451e0)
(31461|31516) pub46  12% (2 samples sent)
(31461|31516) pub46  25% (4 samples sent)
(31461|31516) pub46  31% (5 samples sent)
(31461|31516) pub46  43% (7 samples sent)
(31461|31516) pub46  50% (8 samples sent)
(31461|31516) pub46  62% (10 samples sent)
(31461|31516) pub46  75% (12 samples sent)
(31461|31516) pub46  81% (13 samples sent)
(31461|31516) pub46  93% (15 samples sent)
(31461|31516) pub46  100% (16 samples sent)
(31461|31516) pub46  waiting for acks
(31461|31507) pub38  waiting for acks returned
(31461|31507) pub38<-delete_contained_entities
(31461|31507) pub38<-delete_participant
(31461|31469) pub2  waiting for acks returned
(31461|31469) pub2<-delete_contained_entities
(31461|31469) pub2<-delete_participant
(31461|31491) pub24<-match found! before write for 0103000c.297a35f2.7ae54faa.00000002(20441a72)
(31461|31491) pub24  12% (2 samples sent)
(31461|31491) pub24  25% (4 samples sent)
(31461|31491) pub24  31% (5 samples sent)
(31461|31491) pub24  43% (7 samples sent)
(31461|31491) pub24  50% (8 samples sent)
(31461|31491) pub24  62% (10 samples sent)
(31461|31491) pub24  75% (12 samples sent)
(31461|31491) pub24  81% (13 samples sent)
(31461|31491) pub24  93% (15 samples sent)
(31461|31491) pub24  100% (16 samples sent)
(31461|31491) pub24  waiting for acks
(31461|31502) pub33<-match found! before write for 0103000c.297a35f2.7ae54fb3.00000002(4db4ef81)
(31461|31502) pub33  12% (2 samples sent)
(31461|31502) pub33  25% (4 samples sent)
(31461|31502) pub33  31% (5 samples sent)
(31461|31502) pub33  43% (7 samples sent)
(31461|31502) pub33  50% (8 samples sent)
(31461|31502) pub33  62% (10 samples sent)
(31461|31502) pub33  75% (12 samples sent)
(31461|31502) pub33  81% (13 samples sent)
(31461|31502) pub33  93% (15 samples sent)
(31461|31502) pub33  100% (16 samples sent)
(31461|31502) pub33  waiting for acks
(31461|31502) pub33  waiting for acks returned
(31461|31502) pub33<-delete_contained_entities
(31461|31523) pub50<-match found! before write for 0103000c.297a35f2.7ae54fc5.00000002(3b26faee)
(31461|31523) pub50  12% (2 samples sent)
(31461|31523) pub50  25% (4 samples sent)
(31461|31523) pub50  31% (5 samples sent)
(31461|31523) pub50  43% (7 samples sent)
(31461|31523) pub50  50% (8 samples sent)
(31461|31523) pub50  62% (10 samples sent)
(31461|31523) pub50  75% (12 samples sent)
(31461|31523) pub50  81% (13 samples sent)
(31461|31523) pub50  93% (15 samples sent)
(31461|31523) pub50  100% (16 samples sent)
(31461|31523) pub50  waiting for acks
(31461|31502) pub33<-delete_participant
(31461|31516) pub46  waiting for acks returned
(31461|31516) pub46<-delete_contained_entities
(31461|31516) pub46<-delete_participant
(31461|31508) pub39  waiting for acks returned
(31461|31508) pub39<-delete_contained_entities
(31461|31524) pub51<-match found! before write for 0103000c.297a35f2.7ae54fc6.00000002(7c86803e)
(31461|31524) pub51  12% (2 samples sent)
(31461|31524) pub51  25% (4 samples sent)
(31461|31524) pub51  31% (5 samples sent)
(31461|31524) pub51  43% (7 samples sent)
(31461|31524) pub51  50% (8 samples sent)
(31461|31524) pub51  62% (10 samples sent)
(31461|31524) pub51  75% (12 samples sent)
(31461|31524) pub51  81% (13 samples sent)
(31461|31524) pub51  93% (15 samples sent)
(31461|31524) pub51  100% (16 samples sent)
(31461|31524) pub51  waiting for acks
(31461|31508) pub39<-delete_participant
(31461|31513) pub45<-match found! before write for 0103000c.297a35f2.7ae54fbf.00000002(88440280)
(31461|31513) pub45  12% (2 samples sent)
(31461|31513) pub45  25% (4 samples sent)
(31461|31513) pub45  31% (5 samples sent)
(31461|31513) pub45  43% (7 samples sent)
(31461|31513) pub45  50% (8 samples sent)
(31461|31513) pub45  62% (10 samples sent)
(31461|31513) pub45  75% (12 samples sent)
(31461|31513) pub45  81% (13 samples sent)
(31461|31513) pub45  93% (15 samples sent)
(31461|31513) pub45  100% (16 samples sent)
(31461|31513) pub45  waiting for acks
(31461|31467) pub0<-match found! before write for 0103000c.297a35f2.7ae54f92.00000002(b115e935)
(31461|31467) pub0  12% (2 samples sent)
(31461|31467) pub0  25% (4 samples sent)
(31461|31467) pub0  31% (5 samples sent)
(31461|31467) pub0  43% (7 samples sent)
(31461|31467) pub0  50% (8 samples sent)
(31461|31467) pub0  62% (10 samples sent)
(31461|31467) pub0  75% (12 samples sent)
(31461|31467) pub0  81% (13 samples sent)
(31461|31467) pub0  93% (15 samples sent)
(31461|31467) pub0  100% (16 samples sent)
(31461|31467) pub0  waiting for acks
(31461|31466)  sub 10% (103 samples received)
(31461|31523) pub50  waiting for acks returned
(31461|31523) pub50<-delete_contained_entities
(31461|31523) pub50<-delete_participant
(31461|31513) pub45  waiting for acks returned
(31461|31513) pub45<-delete_contained_entities
(31461|31513) pub45<-delete_participant
(31461|31524) pub51  waiting for acks returned
(31461|31524) pub51<-delete_contained_entities
(31461|31533) pub59<-match found! before write for 0103000c.297a35f2.7ae54fcf.00000002(7196e24f)
(31461|31533) pub59  12% (2 samples sent)
(31461|31533) pub59  25% (4 samples sent)
(31461|31533) pub59  31% (5 samples sent)
(31461|31533) pub59  43% (7 samples sent)
(31461|31533) pub59  50% (8 samples sent)
(31461|31533) pub59  62% (10 samples sent)
(31461|31533) pub59  75% (12 samples sent)
(31461|31533) pub59  81% (13 samples sent)
(31461|31533) pub59  93% (15 samples sent)
(31461|31533) pub59  100% (16 samples sent)
(31461|31533) pub59  waiting for acks
(31461|31524) pub51<-delete_participant
(31461|31476) pub9<-match found! before write for 0103000c.297a35f2.7ae54f9b.00000002(bc058b44)
(31461|31476) pub9  12% (2 samples sent)
(31461|31476) pub9  25% (4 samples sent)
(31461|31476) pub9  31% (5 samples sent)
(31461|31476) pub9  43% (7 samples sent)
(31461|31476) pub9  50% (8 samples sent)
(31461|31476) pub9  62% (10 samples sent)
(31461|31476) pub9  75% (12 samples sent)
(31461|31476) pub9  81% (13 samples sent)
(31461|31476) pub9  93% (15 samples sent)
(31461|31476) pub9  100% (16 samples sent)
(31461|31476) pub9  waiting for acks
(31461|31479) pub11<-match found! before write for 0103000c.297a35f2.7ae54f9d.00000002(33457ee4)
(31461|31479) pub11  12% (2 samples sent)
(31461|31479) pub11  25% (4 samples sent)
(31461|31479) pub11  31% (5 samples sent)
(31461|31479) pub11  43% (7 samples sent)
(31461|31479) pub11  50% (8 samples sent)
(31461|31479) pub11  62% (10 samples sent)
(31461|31479) pub11  75% (12 samples sent)
(31461|31479) pub11  81% (13 samples sent)
(31461|31479) pub11  93% (15 samples sent)
(31461|31479) pub11  100% (16 samples sent)
(31461|31479) pub11  waiting for acks
(31461|31530) pub56<-match found! before write for 0103000c.297a35f2.7ae54fcb.00000002(8416448f)
(31461|31530) pub56  12% (2 samples sent)
(31461|31530) pub56  25% (4 samples sent)
(31461|31530) pub56  31% (5 samples sent)
(31461|31530) pub56  43% (7 samples sent)
(31461|31530) pub56  50% (8 samples sent)
(31461|31530) pub56  62% (10 samples sent)
(31461|31530) pub56  75% (12 samples sent)
(31461|31530) pub56  81% (13 samples sent)
(31461|31530) pub56  93% (15 samples sent)
(31461|31530) pub56  100% (16 samples sent)
(31461|31530) pub56  waiting for acks
(31461|31474) pub4<-match found! before write for 0103000c.297a35f2.7ae54f96.00000002(44954ff5)
(31461|31474) pub4  12% (2 samples sent)
(31461|31474) pub4  25% (4 samples sent)
(31461|31474) pub4  31% (5 samples sent)
(31461|31474) pub4  43% (7 samples sent)
(31461|31474) pub4  50% (8 samples sent)
(31461|31474) pub4  62% (10 samples sent)
(31461|31474) pub4  75% (12 samples sent)
(31461|31474) pub4  81% (13 samples sent)
(31461|31474) pub4  93% (15 samples sent)
(31461|31474) pub4  100% (16 samples sent)
(31461|31474) pub4  waiting for acks
(31461|31474) pub4  waiting for acks returned
(31461|31474) pub4<-delete_contained_entities
(31461|31530) pub56  waiting for acks returned
(31461|31530) pub56<-delete_contained_entities
(31461|31529) pub55<-match found! before write for 0103000c.297a35f2.7ae54fca.00000002(b9766d3f)
(31461|31529) pub55  12% (2 samples sent)
(31461|31529) pub55  25% (4 samples sent)
(31461|31529) pub55  31% (5 samples sent)
(31461|31529) pub55  43% (7 samples sent)
(31461|31529) pub55  50% (8 samples sent)
(31461|31529) pub55  62% (10 samples sent)
(31461|31529) pub55  75% (12 samples sent)
(31461|31529) pub55  81% (13 samples sent)
(31461|31529) pub55  93% (15 samples sent)
(31461|31529) pub55  100% (16 samples sent)
(31461|31529) pub55  waiting for acks
(31461|31529) pub55  waiting for acks returned
(31461|31529) pub55<-delete_contained_entities
(31461|31474) pub4<-delete_participant
(31461|31466)  sub 20% (205 samples received)
(31461|31467) pub0  waiting for acks returned
(31461|31467) pub0<-delete_contained_entities
(31461|31532) pub58<-match found! before write for 0103000c.297a35f2.7ae54fcd.00000002(0b56b12f)
(31461|31532) pub58  12% (2 samples sent)
(31461|31532) pub58  25% (4 samples sent)
(31461|31532) pub58  31% (5 samples sent)
(31461|31532) pub58  43% (7 samples sent)
(31461|31532) pub58  50% (8 samples sent)
(31461|31532) pub58  62% (10 samples sent)
(31461|31532) pub58  75% (12 samples sent)
(31461|31532) pub58  81% (13 samples sent)
(31461|31532) pub58  93% (15 samples sent)
(31461|31532) pub58  100% (16 samples sent)
(31461|31532) pub58  waiting for acks
(31461|31530) pub56<-delete_participant
(31461|31533) pub59  waiting for acks returned
(31461|31533) pub59<-delete_contained_entities
(31461|31505) pub36<-match found! before write for 0103000c.297a35f2.7ae54fb7.00000002(b8344941)
(31461|31505) pub36  12% (2 samples sent)
(31461|31505) pub36  25% (4 samples sent)
(31461|31505) pub36  31% (5 samples sent)
(31461|31505) pub36  43% (7 samples sent)
(31461|31505) pub36  50% (8 samples sent)
(31461|31505) pub36  62% (10 samples sent)
(31461|31505) pub36  75% (12 samples sent)
(31461|31505) pub36  81% (13 samples sent)
(31461|31505) pub36  93% (15 samples sent)
(31461|31505) pub36  100% (16 samples sent)
(31461|31505) pub36  waiting for acks
(31461|31505) pub36  waiting for acks returned
(31461|31505) pub36<-delete_contained_entities
(31461|31529) pub55<-delete_participant
(31461|31467) pub0<-delete_participant
(31461|31533) pub59<-delete_participant
(31461|31514) pub44<-match found! before write for 0103000c.297a35f2.7ae54fbe.00000002(b5242b30)
(31461|31514) pub44  12% (2 samples sent)
(31461|31514) pub44  25% (4 samples sent)
(31461|31514) pub44  31% (5 samples sent)
(31461|31514) pub44  43% (7 samples sent)
(31461|31514) pub44  50% (8 samples sent)
(31461|31514) pub44  62% (10 samples sent)
(31461|31514) pub44  75% (12 samples sent)
(31461|31514) pub44  81% (13 samples sent)
(31461|31514) pub44  93% (15 samples sent)
(31461|31514) pub44  100% (16 samples sent)
(31461|31514) pub44  waiting for acks
(31461|31514) pub44  waiting for acks returned
(31461|31514) pub44<-delete_contained_entities
(31461|31505) pub36<-delete_participant
(31461|31514) pub44<-delete_participant
(31461|31531) pub57<-match found! before write for 0103000c.297a35f2.7ae54fcc.00000002(3636989f)
(31461|31531) pub57  12% (2 samples sent)
(31461|31531) pub57  25% (4 samples sent)
(31461|31531) pub57  31% (5 samples sent)
(31461|31531) pub57  43% (7 samples sent)
(31461|31531) pub57  50% (8 samples sent)
(31461|31531) pub57  62% (10 samples sent)
(31461|31531) pub57  75% (12 samples sent)
(31461|31531) pub57  81% (13 samples sent)
(31461|31531) pub57  93% (15 samples sent)
(31461|31531) pub57  100% (16 samples sent)
(31461|31531) pub57  waiting for acks
(31461|31531) pub57  waiting for acks returned
(31461|31531) pub57<-delete_contained_entities
(31461|31511) pub42<-match found! before write for 0103000c.297a35f2.7ae54fbc.00000002(cfe47850)
(31461|31511) pub42  12% (2 samples sent)
(31461|31511) pub42  25% (4 samples sent)
(31461|31511) pub42  31% (5 samples sent)
(31461|31511) pub42  43% (7 samples sent)
(31461|31511) pub42  50% (8 samples sent)
(31461|31511) pub42  62% (10 samples sent)
(31461|31511) pub42  75% (12 samples sent)
(31461|31511) pub42  81% (13 samples sent)
(31461|31511) pub42  93% (15 samples sent)
(31461|31511) pub42  100% (16 samples sent)
(31461|31511) pub42  waiting for acks
(31461|31531) pub57<-delete_participant
(31461|31525) pub52<-match found! before write for 0103000c.297a35f2.7ae54fc7.00000002(41e6a98e)
(31461|31525) pub52  12% (2 samples sent)
(31461|31525) pub52  25% (4 samples sent)
(31461|31525) pub52  31% (5 samples sent)
(31461|31525) pub52  43% (7 samples sent)
(31461|31525) pub52  50% (8 samples sent)
(31461|31525) pub52  62% (10 samples sent)
(31461|31525) pub52  75% (12 samples sent)
(31461|31525) pub52  81% (13 samples sent)
(31461|31525) pub52  93% (15 samples sent)
(31461|31525) pub52  100% (16 samples sent)
(31461|31525) pub52  waiting for acks
(31461|31491) pub24  waiting for acks returned
(31461|31491) pub24<-delete_contained_entities
(31461|31491) pub24<-delete_participant
(31461|31472) pub3<-match found! before write for 0103000c.297a35f2.7ae54f95.00000002(03353525)
(31461|31472) pub3  12% (2 samples sent)
(31461|31472) pub3  25% (4 samples sent)
(31461|31472) pub3  31% (5 samples sent)
(31461|31472) pub3  43% (7 samples sent)
(31461|31472) pub3  50% (8 samples sent)
(31461|31472) pub3  62% (10 samples sent)
(31461|31472) pub3  75% (12 samples sent)
(31461|31472) pub3  81% (13 samples sent)
(31461|31472) pub3  93% (15 samples sent)
(31461|31472) pub3  100% (16 samples sent)
(31461|31472) pub3  waiting for acks
(31461|31472) pub3  waiting for acks returned
(31461|31472) pub3<-delete_contained_entities
(31461|31472) pub3<-delete_participant
(31461|31466)  sub 30% (308 samples received)
(31461|31476) pub9  waiting for acks returned
(31461|31476) pub9<-delete_contained_entities
(31461|31493) pub26<-match found! before write for 0103000c.297a35f2.7ae54fac.00000002(af04efd2)
(31461|31493) pub26  12% (2 samples sent)
(31461|31493) pub26  25% (4 samples sent)
(31461|31493) pub26  31% (5 samples sent)
(31461|31493) pub26  43% (7 samples sent)
(31461|31493) pub26  50% (8 samples sent)
(31461|31493) pub26  62% (10 samples sent)
(31461|31493) pub26  75% (12 samples sent)
(31461|31493) pub26  81% (13 samples sent)
(31461|31493) pub26  93% (15 samples sent)
(31461|31493) pub26  100% (16 samples sent)
(31461|31493) pub26  waiting for acks
(31461|31476) pub9<-delete_participant
(31461|31479) pub11  waiting for acks returned
(31461|31479) pub11<-delete_contained_entities
(31461|31479) pub11<-delete_participant
(31461|31484) pub16<-match found! before write for 0103000c.297a35f2.7ae54fa1.00000002(57942b63)
(31461|31484) pub16  12% (2 samples sent)
(31461|31484) pub16  25% (4 samples sent)
(31461|31484) pub16  31% (5 samples sent)
(31461|31484) pub16  43% (7 samples sent)
(31461|31484) pub16  50% (8 samples sent)
(31461|31484) pub16  62% (10 samples sent)
(31461|31484) pub16  75% (12 samples sent)
(31461|31484) pub16  81% (13 samples sent)
(31461|31484) pub16  93% (15 samples sent)
(31461|31484) pub16  100% (16 samples sent)
(31461|31484) pub16  waiting for acks
(31461|31484) pub16  waiting for acks returned
(31461|31484) pub16<-delete_contained_entities
(31461|31534) pub60<-match found! before write for 0103000c.297a35f2.7ae54fd0.00000002(9326e21c)
(31461|31534) pub60  12% (2 samples sent)
(31461|31534) pub60  25% (4 samples sent)
(31461|31534) pub60  31% (5 samples sent)
(31461|31534) pub60  43% (7 samples sent)
(31461|31534) pub60  50% (8 samples sent)
(31461|31534) pub60  62% (10 samples sent)
(31461|31534) pub60  75% (12 samples sent)
(31461|31534) pub60  81% (13 samples sent)
(31461|31534) pub60  93% (15 samples sent)
(31461|31534) pub60  100% (16 samples sent)
(31461|31534) pub60  waiting for acks
(31461|31499) pub31<-match found! before write for 0103000c.297a35f2.7ae54fb2.00000002(70d4c631)
(31461|31499) pub31  12% (2 samples sent)
(31461|31499) pub31  25% (4 samples sent)
(31461|31499) pub31  31% (5 samples sent)
(31461|31499) pub31  43% (7 samples sent)
(31461|31499) pub31  50% (8 samples sent)
(31461|31499) pub31  62% (10 samples sent)
(31461|31499) pub31  75% (12 samples sent)
(31461|31499) pub31  81% (13 samples sent)
(31461|31499) pub31  93% (15 samples sent)
(31461|31499) pub31  100% (16 samples sent)
(31461|31499) pub31  waiting for acks
(31461|31499) pub31  waiting for acks returned
(31461|31499) pub31<-delete_contained_entities
(31461|31532) pub58  waiting for acks returned
(31461|31532) pub58<-delete_contained_entities
(31461|31484) pub16<-delete_participant
(31461|31499) pub31<-delete_participant
(31461|31532) pub58<-delete_participant
(31461|31495) pub28<-match found! before write for 0103000c.297a35f2.7ae54fae.00000002(d5c4bcb2)
(31461|31495) pub28  12% (2 samples sent)
(31461|31495) pub28  25% (4 samples sent)
(31461|31495) pub28  31% (5 samples sent)
(31461|31495) pub28  43% (7 samples sent)
(31461|31495) pub28  50% (8 samples sent)
(31461|31495) pub28  62% (10 samples sent)
(31461|31495) pub28  75% (12 samples sent)
(31461|31495) pub28  81% (13 samples sent)
(31461|31495) pub28  93% (15 samples sent)
(31461|31495) pub28  100% (16 samples sent)
(31461|31495) pub28  waiting for acks
(31461|31503) pub34<-match found! before write for 0103000c.297a35f2.7ae54fb6.00000002(855460f1)
(31461|31503) pub34  12% (2 samples sent)
(31461|31503) pub34  25% (4 samples sent)
(31461|31503) pub34  31% (5 samples sent)
(31461|31503) pub34  43% (7 samples sent)
(31461|31503) pub34  50% (8 samples sent)
(31461|31503) pub34  62% (10 samples sent)
(31461|31525) pub52  waiting for acks returned
(31461|31525) pub52<-delete_contained_entities
(31461|31503) pub34  75% (12 samples sent)
(31461|31503) pub34  81% (13 samples sent)
(31461|31503) pub34  93% (15 samples sent)
(31461|31503) pub34  100% (16 samples sent)
(31461|31503) pub34  waiting for acks
(31461|31466)  sub 40% (410 samples received)
(31461|31511) pub42  waiting for acks returned
(31461|31511) pub42<-delete_contained_entities
(31461|31525) pub52<-delete_participant
(31461|31536) pub62<-match found! before write for 0103000c.297a35f2.7ae54fc3.00000002(b4660f4e)
(31461|31536) pub62  12% (2 samples sent)
(31461|31536) pub62  25% (4 samples sent)
(31461|31536) pub62  31% (5 samples sent)
(31461|31536) pub62  43% (7 samples sent)
(31461|31536) pub62  50% (8 samples sent)
(31461|31536) pub62  62% (10 samples sent)
(31461|31536) pub62  75% (12 samples sent)
(31461|31536) pub62  81% (13 samples sent)
(31461|31536) pub62  93% (15 samples sent)
(31461|31536) pub62  100% (16 samples sent)
(31461|31536) pub62  waiting for acks
(31461|31493) pub26  waiting for acks returned
(31461|31493) pub26<-delete_contained_entities
(31461|31536) pub62  waiting for acks returned
(31461|31536) pub62<-delete_contained_entities
(31461|31511) pub42<-delete_participant
(31461|31537) pub63<-match found! before write for 0103000c.297a35f2.7ae54fd1.00000002(ae46cbac)
(31461|31537) pub63  12% (2 samples sent)
(31461|31537) pub63  25% (4 samples sent)
(31461|31537) pub63  31% (5 samples sent)
(31461|31537) pub63  43% (7 samples sent)
(31461|31537) pub63  50% (8 samples sent)
(31461|31537) pub63  62% (10 samples sent)
(31461|31537) pub63  75% (12 samples sent)
(31461|31537) pub63  81% (13 samples sent)
(31461|31537) pub63  93% (15 samples sent)
(31461|31537) pub63  100% (16 samples sent)
(31461|31537) pub63  waiting for acks
(31461|31493) pub26<-delete_participant
(31461|31536) pub62<-delete_participant
(31461|31517) pub47<-match found! before write for 0103000c.297a35f2.7ae54fc1.00000002(cea65c2e)
(31461|31517) pub47  12% (2 samples sent)
(31461|31517) pub47  25% (4 samples sent)
(31461|31517) pub47  31% (5 samples sent)
(31461|31517) pub47  43% (7 samples sent)
(31461|31517) pub47  50% (8 samples sent)
(31461|31517) pub47  62% (10 samples sent)
(31461|31517) pub47  75% (12 samples sent)
(31461|31517) pub47  81% (13 samples sent)
(31461|31517) pub47  93% (15 samples sent)
(31461|31517) pub47  100% (16 samples sent)
(31461|31517) pub47  waiting for acks
(31461|31534) pub60  waiting for acks returned
(31461|31534) pub60<-delete_contained_entities
(31461|31534) pub60<-delete_participant
(31461|31517) pub47  waiting for acks returned
(31461|31517) pub47<-delete_contained_entities
(31461|31517) pub47<-delete_participant
(31461|31492) pub25<-match found! before write for 0103000c.297a35f2.7ae54fab.00000002(1d2433c2)
(31461|31492) pub25  12% (2 samples sent)
(31461|31492) pub25  25% (4 samples sent)
(31461|31492) pub25  31% (5 samples sent)
(31461|31492) pub25  43% (7 samples sent)
(31461|31492) pub25  50% (8 samples sent)
(31461|31492) pub25  62% (10 samples sent)
(31461|31492) pub25  75% (12 samples sent)
(31461|31492) pub25  81% (13 samples sent)
(31461|31492) pub25  93% (15 samples sent)
(31461|31492) pub25  100% (16 samples sent)
(31461|31492) pub25  waiting for acks
(31461|31503) pub34  waiting for acks returned
(31461|31503) pub34<-delete_contained_entities
(31461|31489) pub22<-match found! before write for 0103000c.297a35f2.7ae54fa8.00000002(5a844912)
(31461|31489) pub22  12% (2 samples sent)
(31461|31489) pub22  25% (4 samples sent)
(31461|31489) pub22  31% (5 samples sent)
(31461|31489) pub22  43% (7 samples sent)
(31461|31489) pub22  50% (8 samples sent)
(31461|31489) pub22  62% (10 samples sent)
(31461|31489) pub22  75% (12 samples sent)
(31461|31489) pub22  81% (13 samples sent)
(31461|31489) pub22  93% (15 samples sent)
(31461|31489) pub22  100% (16 samples sent)
(31461|31489) pub22  waiting for acks
(31461|31503) pub34<-delete_participant
(31461|31466)  sub 50% (512 samples received)
(31461|31495) pub28  waiting for acks returned
(31461|31495) pub28<-delete_contained_entities
(31461|31497) pub29<-match found! before write for 0103000c.297a35f2.7ae54faf.00000002(e8a49502)
(31461|31497) pub29  12% (2 samples sent)
(31461|31497) pub29  25% (4 samples sent)
(31461|31497) pub29  31% (5 samples sent)
(31461|31497) pub29  43% (7 samples sent)
(31461|31497) pub29  50% (8 samples sent)
(31461|31497) pub29  62% (10 samples sent)
(31461|31497) pub29  75% (12 samples sent)
(31461|31497) pub29  81% (13 samples sent)
(31461|31497) pub29  93% (15 samples sent)
(31461|31497) pub29  100% (16 samples sent)
(31461|31497) pub29  waiting for acks
(31461|31497) pub29  waiting for acks returned
(31461|31497) pub29<-delete_contained_entities
(31461|31478) pub17<-match found! before write for 0103000c.297a35f2.7ae54fa3.00000002(2d547803)
(31461|31478) pub17  12% (2 samples sent)
(31461|31478) pub17  25% (4 samples sent)
(31461|31478) pub17  31% (5 samples sent)
(31461|31478) pub17  43% (7 samples sent)
(31461|31478) pub17  50% (8 samples sent)
(31461|31478) pub17  62% (10 samples sent)
(31461|31478) pub17  75% (12 samples sent)
(31461|31478) pub17  81% (13 samples sent)
(31461|31478) pub17  93% (15 samples sent)
(31461|31478) pub17  100% (16 samples sent)
(31461|31478) pub17  waiting for acks
(31461|31495) pub28<-delete_participant
(31461|31478) pub17  waiting for acks returned
(31461|31478) pub17<-delete_contained_entities
(31461|31528) pub54<-match found! before write for 0103000c.297a35f2.7ae54fc9.00000002(fed617ef)
(31461|31528) pub54  12% (2 samples sent)
(31461|31528) pub54  25% (4 samples sent)
(31461|31528) pub54  31% (5 samples sent)
(31461|31528) pub54  43% (7 samples sent)
(31461|31528) pub54  50% (8 samples sent)
(31461|31528) pub54  62% (10 samples sent)
(31461|31528) pub54  75% (12 samples sent)
(31461|31528) pub54  81% (13 samples sent)
(31461|31528) pub54  93% (15 samples sent)
(31461|31528) pub54  100% (16 samples sent)
(31461|31528) pub54  waiting for acks
(31461|31473) pub7<-match found! before write for 0103000c.297a35f2.7ae54f99.00000002(c6c5d824)
(31461|31473) pub7  12% (2 samples sent)
(31461|31473) pub7  25% (4 samples sent)
(31461|31473) pub7  31% (5 samples sent)
(31461|31473) pub7  43% (7 samples sent)
(31461|31473) pub7  50% (8 samples sent)
(31461|31473) pub7  62% (10 samples sent)
(31461|31473) pub7  75% (12 samples sent)
(31461|31473) pub7  81% (13 samples sent)
(31461|31473) pub7  93% (15 samples sent)
(31461|31473) pub7  100% (16 samples sent)
(31461|31473) pub7  waiting for acks
(31461|31497) pub29<-delete_participant
(31461|31489) pub22  waiting for acks returned
(31461|31489) pub22<-delete_contained_entities
(31461|31487) pub20<-match found! before write for 0103000c.297a35f2.7ae54fa6.00000002(e5b4f773)
(31461|31487) pub20  12% (2 samples sent)
(31461|31487) pub20  25% (4 samples sent)
(31461|31487) pub20  31% (5 samples sent)
(31461|31487) pub20  43% (7 samples sent)
(31461|31487) pub20  50% (8 samples sent)
(31461|31487) pub20  62% (10 samples sent)
(31461|31487) pub20  75% (12 samples sent)
(31461|31487) pub20  81% (13 samples sent)
(31461|31487) pub20  93% (15 samples sent)
(31461|31487) pub20  100% (16 samples sent)
(31461|31487) pub20  waiting for acks
(31461|31478) pub17<-delete_participant
(31461|31485) pub19<-match found! before write for 0103000c.297a35f2.7ae54fa5.00000002(a2148da3)
(31461|31485) pub19  12% (2 samples sent)
(31461|31485) pub19  25% (4 samples sent)
(31461|31485) pub19  31% (5 samples sent)
(31461|31485) pub19  43% (7 samples sent)
(31461|31485) pub19  50% (8 samples sent)
(31461|31485) pub19  62% (10 samples sent)
(31461|31485) pub19  75% (12 samples sent)
(31461|31485) pub19  81% (13 samples sent)
(31461|31485) pub19  93% (15 samples sent)
(31461|31485) pub19  100% (16 samples sent)
(31461|31485) pub19  waiting for acks
(31461|31489) pub22<-delete_participant
(31461|31480) pub12<-match found! before write for 0103000c.297a35f2.7ae54f9e.00000002(74e50434)
(31461|31480) pub12  12% (2 samples sent)
(31461|31480) pub12  25% (4 samples sent)
(31461|31480) pub12  31% (5 samples sent)
(31461|31480) pub12  43% (7 samples sent)
(31461|31480) pub12  50% (8 samples sent)
(31461|31480) pub12  62% (10 samples sent)
(31461|31480) pub12  75% (12 samples sent)
(31461|31480) pub12  81% (13 samples sent)
(31461|31480) pub12  93% (15 samples sent)
(31461|31480) pub12  100% (16 samples sent)
(31461|31480) pub12  waiting for acks
(31461|31480) pub12  waiting for acks returned
(31461|31480) pub12<-delete_contained_entities
(31461|31535) pub61<-match found! before write for 0103000c.297a35f2.7ae54fce.00000002(4cf6cbff)
(31461|31535) pub61  12% (2 samples sent)
(31461|31535) pub61  25% (4 samples sent)
(31461|31535) pub61  31% (5 samples sent)
(31461|31535) pub61  43% (7 samples sent)
(31461|31535) pub61  50% (8 samples sent)
(31461|31535) pub61  62% (10 samples sent)
(31461|31535) pub61  75% (12 samples sent)
(31461|31535) pub61  81% (13 samples sent)
(31461|31535) pub61  93% (15 samples sent)
(31461|31535) pub61  100% (16 samples sent)
(31461|31535) pub61  waiting for acks
(31461|31506) pub37<-match found! before write for 0103000c.297a35f2.7ae54fb4.00000002(ff943391)
(31461|31506) pub37  12% (2 samples sent)
(31461|31506) pub37  25% (4 samples sent)
(31461|31506) pub37  31% (5 samples sent)
(31461|31506) pub37  43% (7 samples sent)
(31461|31506) pub37  50% (8 samples sent)
(31461|31506) pub37  62% (10 samples sent)
(31461|31506) pub37  75% (12 samples sent)
(31461|31506) pub37  81% (13 samples sent)
(31461|31506) pub37  93% (15 samples sent)
(31461|31506) pub37  100% (16 samples sent)
(31461|31506) pub37  waiting for acks
(31461|31537) pub63  waiting for acks returned
(31461|31537) pub63<-delete_contained_entities
(31461|31501) pub32<-match found! before write for 0103000c.297a35f2.7ae54fb0.00000002(0a149551)
(31461|31501) pub32  12% (2 samples sent)
(31461|31501) pub32  25% (4 samples sent)
(31461|31501) pub32  31% (5 samples sent)
(31461|31501) pub32  43% (7 samples sent)
(31461|31501) pub32  50% (8 samples sent)
(31461|31501) pub32  62% (10 samples sent)
(31461|31501) pub32  75% (12 samples sent)
(31461|31501) pub32  81% (13 samples sent)
(31461|31501) pub32  93% (15 samples sent)
(31461|31501) pub32  100% (16 samples sent)
(31461|31501) pub32  waiting for acks
(31461|31480) pub12<-delete_participant
(31461|31515) pub43<-match found! before write for 0103000c.297a35f2.7ae54fc0.00000002(f3c6759e)
(31461|31515) pub43  12% (2 samples sent)
(31461|31515) pub43  25% (4 samples sent)
(31461|31515) pub43  31% (5 samples sent)
(31461|31515) pub43  43% (7 samples sent)
(31461|31515) pub43  50% (8 samples sent)
(31461|31515) pub43  62% (10 samples sent)
(31461|31515) pub43  75% (12 samples sent)
(31461|31515) pub43  81% (13 samples sent)
(31461|31515) pub43  93% (15 samples sent)
(31461|31515) pub43  100% (16 samples sent)
(31461|31515) pub43  waiting for acks
(31461|31537) pub63<-delete_participant
(31461|31481) pub13<-match found! before write for 0103000c.297a35f2.7ae54f9f.00000002(49852d84)
(31461|31481) pub13  12% (2 samples sent)
(31461|31481) pub13  25% (4 samples sent)
(31461|31481) pub13  31% (5 samples sent)
(31461|31481) pub13  43% (7 samples sent)
(31461|31481) pub13  50% (8 samples sent)
(31461|31481) pub13  62% (10 samples sent)
(31461|31481) pub13  75% (12 samples sent)
(31461|31481) pub13  81% (13 samples sent)
(31461|31481) pub13  93% (15 samples sent)
(31461|31481) pub13  100% (16 samples sent)
(31461|31481) pub13  waiting for acks
(31461|31475) pub8<-match found! before write for 0103000c.297a35f2.7ae54f9a.00000002(8165a2f4)
(31461|31475) pub8  12% (2 samples sent)
(31461|31475) pub8  25% (4 samples sent)
(31461|31475) pub8  31% (5 samples sent)
(31461|31475) pub8  43% (7 samples sent)
(31461|31475) pub8  50% (8 samples sent)
(31461|31475) pub8  62% (10 samples sent)
(31461|31468) pub1<-match found! before write for 0103000c.297a35f2.7ae54f93.00000002(8c75c085)
(31461|31468) pub1  12% (2 samples sent)
(31461|31468) pub1  25% (4 samples sent)
(31461|31468) pub1  31% (5 samples sent)
(31461|31468) pub1  43% (7 samples sent)
(31461|31468) pub1  50% (8 samples sent)
(31461|31468) pub1  62% (10 samples sent)
(31461|31468) pub1  75% (12 samples sent)
(31461|31475) pub8  75% (12 samples sent)
(31461|31475) pub8  81% (13 samples sent)
(31461|31475) pub8  93% (15 samples sent)
(31461|31475) pub8  100% (16 samples sent)
(31461|31475) pub8  waiting for acks
(31461|31468) pub1  81% (13 samples sent)
(31461|31468) pub1  93% (15 samples sent)
(31461|31468) pub1  100% (16 samples sent)
(31461|31468) pub1  waiting for acks
(31461|31481) pub13  waiting for acks returned
(31461|31481) pub13<-delete_contained_entities
(31461|31488) pub21<-match found! before write for 0103000c.297a35f2.7ae54fa7.00000002(d8d4dec3)
(31461|31488) pub21  12% (2 samples sent)
(31461|31488) pub21  25% (4 samples sent)
(31461|31488) pub21  31% (5 samples sent)
(31461|31488) pub21  43% (7 samples sent)
(31461|31488) pub21  50% (8 samples sent)
(31461|31488) pub21  62% (10 samples sent)
(31461|31488) pub21  75% (12 samples sent)
(31461|31488) pub21  81% (13 samples sent)
(31461|31488) pub21  93% (15 samples sent)
(31461|31488) pub21  100% (16 samples sent)
(31461|31488) pub21  waiting for acks
(31461|31509) pub40<-match found! before write for 0103000c.297a35f2.7ae54fbb.00000002(7dc4a440)
(31461|31509) pub40  12% (2 samples sent)
(31461|31509) pub40  25% (4 samples sent)
(31461|31509) pub40  31% (5 samples sent)
(31461|31509) pub40  43% (7 samples sent)
(31461|31509) pub40  50% (8 samples sent)
(31461|31509) pub40  62% (10 samples sent)
(31461|31510) pub41<-match found! before write for 0103000c.297a35f2.7ae54fb9.00000002(0704f720)
(31461|31510) pub41  12% (2 samples sent)
(31461|31510) pub41  25% (4 samples sent)
(31461|31510) pub41  31% (5 samples sent)
(31461|31510) pub41  43% (7 samples sent)
(31461|31510) pub41  50% (8 samples sent)
(31461|31510) pub41  62% (10 samples sent)
(31461|31510) pub41  75% (12 samples sent)
(31461|31509) pub40  75% (12 samples sent)
(31461|31509) pub40  81% (13 samples sent)
(31461|31509) pub40  93% (15 samples sent)
(31461|31509) pub40  100% (16 samples sent)
(31461|31509) pub40  waiting for acks
(31461|31510) pub41  81% (13 samples sent)
(31461|31510) pub41  93% (15 samples sent)
(31461|31510) pub41  100% (16 samples sent)
(31461|31510) pub41  waiting for acks
(31461|31466)  sub 60% (615 samples received)
(31461|31468) pub1  waiting for acks returned
(31461|31468) pub1<-delete_contained_entities
(31461|31471) pub6<-match found! before write for 0103000c.297a35f2.7ae54f98.00000002(fba5f194)
(31461|31471) pub6  12% (2 samples sent)
(31461|31471) pub6  25% (4 samples sent)
(31461|31471) pub6  31% (5 samples sent)
(31461|31471) pub6  43% (7 samples sent)
(31461|31471) pub6  50% (8 samples sent)
(31461|31471) pub6  62% (10 samples sent)
(31461|31471) pub6  75% (12 samples sent)
(31461|31471) pub6  81% (13 samples sent)
(31461|31471) pub6  93% (15 samples sent)
(31461|31471) pub6  100% (16 samples sent)
(31461|31471) pub6  waiting for acks
(31461|31475) pub8  waiting for acks returned
(31461|31475) pub8<-delete_contained_entities
(31461|31488) pub21  waiting for acks returned
(31461|31488) pub21<-delete_contained_entities
(31461|31510) pub41  waiting for acks returned
(31461|31510) pub41<-delete_contained_entities
(31461|31520) pub48<-match found! before write for 0103000c.297a35f2.7ae54fc2.00000002(890626fe)
(31461|31520) pub48  12% (2 samples sent)
(31461|31520) pub48  25% (4 samples sent)
(31461|31520) pub48  31% (5 samples sent)
(31461|31520) pub48  43% (7 samples sent)
(31461|31520) pub48  50% (8 samples sent)
(31461|31520) pub48  62% (10 samples sent)
(31461|31520) pub48  75% (12 samples sent)
(31461|31520) pub48  81% (13 samples sent)
(31461|31520) pub48  93% (15 samples sent)
(31461|31520) pub48  100% (16 samples sent)
(31461|31520) pub48  waiting for acks
(31461|31470) pub5<-match found! before write for 0103000c.297a35f2.7ae54f97.00000002(79f56645)
(31461|31470) pub5  12% (2 samples sent)
(31461|31470) pub5  25% (4 samples sent)
(31461|31470) pub5  31% (5 samples sent)
(31461|31470) pub5  43% (7 samples sent)
(31461|31470) pub5  50% (8 samples sent)
(31461|31470) pub5  62% (10 samples sent)
(31461|31470) pub5  75% (12 samples sent)
(31461|31470) pub5  81% (13 samples sent)
(31461|31470) pub5  93% (15 samples sent)
(31461|31470) pub5  100% (16 samples sent)
(31461|31470) pub5  waiting for acks
(31461|31521) pub49<-match found! before write for 0103000c.297a35f2.7ae54fc4.00000002(0646d35e)
(31461|31521) pub49  12% (2 samples sent)
(31461|31521) pub49  25% (4 samples sent)
(31461|31521) pub49  31% (5 samples sent)
(31461|31521) pub49  43% (7 samples sent)
(31461|31521) pub49  50% (8 samples sent)
(31461|31521) pub49  62% (10 samples sent)
(31461|31521) pub49  75% (12 samples sent)
(31461|31521) pub49  81% (13 samples sent)
(31461|31521) pub49  93% (15 samples sent)
(31461|31521) pub49  100% (16 samples sent)
(31461|31521) pub49  waiting for acks
(31461|31483) pub15<-match found! before write for 0103000c.297a35f2.7ae54fa2.00000002(103451b3)
(31461|31483) pub15  12% (2 samples sent)
(31461|31483) pub15  25% (4 samples sent)
(31461|31483) pub15  31% (5 samples sent)
(31461|31483) pub15  43% (7 samples sent)
(31461|31483) pub15  50% (8 samples sent)
(31461|31483) pub15  62% (10 samples sent)
(31461|31483) pub15  75% (12 samples sent)
(31461|31483) pub15  81% (13 samples sent)
(31461|31483) pub15  93% (15 samples sent)
(31461|31483) pub15  100% (16 samples sent)
(31461|31483) pub15  waiting for acks
(31461|31504) pub35<-match found! before write for 0103000c.297a35f2.7ae54fb5.00000002(c2f41a21)
(31461|31504) pub35  12% (2 samples sent)
(31461|31504) pub35  25% (4 samples sent)
(31461|31504) pub35  31% (5 samples sent)
(31461|31504) pub35  43% (7 samples sent)
(31461|31504) pub35  50% (8 samples sent)
(31461|31504) pub35  62% (10 samples sent)
(31461|31504) pub35  75% (12 samples sent)
(31461|31504) pub35  81% (13 samples sent)
(31461|31504) pub35  93% (15 samples sent)
(31461|31504) pub35  100% (16 samples sent)
(31461|31504) pub35  waiting for acks
(31461|31471) pub6  waiting for acks returned
(31461|31471) pub6<-delete_contained_entities
(31461|31490) pub23<-match found! before write for 0103000c.297a35f2.7ae54fa9.00000002(67e460a2)
(31461|31490) pub23  12% (2 samples sent)
(31461|31490) pub23  25% (4 samples sent)
(31461|31490) pub23  31% (5 samples sent)
(31461|31490) pub23  43% (7 samples sent)
(31461|31490) pub23  50% (8 samples sent)
(31461|31490) pub23  62% (10 samples sent)
(31461|31490) pub23  75% (12 samples sent)
(31461|31490) pub23  81% (13 samples sent)
(31461|31490) pub23  93% (15 samples sent)
(31461|31490) pub23  100% (16 samples sent)
(31461|31490) pub23  waiting for acks
(31461|31481) pub13<-delete_participant
(31461|31498) pub30<-match found! before write for 0103000c.297a35f2.7ae54fb1.00000002(3774bce1)
(31461|31498) pub30  12% (2 samples sent)
(31461|31498) pub30  25% (4 samples sent)
(31461|31498) pub30  31% (5 samples sent)
(31461|31498) pub30  43% (7 samples sent)
(31461|31498) pub30  50% (8 samples sent)
(31461|31498) pub30  62% (10 samples sent)
(31461|31498) pub30  75% (12 samples sent)
(31461|31498) pub30  81% (13 samples sent)
(31461|31498) pub30  93% (15 samples sent)
(31461|31498) pub30  100% (16 samples sent)
(31461|31498) pub30  waiting for acks
(31461|31506) pub37  waiting for acks returned
(31461|31506) pub37<-delete_contained_entities
(31461|31468) pub1<-delete_participant
(31461|31466)  sub 70% (717 samples received)
(31461|31526) pub53<-match found! before write for 0103000c.297a35f2.7ae54fc8.00000002(c3b63e5f)
(31461|31526) pub53  12% (2 samples sent)
(31461|31526) pub53  25% (4 samples sent)
(31461|31526) pub53  31% (5 samples sent)
(31461|31526) pub53  43% (7 samples sent)
(31461|31526) pub53  50% (8 samples sent)
(31461|31526) pub53  62% (10 samples sent)
(31461|31526) pub53  75% (12 samples sent)
(31461|31526) pub53  81% (13 samples sent)
(31461|31526) pub53  93% (15 samples sent)
(31461|31526) pub53  100% (16 samples sent)
(31461|31526) pub53  waiting for acks
(31461|31475) pub8<-delete_participant
(31461|31520) pub48  waiting for acks returned
(31461|31520) pub48<-delete_contained_entities
(31461|31470) pub5  waiting for acks returned
(31461|31470) pub5<-delete_contained_entities
(31461|31486) pub18<-match found! before write for 0103000c.297a35f2.7ae54fa4.00000002(9f74a413)
(31461|31486) pub18  12% (2 samples sent)
(31461|31486) pub18  25% (4 samples sent)
(31461|31486) pub18  31% (5 samples sent)
(31461|31486) pub18  43% (7 samples sent)
(31461|31486) pub18  50% (8 samples sent)
(31461|31486) pub18  62% (10 samples sent)
(31461|31486) pub18  75% (12 samples sent)
(31461|31486) pub18  81% (13 samples sent)
(31461|31486) pub18  93% (15 samples sent)
(31461|31486) pub18  100% (16 samples sent)
(31461|31486) pub18  waiting for acks
(31461|31488) pub21<-delete_participant
(31461|31521) pub49  waiting for acks returned
(31461|31521) pub49<-delete_contained_entities
(31461|31477) pub10<-match found! before write for 0103000c.297a35f2.7ae54f9c.00000002(0e255754)
(31461|31477) pub10  12% (2 samples sent)
(31461|31477) pub10  25% (4 samples sent)
(31461|31477) pub10  31% (5 samples sent)
(31461|31477) pub10  43% (7 samples sent)
(31461|31477) pub10  50% (8 samples sent)
(31461|31477) pub10  62% (10 samples sent)
(31461|31477) pub10  75% (12 samples sent)
(31461|31477) pub10  81% (13 samples sent)
(31461|31477) pub10  93% (15 samples sent)
(31461|31477) pub10  100% (16 samples sent)
(31461|31477) pub10  waiting for acks
(31461|31494) pub27<-match found! before write for 0103000c.297a35f2.7ae54fad.00000002(9264c662)
(31461|31494) pub27  12% (2 samples sent)
(31461|31494) pub27  25% (4 samples sent)
(31461|31494) pub27  31% (5 samples sent)
(31461|31494) pub27  43% (7 samples sent)
(31461|31494) pub27  50% (8 samples sent)
(31461|31494) pub27  62% (10 samples sent)
(31461|31494) pub27  75% (12 samples sent)
(31461|31494) pub27  81% (13 samples sent)
(31461|31494) pub27  93% (15 samples sent)
(31461|31494) pub27  100% (16 samples sent)
(31461|31494) pub27  waiting for acks
(31461|31504) pub35  waiting for acks returned
(31461|31504) pub35<-delete_contained_entities
(31461|31483) pub15  waiting for acks returned
(31461|31483) pub15<-delete_contained_entities
(31461|31498) pub30  waiting for acks returned
(31461|31498) pub30<-delete_contained_entities
(31461|31490) pub23  waiting for acks returned
(31461|31490) pub23<-delete_contained_entities
(31461|31466)  sub 80% (820 samples received)
(31461|31510) pub41<-delete_participant
(31461|31471) pub6<-delete_participant
(31461|31526) pub53  waiting for acks returned
(31461|31526) pub53<-delete_contained_entities
(31461|31473) pub7  waiting for acks returned
(31461|31473) pub7<-delete_contained_entities
(31461|31485) pub19  waiting for acks returned
(31461|31485) pub19<-delete_contained_entities
(31461|31487) pub20  waiting for acks returned
(31461|31487) pub20<-delete_contained_entities
(31461|31515) pub43  waiting for acks returned
(31461|31515) pub43<-delete_contained_entities
(31461|31466)  sub 90% (922 samples received)
(31461|31506) pub37<-delete_participant
(31461|31494) pub27  waiting for acks returned
(31461|31494) pub27<-delete_contained_entities
(31461|31528) pub54  waiting for acks returned
(31461|31528) pub54<-delete_contained_entities
(31461|31477) pub10  waiting for acks returned
(31461|31477) pub10<-delete_contained_entities
(31461|31486) pub18  waiting for acks returned
(31461|31486) pub18<-delete_contained_entities
(31461|31535) pub61  waiting for acks returned
(31461|31535) pub61<-delete_contained_entities
(31461|31520) pub48<-delete_participant
(31461|31470) pub5<-delete_participant
(31461|31509) pub40  waiting for acks returned
(31461|31509) pub40<-delete_contained_entities
(31461|31492) pub25  waiting for acks returned
(31461|31492) pub25<-delete_contained_entities
(31461|31521) pub49<-delete_participant
(31461|31504) pub35<-delete_participant
(31461|31483) pub15<-delete_participant
(31461|31466) sub condition_.notify_all
(31461|31461) sub condition_.wait returned
(31461|31461) sub check_received
(31461|31461) sub check_received returns 0
(31461|31461) <- PublisherService::end
(31461|31466) sub condition_.notify_all
(31461|31466) sub condition_.notify_all
(31461|31466) sub condition_.notify_all
(31461|31466) sub condition_.notify_all
(31461|31466) sub condition_.notify_all
(31461|31466) sub condition_.notify_all
(31461|31466) sub condition_.notify_all
(31461|31466) sub condition_.notify_all
(31461|31466) sub condition_.notify_all
(31461|31466) sub condition_.notify_all
(31461|31466) sub condition_.notify_all
(31461|31466) sub condition_.notify_all
(31461|31466) sub condition_.notify_all
(31461|31466) sub condition_.notify_all
(31461|31466)  sub 100% (1024 samples received)
(31461|31466) sub condition_.notify_all
(31461|31501) pub32  waiting for acks returned
(31461|31501) pub32<-delete_contained_entities
(31461|31498) pub30<-delete_participant
(31461|31490) pub23<-delete_participant
(31461|31526) pub53<-delete_participant
(31461|31473) pub7<-delete_participant
(31461|31485) pub19<-delete_participant
(31461|31487) pub20<-delete_participant
(31461|31515) pub43<-delete_participant
(31461|31494) pub27<-delete_participant
(31461|31528) pub54<-delete_participant
(31461|31477) pub10<-delete_participant
(31461|31486) pub18<-delete_participant
(31461|31535) pub61<-delete_participant
(31461|31509) pub40<-delete_participant
(31461|31492) pub25<-delete_participant
(31461|31501) pub32<-delete_participant
(31461|31461) <- PublisherService::~PublisherService
(31461|31461) <- Subscriber delete_contained_entities
(31461|31461) <- Subscriber delete_participant
(31461|31461) <- Subscriber::~Subscriber
(31461|31461) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl aggressive rtps Time:87s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl single durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 31811
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1 -n 1 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 31818 started at 2023-04-21 22:31:53
(31818|31818) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(31818|31818) -> Thrasher started
(31818|31818) -> Subscriber::Subscriber
(31811|31811) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(31818|31818) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(31818|31818)    Subscriber reader id: 01030000.22f3ce62.00000002.00000507(3ab55101)
(31818|31818) -> PublisherService::PublisherService
(31818|31818) -> PublisherService::start (1 threads)
(31818|31818) sub wait_received 0:1
(31818|31827) pub0  writer id: 01030000.22f3ce62.00000003.00000102(13d3493a)
(31818|31827) pub0->started
(31818|31826)  sub 100% (1 samples received)
(31818|31826) sub condition_.notify_all
(31818|31818) sub condition_.wait returned
(31818|31818) sub check_received
(31818|31818) sub check_received returns 0
(31818|31827) pub0  100% (1 samples sent)
(31818|31818) <- PublisherService::end
(31818|31827) pub0->wait_match() before write for 01030000.22f3ce62.00000003.00000102(13d3493a)
(31818|31827) pub0<-match found! before write for 01030000.22f3ce62.00000003.00000102(13d3493a)
(31818|31827) pub0  waiting for acks
(31818|31827) pub0  waiting for acks returned
(31818|31827) pub0<-delete_contained_entities
(31818|31827) pub0<-delete_participant
(31818|31818) <- PublisherService::~PublisherService
(31818|31818) <- Subscriber delete_contained_entities
(31818|31818) <- Subscriber delete_participant
(31818|31818) <- Subscriber::~Subscriber
(31818|31818) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl single durable Time:0s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl double durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 31829
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 2 -s 1 -n 2 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 31836 started at 2023-04-21 22:31:54
(31836|31836) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(31836|31836) -> Thrasher started
(31836|31836) -> Subscriber::Subscriber
(31829|31829) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(31836|31836) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(31836|31836)    Subscriber reader id: 01030000.3141f60e.00000002.00000507(87a71933)
(31836|31836) -> PublisherService::PublisherService
(31836|31836) -> PublisherService::start (2 threads)
(31836|31836) sub wait_received 0:2
(31836|31845) pub0  writer id: 01030000.3141f60e.00000003.00000102(aec10108)
(31836|31845) pub0->started
(31836|31845) pub0  100% (1 samples sent)
(31836|31845) pub0->wait_match() before write for 01030000.3141f60e.00000003.00000102(aec10108)
(31836|31845) pub0<-match found! before write for 01030000.3141f60e.00000003.00000102(aec10108)
(31836|31845) pub0  waiting for acks
(31836|31844)  sub 50% (1 samples received)
(31836|31845) pub0  waiting for acks returned
(31836|31845) pub0<-delete_contained_entities
(31836|31846) pub1  writer id: 01030000.3141f60e.00000004.00000102(1ce1dd18)
(31836|31846) pub1->started
(31836|31846) pub1  100% (1 samples sent)
(31836|31846) pub1->wait_match() before write for 01030000.3141f60e.00000004.00000102(1ce1dd18)
(31836|31846) pub1<-match found! before write for 01030000.3141f60e.00000004.00000102(1ce1dd18)
(31836|31846) pub1  waiting for acks
(31836|31844)  sub 100% (2 samples received)
(31836|31844) sub condition_.notify_all
(31836|31836) sub condition_.wait returned
(31836|31836) sub check_received
(31836|31836) sub check_received returns 0
(31836|31836) <- PublisherService::end
(31836|31846) pub1  waiting for acks returned
(31836|31846) pub1<-delete_contained_entities
(31836|31845) pub0<-delete_participant
(31836|31846) pub1<-delete_participant
(31836|31836) <- PublisherService::~PublisherService
(31836|31836) <- Subscriber delete_contained_entities
(31836|31836) <- Subscriber delete_participant
(31836|31836) <- Subscriber::~Subscriber
(31836|31836) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl double durable Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl triangle durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 31848
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 3 -s 3 -n 9 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 31855 started at 2023-04-21 22:31:54
(31855|31855) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(31855|31855) -> Thrasher started
(31855|31855) -> Subscriber::Subscriber
(31848|31848) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(31855|31855) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(31855|31855)    Subscriber reader id: 01030000.736a0c59.00000002.00000507(ef1f0820)
(31855|31855) -> PublisherService::PublisherService
(31855|31855) -> PublisherService::start (3 threads)
(31855|31855) sub wait_received 0:3
(31855|31864) pub0  writer id: 01030000.736a0c59.00000003.00000102(c679101b)
(31855|31864) pub0->started
(31855|31864) pub0  33% (1 samples sent)
(31855|31864) pub0  66% (2 samples sent)
(31855|31864) pub0  100% (3 samples sent)
(31855|31864) pub0->wait_match() before write for 01030000.736a0c59.00000003.00000102(c679101b)
(31855|31863)  sub 11% (1 samples received)
(31855|31864) pub0<-match found! before write for 01030000.736a0c59.00000003.00000102(c679101b)
(31855|31864) pub0  waiting for acks
(31855|31864) pub0  waiting for acks returned
(31855|31864) pub0<-delete_contained_entities
(31855|31866) pub2  writer id: 01030000.736a0c59.00000005.00000102(4939e5bb)
(31855|31866) pub2->started
(31855|31866) pub2  33% (1 samples sent)
(31855|31866) pub2  66% (2 samples sent)
(31855|31866) pub2  100% (3 samples sent)
(31855|31866) pub2->wait_match() before write for 01030000.736a0c59.00000005.00000102(4939e5bb)
(31855|31866) pub2<-match found! before write for 01030000.736a0c59.00000005.00000102(4939e5bb)
(31855|31866) pub2  waiting for acks
(31855|31863)  sub 22% (2 samples received)
(31855|31866) pub2  waiting for acks returned
(31855|31866) pub2<-delete_contained_entities
(31855|31865) pub1  writer id: 01030000.736a0c59.00000004.00000102(7459cc0b)
(31855|31865) pub1->started
(31855|31865) pub1  33% (1 samples sent)
(31855|31865) pub1  66% (2 samples sent)
(31855|31865) pub1  100% (3 samples sent)
(31855|31865) pub1->wait_match() before write for 01030000.736a0c59.00000004.00000102(7459cc0b)
(31855|31863)  sub 33% (3 samples received)
(31855|31863) sub condition_.notify_all
(31855|31865) pub1<-match found! before write for 01030000.736a0c59.00000004.00000102(7459cc0b)
(31855|31865) pub1  waiting for acks
(31855|31855) sub condition_.wait returned
(31855|31855) sub check_received
(31855|31855) sub check_received returns 0
(31855|31855) <- PublisherService::end
(31855|31865) pub1  waiting for acks returned
(31855|31865) pub1<-delete_contained_entities
(31855|31864) pub0<-delete_participant
(31855|31866) pub2<-delete_participant
(31855|31865) pub1<-delete_participant
(31855|31855) <- PublisherService::~PublisherService
(31855|31855) <- Subscriber delete_contained_entities
(31855|31855) <- Subscriber delete_participant
(31855|31855) <- Subscriber::~Subscriber
(31855|31855) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl triangle durable Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl default durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 31868
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1024 -n 1024 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 31875 started at 2023-04-21 22:31:55
(31875|31875) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(31875|31875) -> Thrasher started
(31875|31875) -> Subscriber::Subscriber
(31868|31868) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(31875|31875) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(31875|31875)    Subscriber reader id: 01030000.479c4327.00000002.00000507(ce96ec1e)
(31875|31875) -> PublisherService::PublisherService
(31875|31875) -> PublisherService::start (1 threads)
(31875|31875) sub wait_received 0:1
(31875|31884) pub0  writer id: 01030000.479c4327.00000003.00000102(e7f0f425)
(31875|31884) pub0->started
(31875|31883) sub condition_.notify_all
(31875|31875) sub condition_.wait returned
(31875|31875) sub check_received
(31875|31875) sub check_received returns 0
(31875|31875) <- PublisherService::end
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31884) pub0  10% (103 samples sent)
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31884) pub0  20% (205 samples sent)
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883)  sub 10% (103 samples received)
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31884) pub0  30% (308 samples sent)
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31884) pub0  40% (410 samples sent)
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883)  sub 20% (205 samples received)
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31884) pub0  50% (512 samples sent)
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31884) pub0  60% (615 samples sent)
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31884) pub0  70% (717 samples sent)
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883)  sub 30% (308 samples received)
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31884) pub0  80% (820 samples sent)
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31884) pub0  90% (922 samples sent)
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883)  sub 40% (410 samples received)
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31884) pub0  100% (1024 samples sent)
(31875|31884) pub0->wait_match() before write for 01030000.479c4327.00000003.00000102(e7f0f425)
(31875|31883) sub condition_.notify_all
(31875|31884) pub0<-match found! before write for 01030000.479c4327.00000003.00000102(e7f0f425)
(31875|31884) pub0  waiting for acks
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883)  sub 50% (512 samples received)
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883)  sub 60% (615 samples received)
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883)  sub 70% (717 samples received)
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883)  sub 80% (820 samples received)
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883)  sub 90% (922 samples received)
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883) sub condition_.notify_all
(31875|31883)  sub 100% (1024 samples received)
(31875|31883) sub condition_.notify_all
(31875|31884) pub0  waiting for acks returned
(31875|31884) pub0<-delete_contained_entities
(31875|31884) pub0<-delete_participant
(31875|31875) <- PublisherService::~PublisherService
(31875|31875) <- Subscriber delete_contained_entities
(31875|31875) <- Subscriber delete_participant
(31875|31875) <- Subscriber::~Subscriber
(31875|31875) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl default durable Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl low durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 31886
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 8 -s 128 -n 1024 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 31893 started at 2023-04-21 22:31:56
(31893|31893) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(31893|31893) -> Thrasher started
(31893|31893) -> Subscriber::Subscriber
(31886|31886) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(31893|31893) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(31893|31893)    Subscriber reader id: 01030000.60292e3e.00000002.00000507(a8997742)
(31893|31893) -> PublisherService::PublisherService
(31893|31893) -> PublisherService::start (8 threads)
(31893|31893) sub wait_received 0:8
(31893|31902) pub0  writer id: 01030000.60292e3e.00000003.00000102(81ff6f79)
(31893|31902) pub0->started
(31893|31902) pub0  10% (13 samples sent)
(31893|31903) pub1  writer id: 01030000.60292e3e.00000004.00000102(33dfb369)
(31893|31903) pub1->started
(31893|31903) pub1  10% (13 samples sent)
(31893|31903) pub1  20% (26 samples sent)
(31893|31903) pub1  30% (39 samples sent)
(31893|31903) pub1  40% (52 samples sent)
(31893|31903) pub1  50% (64 samples sent)
(31893|31903) pub1  60% (77 samples sent)
(31893|31902) pub0  20% (26 samples sent)
(31893|31903) pub1  70% (90 samples sent)
(31893|31903) pub1  80% (103 samples sent)
(31893|31903) pub1  90% (116 samples sent)
(31893|31903) pub1  100% (128 samples sent)
(31893|31903) pub1->wait_match() before write for 01030000.60292e3e.00000004.00000102(33dfb369)
(31893|31902) pub0  30% (39 samples sent)
(31893|31904) pub3  writer id: 01030000.60292e3e.00000006.00000102(491fe009)
(31893|31904) pub3->started
(31893|31903) pub1<-match found! before write for 01030000.60292e3e.00000004.00000102(33dfb369)
(31893|31903) pub1  waiting for acks
(31893|31904) pub3  10% (13 samples sent)
(31893|31902) pub0  40% (52 samples sent)
(31893|31904) pub3  20% (26 samples sent)
(31893|31902) pub0  50% (64 samples sent)
(31893|31905) pub7  writer id: 01030000.60292e3e.00000009.00000102(cb4f77d8)
(31893|31905) pub7->started
(31893|31905) pub7  10% (13 samples sent)
(31893|31904) pub3  30% (39 samples sent)
(31893|31905) pub7  20% (26 samples sent)
(31893|31905) pub7  30% (39 samples sent)
(31893|31905) pub7  40% (52 samples sent)
(31893|31902) pub0  60% (77 samples sent)
(31893|31905) pub7  50% (64 samples sent)
(31893|31905) pub7  60% (77 samples sent)
(31893|31905) pub7  70% (90 samples sent)
(31893|31905) pub7  80% (103 samples sent)
(31893|31904) pub3  40% (52 samples sent)
(31893|31904) pub3  50% (64 samples sent)
(31893|31902) pub0  70% (90 samples sent)
(31893|31905) pub7  90% (116 samples sent)
(31893|31905) pub7  100% (128 samples sent)
(31893|31905) pub7->wait_match() before write for 01030000.60292e3e.00000009.00000102(cb4f77d8)
(31893|31902) pub0  80% (103 samples sent)
(31893|31902) pub0  90% (116 samples sent)
(31893|31905) pub7<-match found! before write for 01030000.60292e3e.00000009.00000102(cb4f77d8)
(31893|31905) pub7  waiting for acks
(31893|31903) pub1  waiting for acks returned
(31893|31903) pub1<-delete_contained_entities
(31893|31904) pub3  60% (77 samples sent)
(31893|31904) pub3  70% (90 samples sent)
(31893|31904) pub3  80% (103 samples sent)
(31893|31902) pub0  100% (128 samples sent)
(31893|31902) pub0->wait_match() before write for 01030000.60292e3e.00000003.00000102(81ff6f79)
(31893|31902) pub0<-match found! before write for 01030000.60292e3e.00000003.00000102(81ff6f79)
(31893|31902) pub0  waiting for acks
(31893|31904) pub3  90% (116 samples sent)
(31893|31904) pub3  100% (128 samples sent)
(31893|31904) pub3->wait_match() before write for 01030000.60292e3e.00000006.00000102(491fe009)
(31893|31904) pub3<-match found! before write for 01030000.60292e3e.00000006.00000102(491fe009)
(31893|31904) pub3  waiting for acks
(31893|31901)  sub 10% (103 samples received)
(31893|31901)  sub 20% (205 samples received)
(31893|31909) pub2  writer id: 01030000.60292e3e.00000008.00000102(f62f5e68)
(31893|31909) pub2->started
(31893|31909) pub2  10% (13 samples sent)
(31893|31909) pub2  20% (26 samples sent)
(31893|31909) pub2  30% (39 samples sent)
(31893|31909) pub2  40% (52 samples sent)
(31893|31909) pub2  50% (64 samples sent)
(31893|31909) pub2  60% (77 samples sent)
(31893|31909) pub2  70% (90 samples sent)
(31893|31909) pub2  80% (103 samples sent)
(31893|31909) pub2  90% (116 samples sent)
(31893|31908) pub4  writer id: 01030000.60292e3e.00000005.00000102(0ebf9ad9)
(31893|31908) pub4->started
(31893|31908) pub4  10% (13 samples sent)
(31893|31908) pub4  20% (26 samples sent)
(31893|31908) pub4  30% (39 samples sent)
(31893|31908) pub4  40% (52 samples sent)
(31893|31908) pub4  50% (64 samples sent)
(31893|31908) pub4  60% (77 samples sent)
(31893|31908) pub4  70% (90 samples sent)
(31893|31908) pub4  80% (103 samples sent)
(31893|31905) pub7  waiting for acks returned
(31893|31905) pub7<-delete_contained_entities
(31893|31902) pub0  waiting for acks returned
(31893|31902) pub0<-delete_contained_entities
(31893|31906) pub5  writer id: 01030000.60292e3e.00000007.00000102(747fc9b9)
(31893|31906) pub5->started
(31893|31906) pub5  10% (13 samples sent)
(31893|31906) pub5  20% (26 samples sent)
(31893|31906) pub5  30% (39 samples sent)
(31893|31906) pub5  40% (52 samples sent)
(31893|31906) pub5  50% (64 samples sent)
(31893|31906) pub5  60% (77 samples sent)
(31893|31906) pub5  70% (90 samples sent)
(31893|31906) pub5  80% (103 samples sent)
(31893|31907) pub6  writer id: 01030000.60292e3e.0000000a.00000102(8cef0d08)
(31893|31907) pub6->started
(31893|31907) pub6  10% (13 samples sent)
(31893|31907) pub6  20% (26 samples sent)
(31893|31909) pub2  100% (128 samples sent)
(31893|31909) pub2->wait_match() before write for 01030000.60292e3e.00000008.00000102(f62f5e68)
(31893|31909) pub2<-match found! before write for 01030000.60292e3e.00000008.00000102(f62f5e68)
(31893|31909) pub2  waiting for acks
(31893|31907) pub6  30% (39 samples sent)
(31893|31904) pub3  waiting for acks returned
(31893|31904) pub3<-delete_contained_entities
(31893|31907) pub6  40% (52 samples sent)
(31893|31903) pub1<-delete_participant
(31893|31906) pub5  90% (116 samples sent)
(31893|31906) pub5  100% (128 samples sent)
(31893|31906) pub5->wait_match() before write for 01030000.60292e3e.00000007.00000102(747fc9b9)
(31893|31906) pub5<-match found! before write for 01030000.60292e3e.00000007.00000102(747fc9b9)
(31893|31906) pub5  waiting for acks
(31893|31907) pub6  50% (64 samples sent)
(31893|31907) pub6  60% (77 samples sent)
(31893|31908) pub4  90% (116 samples sent)
(31893|31908) pub4  100% (128 samples sent)
(31893|31908) pub4->wait_match() before write for 01030000.60292e3e.00000005.00000102(0ebf9ad9)
(31893|31908) pub4<-match found! before write for 01030000.60292e3e.00000005.00000102(0ebf9ad9)
(31893|31908) pub4  waiting for acks
(31893|31901) sub condition_.notify_all
(31893|31893) sub condition_.wait returned
(31893|31893) sub check_received
(31893|31893) sub check_received returns 0
(31893|31893) <- PublisherService::end
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31909) pub2  waiting for acks returned
(31893|31909) pub2<-delete_contained_entities
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31905) pub7<-delete_participant
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31907) pub6  70% (90 samples sent)
(31893|31907) pub6  80% (103 samples sent)
(31893|31907) pub6  90% (116 samples sent)
(31893|31907) pub6  100% (128 samples sent)
(31893|31907) pub6->wait_match() before write for 01030000.60292e3e.0000000a.00000102(8cef0d08)
(31893|31907) pub6<-match found! before write for 01030000.60292e3e.0000000a.00000102(8cef0d08)
(31893|31907) pub6  waiting for acks
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31902) pub0<-delete_participant
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901)  sub 30% (308 samples received)
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31906) pub5  waiting for acks returned
(31893|31906) pub5<-delete_contained_entities
(31893|31904) pub3<-delete_participant
(31893|31908) pub4  waiting for acks returned
(31893|31908) pub4<-delete_contained_entities
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31901) sub condition_.notify_all
(31893|31909) pub2<-delete_participant
(31893|31901) sub condition_.notify_all
(31893|31907) pub6  waiting for acks returned
(31893|31907) pub6<-delete_contained_entities
(31893|31906) pub5<-delete_participant
(31893|31908) pub4<-delete_participant
(31893|31907) pub6<-delete_participant
(31893|31893) <- PublisherService::~PublisherService
(31893|31893) <- Subscriber delete_contained_entities
(31893|31893) <- Subscriber delete_participant
(31893|31893) <- Subscriber::~Subscriber
(31893|31893) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl low durable Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl medium durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 31911
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 16 -s 64 -n 1024 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 31918 started at 2023-04-21 22:31:57
(31918|31918) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(31918|31918) -> Thrasher started
(31918|31918) -> Subscriber::Subscriber
(31911|31911) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(31918|31918) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(31918|31918)    Subscriber reader id: 01030000.35ca0dde.00000002.00000507(2ccaa6c0)
(31918|31918) -> PublisherService::PublisherService
(31918|31918) -> PublisherService::start (16 threads)
(31918|31918) sub wait_received 0:16
(31918|31927) pub0  writer id: 01030000.35ca0dde.00000003.00000102(05acbefb)
(31918|31927) pub0->started
(31918|31927) pub0  10% (7 samples sent)
(31918|31927) pub0  20% (13 samples sent)
(31918|31927) pub0  31% (20 samples sent)
(31918|31927) pub0  40% (26 samples sent)
(31918|31927) pub0  50% (32 samples sent)
(31918|31927) pub0  60% (39 samples sent)
(31918|31927) pub0  70% (45 samples sent)
(31918|31927) pub0  81% (52 samples sent)
(31918|31927) pub0  90% (58 samples sent)
(31918|31927) pub0  100% (64 samples sent)
(31918|31927) pub0->wait_match() before write for 01030000.35ca0dde.00000003.00000102(05acbefb)
(31918|31927) pub0<-match found! before write for 01030000.35ca0dde.00000003.00000102(05acbefb)
(31918|31927) pub0  waiting for acks
(31918|31927) pub0  waiting for acks returned
(31918|31927) pub0<-delete_contained_entities
(31918|31931) pub6  writer id: 01030000.35ca0dde.00000006.00000102(cd4c318b)
(31918|31931) pub6->started
(31918|31931) pub6  10% (7 samples sent)
(31918|31931) pub6  20% (13 samples sent)
(31918|31931) pub6  31% (20 samples sent)
(31918|31931) pub6  40% (26 samples sent)
(31918|31931) pub6  50% (32 samples sent)
(31918|31931) pub6  60% (39 samples sent)
(31918|31931) pub6  70% (45 samples sent)
(31918|31931) pub6  81% (52 samples sent)
(31918|31931) pub6  90% (58 samples sent)
(31918|31931) pub6  100% (64 samples sent)
(31918|31931) pub6->wait_match() before write for 01030000.35ca0dde.00000006.00000102(cd4c318b)
(31918|31931) pub6<-match found! before write for 01030000.35ca0dde.00000006.00000102(cd4c318b)
(31918|31931) pub6  waiting for acks
(31918|31931) pub6  waiting for acks returned
(31918|31931) pub6<-delete_contained_entities
(31918|31932) pub5  writer id: 01030000.35ca0dde.0000000a.00000102(08bcdc8a)
(31918|31932) pub5->started
(31918|31932) pub5  10% (7 samples sent)
(31918|31932) pub5  20% (13 samples sent)
(31918|31932) pub5  31% (20 samples sent)
(31918|31932) pub5  40% (26 samples sent)
(31918|31932) pub5  50% (32 samples sent)
(31918|31932) pub5  60% (39 samples sent)
(31918|31932) pub5  70% (45 samples sent)
(31918|31932) pub5  81% (52 samples sent)
(31918|31932) pub5  90% (58 samples sent)
(31918|31932) pub5  100% (64 samples sent)
(31918|31932) pub5->wait_match() before write for 01030000.35ca0dde.0000000a.00000102(08bcdc8a)
(31918|31932) pub5<-match found! before write for 01030000.35ca0dde.0000000a.00000102(08bcdc8a)
(31918|31932) pub5  waiting for acks
(31918|31932) pub5  waiting for acks returned
(31918|31932) pub5<-delete_contained_entities
(31918|31930) pub3  writer id: 01030000.35ca0dde.0000000b.00000102(35dcf53a)
(31918|31930) pub3->started
(31918|31930) pub3  10% (7 samples sent)
(31918|31930) pub3  20% (13 samples sent)
(31918|31930) pub3  31% (20 samples sent)
(31918|31930) pub3  40% (26 samples sent)
(31918|31930) pub3  50% (32 samples sent)
(31918|31930) pub3  60% (39 samples sent)
(31918|31930) pub3  70% (45 samples sent)
(31918|31930) pub3  81% (52 samples sent)
(31918|31930) pub3  90% (58 samples sent)
(31918|31930) pub3  100% (64 samples sent)
(31918|31930) pub3->wait_match() before write for 01030000.35ca0dde.0000000b.00000102(35dcf53a)
(31918|31930) pub3<-match found! before write for 01030000.35ca0dde.0000000b.00000102(35dcf53a)
(31918|31930) pub3  waiting for acks
(31918|31930) pub3  waiting for acks returned
(31918|31930) pub3<-delete_contained_entities
(31918|31937) pub13  writer id: 01030000.35ca0dde.00000010.00000102(22ec53a9)
(31918|31937) pub13->started
(31918|31937) pub13  10% (7 samples sent)
(31918|31937) pub13  20% (13 samples sent)
(31918|31937) pub13  31% (20 samples sent)
(31918|31937) pub13  40% (26 samples sent)
(31918|31937) pub13  50% (32 samples sent)
(31918|31937) pub13  60% (39 samples sent)
(31918|31937) pub13  70% (45 samples sent)
(31918|31937) pub13  81% (52 samples sent)
(31918|31937) pub13  90% (58 samples sent)
(31918|31937) pub13  100% (64 samples sent)
(31918|31937) pub13->wait_match() before write for 01030000.35ca0dde.00000010.00000102(22ec53a9)
(31918|31937) pub13<-match found! before write for 01030000.35ca0dde.00000010.00000102(22ec53a9)
(31918|31937) pub13  waiting for acks
(31918|31937) pub13  waiting for acks returned
(31918|31937) pub13<-delete_contained_entities
(31918|31942) pub11  writer id: 01030000.35ca0dde.00000007.00000102(f02c183b)
(31918|31942) pub11->started
(31918|31942) pub11  10% (7 samples sent)
(31918|31942) pub11  20% (13 samples sent)
(31918|31942) pub11  31% (20 samples sent)
(31918|31942) pub11  40% (26 samples sent)
(31918|31942) pub11  50% (32 samples sent)
(31918|31942) pub11  60% (39 samples sent)
(31918|31942) pub11  70% (45 samples sent)
(31918|31942) pub11  81% (52 samples sent)
(31918|31942) pub11  90% (58 samples sent)
(31918|31942) pub11  100% (64 samples sent)
(31918|31942) pub11->wait_match() before write for 01030000.35ca0dde.00000007.00000102(f02c183b)
(31918|31942) pub11<-match found! before write for 01030000.35ca0dde.00000007.00000102(f02c183b)
(31918|31942) pub11  waiting for acks
(31918|31942) pub11  waiting for acks returned
(31918|31942) pub11<-delete_contained_entities
(31918|31928) pub1  writer id: 01030000.35ca0dde.00000004.00000102(b78c62eb)
(31918|31928) pub1->started
(31918|31928) pub1  10% (7 samples sent)
(31918|31928) pub1  20% (13 samples sent)
(31918|31928) pub1  31% (20 samples sent)
(31918|31928) pub1  40% (26 samples sent)
(31918|31928) pub1  50% (32 samples sent)
(31918|31928) pub1  60% (39 samples sent)
(31918|31928) pub1  70% (45 samples sent)
(31918|31928) pub1  81% (52 samples sent)
(31918|31928) pub1  90% (58 samples sent)
(31918|31928) pub1  100% (64 samples sent)
(31918|31928) pub1->wait_match() before write for 01030000.35ca0dde.00000004.00000102(b78c62eb)
(31918|31928) pub1<-match found! before write for 01030000.35ca0dde.00000004.00000102(b78c62eb)
(31918|31928) pub1  waiting for acks
(31918|31928) pub1  waiting for acks returned
(31918|31928) pub1<-delete_contained_entities
(31918|31936) pub4  writer id: 01030000.35ca0dde.0000000d.00000102(ba9c009a)
(31918|31936) pub4->started
(31918|31936) pub4  10% (7 samples sent)
(31918|31936) pub4  20% (13 samples sent)
(31918|31936) pub4  31% (20 samples sent)
(31918|31936) pub4  40% (26 samples sent)
(31918|31936) pub4  50% (32 samples sent)
(31918|31936) pub4  60% (39 samples sent)
(31918|31936) pub4  70% (45 samples sent)
(31918|31936) pub4  81% (52 samples sent)
(31918|31936) pub4  90% (58 samples sent)
(31918|31936) pub4  100% (64 samples sent)
(31918|31936) pub4->wait_match() before write for 01030000.35ca0dde.0000000d.00000102(ba9c009a)
(31918|31936) pub4<-match found! before write for 01030000.35ca0dde.0000000d.00000102(ba9c009a)
(31918|31936) pub4  waiting for acks
(31918|31936) pub4  waiting for acks returned
(31918|31936) pub4<-delete_contained_entities
(31918|31935) pub7  writer id: 01030000.35ca0dde.00000008.00000102(727c8fea)
(31918|31935) pub7->started
(31918|31935) pub7  10% (7 samples sent)
(31918|31935) pub7  20% (13 samples sent)
(31918|31935) pub7  31% (20 samples sent)
(31918|31935) pub7  40% (26 samples sent)
(31918|31935) pub7  50% (32 samples sent)
(31918|31935) pub7  60% (39 samples sent)
(31918|31935) pub7  70% (45 samples sent)
(31918|31935) pub7  81% (52 samples sent)
(31918|31935) pub7  90% (58 samples sent)
(31918|31935) pub7  100% (64 samples sent)
(31918|31935) pub7->wait_match() before write for 01030000.35ca0dde.00000008.00000102(727c8fea)
(31918|31935) pub7<-match found! before write for 01030000.35ca0dde.00000008.00000102(727c8fea)
(31918|31935) pub7  waiting for acks
(31918|31935) pub7  waiting for acks returned
(31918|31935) pub7<-delete_contained_entities
(31918|31929) pub2  writer id: 01030000.35ca0dde.00000005.00000102(8aec4b5b)
(31918|31929) pub2->started
(31918|31929) pub2  10% (7 samples sent)
(31918|31929) pub2  20% (13 samples sent)
(31918|31929) pub2  31% (20 samples sent)
(31918|31929) pub2  40% (26 samples sent)
(31918|31929) pub2  50% (32 samples sent)
(31918|31929) pub2  60% (39 samples sent)
(31918|31929) pub2  70% (45 samples sent)
(31918|31929) pub2  81% (52 samples sent)
(31918|31929) pub2  90% (58 samples sent)
(31918|31929) pub2  100% (64 samples sent)
(31918|31929) pub2->wait_match() before write for 01030000.35ca0dde.00000005.00000102(8aec4b5b)
(31918|31929) pub2<-match found! before write for 01030000.35ca0dde.00000005.00000102(8aec4b5b)
(31918|31929) pub2  waiting for acks
(31918|31929) pub2  waiting for acks returned
(31918|31929) pub2<-delete_contained_entities
(31918|31939) pub12  writer id: 01030000.35ca0dde.00000009.00000102(4f1ca65a)
(31918|31939) pub12->started
(31918|31939) pub12  10% (7 samples sent)
(31918|31939) pub12  20% (13 samples sent)
(31918|31939) pub12  31% (20 samples sent)
(31918|31939) pub12  40% (26 samples sent)
(31918|31939) pub12  50% (32 samples sent)
(31918|31939) pub12  60% (39 samples sent)
(31918|31939) pub12  70% (45 samples sent)
(31918|31939) pub12  81% (52 samples sent)
(31918|31939) pub12  90% (58 samples sent)
(31918|31939) pub12  100% (64 samples sent)
(31918|31939) pub12->wait_match() before write for 01030000.35ca0dde.00000009.00000102(4f1ca65a)
(31918|31939) pub12<-match found! before write for 01030000.35ca0dde.00000009.00000102(4f1ca65a)
(31918|31939) pub12  waiting for acks
(31918|31940) pub10  writer id: 01030000.35ca0dde.0000000c.00000102(87fc292a)
(31918|31940) pub10->started
(31918|31940) pub10  10% (7 samples sent)
(31918|31940) pub10  20% (13 samples sent)
(31918|31940) pub10  31% (20 samples sent)
(31918|31940) pub10  40% (26 samples sent)
(31918|31940) pub10  50% (32 samples sent)
(31918|31940) pub10  60% (39 samples sent)
(31918|31940) pub10  70% (45 samples sent)
(31918|31940) pub10  81% (52 samples sent)
(31918|31934) pub8  writer id: 01030000.35ca0dde.0000000e.00000102(fd3c7a4a)
(31918|31934) pub8->started
(31918|31940) pub10  90% (58 samples sent)
(31918|31940) pub10  100% (64 samples sent)
(31918|31940) pub10->wait_match() before write for 01030000.35ca0dde.0000000c.00000102(87fc292a)
(31918|31934) pub8  10% (7 samples sent)
(31918|31934) pub8  20% (13 samples sent)
(31918|31934) pub8  31% (20 samples sent)
(31918|31934) pub8  40% (26 samples sent)
(31918|31934) pub8  50% (32 samples sent)
(31918|31934) pub8  60% (39 samples sent)
(31918|31934) pub8  70% (45 samples sent)
(31918|31934) pub8  81% (52 samples sent)
(31918|31934) pub8  90% (58 samples sent)
(31918|31934) pub8  100% (64 samples sent)
(31918|31934) pub8->wait_match() before write for 01030000.35ca0dde.0000000e.00000102(fd3c7a4a)
(31918|31933) pub9  writer id: 01030000.35ca0dde.0000000f.00000102(c05c53fa)
(31918|31933) pub9->started
(31918|31933) pub9  10% (7 samples sent)
(31918|31933) pub9  20% (13 samples sent)
(31918|31933) pub9  31% (20 samples sent)
(31918|31933) pub9  40% (26 samples sent)
(31918|31933) pub9  50% (32 samples sent)
(31918|31933) pub9  60% (39 samples sent)
(31918|31933) pub9  70% (45 samples sent)
(31918|31933) pub9  81% (52 samples sent)
(31918|31933) pub9  90% (58 samples sent)
(31918|31933) pub9  100% (64 samples sent)
(31918|31933) pub9->wait_match() before write for 01030000.35ca0dde.0000000f.00000102(c05c53fa)
(31918|31938) pub15  writer id: 01030000.35ca0dde.00000012.00000102(582c00c9)
(31918|31938) pub15->started
(31918|31938) pub15  10% (7 samples sent)
(31918|31938) pub15  20% (13 samples sent)
(31918|31938) pub15  31% (20 samples sent)
(31918|31938) pub15  40% (26 samples sent)
(31918|31938) pub15  50% (32 samples sent)
(31918|31938) pub15  60% (39 samples sent)
(31918|31938) pub15  70% (45 samples sent)
(31918|31938) pub15  81% (52 samples sent)
(31918|31938) pub15  90% (58 samples sent)
(31918|31938) pub15  100% (64 samples sent)
(31918|31938) pub15->wait_match() before write for 01030000.35ca0dde.00000012.00000102(582c00c9)
(31918|31940) pub10<-match found! before write for 01030000.35ca0dde.0000000c.00000102(87fc292a)
(31918|31940) pub10  waiting for acks
(31918|31934) pub8<-match found! before write for 01030000.35ca0dde.0000000e.00000102(fd3c7a4a)
(31918|31934) pub8  waiting for acks
(31918|31941) pub14  writer id: 01030000.35ca0dde.00000011.00000102(1f8c7a19)
(31918|31941) pub14->started
(31918|31941) pub14  10% (7 samples sent)
(31918|31941) pub14  20% (13 samples sent)
(31918|31941) pub14  31% (20 samples sent)
(31918|31941) pub14  40% (26 samples sent)
(31918|31941) pub14  50% (32 samples sent)
(31918|31941) pub14  60% (39 samples sent)
(31918|31941) pub14  70% (45 samples sent)
(31918|31941) pub14  81% (52 samples sent)
(31918|31941) pub14  90% (58 samples sent)
(31918|31941) pub14  100% (64 samples sent)
(31918|31941) pub14->wait_match() before write for 01030000.35ca0dde.00000011.00000102(1f8c7a19)
(31918|31927) pub0<-delete_participant
(31918|31933) pub9<-match found! before write for 01030000.35ca0dde.0000000f.00000102(c05c53fa)
(31918|31933) pub9  waiting for acks
(31918|31938) pub15<-match found! before write for 01030000.35ca0dde.00000012.00000102(582c00c9)
(31918|31938) pub15  waiting for acks
(31918|31939) pub12  waiting for acks returned
(31918|31939) pub12<-delete_contained_entities
(31918|31941) pub14<-match found! before write for 01030000.35ca0dde.00000011.00000102(1f8c7a19)
(31918|31941) pub14  waiting for acks
(31918|31926) sub condition_.notify_all
(31918|31918) sub condition_.wait returned
(31918|31918) sub check_received
(31918|31918) sub check_received returns 0
(31918|31918) <- PublisherService::end
(31918|31940) pub10  waiting for acks returned
(31918|31940) pub10<-delete_contained_entities
(31918|31934) pub8  waiting for acks returned
(31918|31934) pub8<-delete_contained_entities
(31918|31933) pub9  waiting for acks returned
(31918|31933) pub9<-delete_contained_entities
(31918|31938) pub15  waiting for acks returned
(31918|31938) pub15<-delete_contained_entities
(31918|31941) pub14  waiting for acks returned
(31918|31941) pub14<-delete_contained_entities
(31918|31931) pub6<-delete_participant
(31918|31932) pub5<-delete_participant
(31918|31930) pub3<-delete_participant
(31918|31937) pub13<-delete_participant
(31918|31942) pub11<-delete_participant
(31918|31928) pub1<-delete_participant
(31918|31936) pub4<-delete_participant
(31918|31935) pub7<-delete_participant
(31918|31929) pub2<-delete_participant
(31918|31939) pub12<-delete_participant
(31918|31940) pub10<-delete_participant
(31918|31934) pub8<-delete_participant
(31918|31933) pub9<-delete_participant
(31918|31938) pub15<-delete_participant
(31918|31941) pub14<-delete_participant
(31918|31918) <- PublisherService::~PublisherService
(31918|31918) <- Subscriber delete_contained_entities
(31918|31918) <- Subscriber delete_participant
(31918|31918) <- Subscriber::~Subscriber
(31918|31918) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl medium durable Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl high durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 31944
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 32 -s 32 -n 1024 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 31951 started at 2023-04-21 22:31:58
(31951|31951) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(31951|31951) -> Thrasher started
(31951|31951) -> Subscriber::Subscriber
(31944|31944) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(31951|31951) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(31951|31951)    Subscriber reader id: 01030000.69b105d6.00000002.00000507(60b78616)
(31951|31951) -> PublisherService::PublisherService
(31951|31951) -> PublisherService::start (32 threads)
(31951|31951) sub wait_received 0:32
(31951|31960) pub0  writer id: 01030000.69b105d6.00000003.00000102(49d19e2d)
(31951|31960) pub0->started
(31951|31960) pub0  12% (4 samples sent)
(31951|31960) pub0  21% (7 samples sent)
(31951|31960) pub0  31% (10 samples sent)
(31951|31960) pub0  40% (13 samples sent)
(31951|31960) pub0  50% (16 samples sent)
(31951|31960) pub0  62% (20 samples sent)
(31951|31960) pub0  71% (23 samples sent)
(31951|31960) pub0  81% (26 samples sent)
(31951|31960) pub0  90% (29 samples sent)
(31951|31960) pub0  100% (32 samples sent)
(31951|31960) pub0->wait_match() before write for 01030000.69b105d6.00000003.00000102(49d19e2d)
(31951|31960) pub0<-match found! before write for 01030000.69b105d6.00000003.00000102(49d19e2d)
(31951|31960) pub0  waiting for acks
(31951|31960) pub0  waiting for acks returned
(31951|31960) pub0<-delete_contained_entities
(31951|31961) pub1  writer id: 01030000.69b105d6.00000004.00000102(fbf1423d)
(31951|31961) pub1->started
(31951|31961) pub1  12% (4 samples sent)
(31951|31961) pub1  21% (7 samples sent)
(31951|31961) pub1  31% (10 samples sent)
(31951|31961) pub1  40% (13 samples sent)
(31951|31961) pub1  50% (16 samples sent)
(31951|31961) pub1  62% (20 samples sent)
(31951|31961) pub1  71% (23 samples sent)
(31951|31961) pub1  81% (26 samples sent)
(31951|31961) pub1  90% (29 samples sent)
(31951|31961) pub1  100% (32 samples sent)
(31951|31961) pub1->wait_match() before write for 01030000.69b105d6.00000004.00000102(fbf1423d)
(31951|31961) pub1<-match found! before write for 01030000.69b105d6.00000004.00000102(fbf1423d)
(31951|31961) pub1  waiting for acks
(31951|31961) pub1  waiting for acks returned
(31951|31961) pub1<-delete_contained_entities
(31951|31964) pub3  writer id: 01030000.69b105d6.00000005.00000102(c6916b8d)
(31951|31964) pub3->started
(31951|31964) pub3  12% (4 samples sent)
(31951|31964) pub3  21% (7 samples sent)
(31951|31964) pub3  31% (10 samples sent)
(31951|31964) pub3  40% (13 samples sent)
(31951|31964) pub3  50% (16 samples sent)
(31951|31964) pub3  62% (20 samples sent)
(31951|31964) pub3  71% (23 samples sent)
(31951|31964) pub3  81% (26 samples sent)
(31951|31964) pub3  90% (29 samples sent)
(31951|31964) pub3  100% (32 samples sent)
(31951|31964) pub3->wait_match() before write for 01030000.69b105d6.00000005.00000102(c6916b8d)
(31951|31964) pub3<-match found! before write for 01030000.69b105d6.00000005.00000102(c6916b8d)
(31951|31964) pub3  waiting for acks
(31951|31964) pub3  waiting for acks returned
(31951|31964) pub3<-delete_contained_entities
(31951|31969) pub8  writer id: 01030000.69b105d6.0000000a.00000102(44c1fc5c)
(31951|31969) pub8->started
(31951|31969) pub8  12% (4 samples sent)
(31951|31969) pub8  21% (7 samples sent)
(31951|31969) pub8  31% (10 samples sent)
(31951|31969) pub8  40% (13 samples sent)
(31951|31969) pub8  50% (16 samples sent)
(31951|31969) pub8  62% (20 samples sent)
(31951|31969) pub8  71% (23 samples sent)
(31951|31969) pub8  81% (26 samples sent)
(31951|31969) pub8  90% (29 samples sent)
(31951|31969) pub8  100% (32 samples sent)
(31951|31969) pub8->wait_match() before write for 01030000.69b105d6.0000000a.00000102(44c1fc5c)
(31951|31969) pub8<-match found! before write for 01030000.69b105d6.0000000a.00000102(44c1fc5c)
(31951|31969) pub8  waiting for acks
(31951|31969) pub8  waiting for acks returned
(31951|31969) pub8<-delete_contained_entities
(31951|31991) pub24  writer id: 01030000.69b105d6.00000013.00000102(293109af)
(31951|31991) pub24->started
(31951|31991) pub24  12% (4 samples sent)
(31951|31991) pub24  21% (7 samples sent)
(31951|31991) pub24  31% (10 samples sent)
(31951|31991) pub24  40% (13 samples sent)
(31951|31991) pub24  50% (16 samples sent)
(31951|31991) pub24  62% (20 samples sent)
(31951|31991) pub24  71% (23 samples sent)
(31951|31991) pub24  81% (26 samples sent)
(31951|31991) pub24  90% (29 samples sent)
(31951|31991) pub24  100% (32 samples sent)
(31951|31991) pub24->wait_match() before write for 01030000.69b105d6.00000013.00000102(293109af)
(31951|31991) pub24<-match found! before write for 01030000.69b105d6.00000013.00000102(293109af)
(31951|31991) pub24  waiting for acks
(31951|31991) pub24  waiting for acks returned
(31951|31991) pub24<-delete_contained_entities
(31951|31975) pub17  writer id: 01030000.69b105d6.00000017.00000102(dcb1af6f)
(31951|31975) pub17->started
(31951|31975) pub17  12% (4 samples sent)
(31951|31975) pub17  21% (7 samples sent)
(31951|31975) pub17  31% (10 samples sent)
(31951|31975) pub17  40% (13 samples sent)
(31951|31975) pub17  50% (16 samples sent)
(31951|31975) pub17  62% (20 samples sent)
(31951|31975) pub17  71% (23 samples sent)
(31951|31975) pub17  81% (26 samples sent)
(31951|31975) pub17  90% (29 samples sent)
(31951|31975) pub17  100% (32 samples sent)
(31951|31975) pub17->wait_match() before write for 01030000.69b105d6.00000017.00000102(dcb1af6f)
(31951|31975) pub17<-match found! before write for 01030000.69b105d6.00000017.00000102(dcb1af6f)
(31951|31975) pub17  waiting for acks
(31951|31975) pub17  waiting for acks returned
(31951|31975) pub17<-delete_contained_entities
(31951|31978) pub15  writer id: 01030000.69b105d6.00000014.00000102(9b11d5bf)
(31951|31978) pub15->started
(31951|31978) pub15  12% (4 samples sent)
(31951|31978) pub15  21% (7 samples sent)
(31951|31978) pub15  31% (10 samples sent)
(31951|31978) pub15  40% (13 samples sent)
(31951|31978) pub15  50% (16 samples sent)
(31951|31978) pub15  62% (20 samples sent)
(31951|31978) pub15  71% (23 samples sent)
(31951|31978) pub15  81% (26 samples sent)
(31951|31978) pub15  90% (29 samples sent)
(31951|31978) pub15  100% (32 samples sent)
(31951|31978) pub15->wait_match() before write for 01030000.69b105d6.00000014.00000102(9b11d5bf)
(31951|31978) pub15<-match found! before write for 01030000.69b105d6.00000014.00000102(9b11d5bf)
(31951|31978) pub15  waiting for acks
(31951|31978) pub15  waiting for acks returned
(31951|31978) pub15<-delete_contained_entities
(31951|31962) pub2  writer id: 01030000.69b105d6.00000006.00000102(8131115d)
(31951|31962) pub2->started
(31951|31962) pub2  12% (4 samples sent)
(31951|31962) pub2  21% (7 samples sent)
(31951|31962) pub2  31% (10 samples sent)
(31951|31962) pub2  40% (13 samples sent)
(31951|31962) pub2  50% (16 samples sent)
(31951|31962) pub2  62% (20 samples sent)
(31951|31962) pub2  71% (23 samples sent)
(31951|31962) pub2  81% (26 samples sent)
(31951|31962) pub2  90% (29 samples sent)
(31951|31962) pub2  100% (32 samples sent)
(31951|31962) pub2->wait_match() before write for 01030000.69b105d6.00000006.00000102(8131115d)
(31951|31962) pub2<-match found! before write for 01030000.69b105d6.00000006.00000102(8131115d)
(31951|31962) pub2  waiting for acks
(31951|31962) pub2  waiting for acks returned
(31951|31962) pub2<-delete_contained_entities
(31951|31968) pub9  writer id: 01030000.69b105d6.00000008.00000102(3e01af3c)
(31951|31968) pub9->started
(31951|31968) pub9  12% (4 samples sent)
(31951|31968) pub9  21% (7 samples sent)
(31951|31968) pub9  31% (10 samples sent)
(31951|31968) pub9  40% (13 samples sent)
(31951|31968) pub9  50% (16 samples sent)
(31951|31968) pub9  62% (20 samples sent)
(31951|31968) pub9  71% (23 samples sent)
(31951|31968) pub9  81% (26 samples sent)
(31951|31968) pub9  90% (29 samples sent)
(31951|31968) pub9  100% (32 samples sent)
(31951|31968) pub9->wait_match() before write for 01030000.69b105d6.00000008.00000102(3e01af3c)
(31951|31968) pub9<-match found! before write for 01030000.69b105d6.00000008.00000102(3e01af3c)
(31951|31968) pub9  waiting for acks
(31951|31968) pub9  waiting for acks returned
(31951|31968) pub9<-delete_contained_entities
(31951|31963) pub4  writer id: 01030000.69b105d6.0000000e.00000102(b1415a9c)
(31951|31963) pub4->started
(31951|31963) pub4  12% (4 samples sent)
(31951|31963) pub4  21% (7 samples sent)
(31951|31963) pub4  31% (10 samples sent)
(31951|31963) pub4  40% (13 samples sent)
(31951|31963) pub4  50% (16 samples sent)
(31951|31963) pub4  62% (20 samples sent)
(31951|31963) pub4  71% (23 samples sent)
(31951|31963) pub4  81% (26 samples sent)
(31951|31963) pub4  90% (29 samples sent)
(31951|31963) pub4  100% (32 samples sent)
(31951|31963) pub4->wait_match() before write for 01030000.69b105d6.0000000e.00000102(b1415a9c)
(31951|31963) pub4<-match found! before write for 01030000.69b105d6.0000000e.00000102(b1415a9c)
(31951|31963) pub4  waiting for acks
(31951|31963) pub4  waiting for acks returned
(31951|31963) pub4<-delete_contained_entities
(31951|31966) pub5  writer id: 01030000.69b105d6.0000000f.00000102(8c21732c)
(31951|31966) pub5->started
(31951|31966) pub5  12% (4 samples sent)
(31951|31966) pub5  21% (7 samples sent)
(31951|31966) pub5  31% (10 samples sent)
(31951|31966) pub5  40% (13 samples sent)
(31951|31966) pub5  50% (16 samples sent)
(31951|31966) pub5  62% (20 samples sent)
(31951|31966) pub5  71% (23 samples sent)
(31951|31966) pub5  81% (26 samples sent)
(31951|31966) pub5  90% (29 samples sent)
(31951|31966) pub5  100% (32 samples sent)
(31951|31966) pub5->wait_match() before write for 01030000.69b105d6.0000000f.00000102(8c21732c)
(31951|31966) pub5<-match found! before write for 01030000.69b105d6.0000000f.00000102(8c21732c)
(31951|31966) pub5  waiting for acks
(31951|31966) pub5  waiting for acks returned
(31951|31966) pub5<-delete_contained_entities
(31951|31971) pub10  writer id: 01030000.69b105d6.00000009.00000102(0361868c)
(31951|31971) pub10->started
(31951|31971) pub10  12% (4 samples sent)
(31951|31971) pub10  21% (7 samples sent)
(31951|31971) pub10  31% (10 samples sent)
(31951|31971) pub10  40% (13 samples sent)
(31951|31971) pub10  50% (16 samples sent)
(31951|31971) pub10  62% (20 samples sent)
(31951|31971) pub10  71% (23 samples sent)
(31951|31971) pub10  81% (26 samples sent)
(31951|31971) pub10  90% (29 samples sent)
(31951|31971) pub10  100% (32 samples sent)
(31951|31971) pub10->wait_match() before write for 01030000.69b105d6.00000009.00000102(0361868c)
(31951|31971) pub10<-match found! before write for 01030000.69b105d6.00000009.00000102(0361868c)
(31951|31971) pub10  waiting for acks
(31951|31971) pub10  waiting for acks returned
(31951|31971) pub10<-delete_contained_entities
(31951|31980) pub16  writer id: 01030000.69b105d6.00000016.00000102(e1d186df)
(31951|31980) pub16->started
(31951|31980) pub16  12% (4 samples sent)
(31951|31980) pub16  21% (7 samples sent)
(31951|31980) pub16  31% (10 samples sent)
(31951|31980) pub16  40% (13 samples sent)
(31951|31980) pub16  50% (16 samples sent)
(31951|31980) pub16  62% (20 samples sent)
(31951|31980) pub16  71% (23 samples sent)
(31951|31980) pub16  81% (26 samples sent)
(31951|31980) pub16  90% (29 samples sent)
(31951|31980) pub16  100% (32 samples sent)
(31951|31980) pub16->wait_match() before write for 01030000.69b105d6.00000016.00000102(e1d186df)
(31951|31980) pub16<-match found! before write for 01030000.69b105d6.00000016.00000102(e1d186df)
(31951|31980) pub16  waiting for acks
(31951|31980) pub16  waiting for acks returned
(31951|31980) pub16<-delete_contained_entities
(31951|31965) pub6  writer id: 01030000.69b105d6.00000007.00000102(bc5138ed)
(31951|31965) pub6->started
(31951|31965) pub6  12% (4 samples sent)
(31951|31965) pub6  21% (7 samples sent)
(31951|31965) pub6  31% (10 samples sent)
(31951|31965) pub6  40% (13 samples sent)
(31951|31965) pub6  50% (16 samples sent)
(31951|31965) pub6  62% (20 samples sent)
(31951|31965) pub6  71% (23 samples sent)
(31951|31965) pub6  81% (26 samples sent)
(31951|31965) pub6  90% (29 samples sent)
(31951|31965) pub6  100% (32 samples sent)
(31951|31965) pub6->wait_match() before write for 01030000.69b105d6.00000007.00000102(bc5138ed)
(31951|31965) pub6<-match found! before write for 01030000.69b105d6.00000007.00000102(bc5138ed)
(31951|31965) pub6  waiting for acks
(31951|31965) pub6  waiting for acks returned
(31951|31965) pub6<-delete_contained_entities
(31951|31973) pub13  writer id: 01030000.69b105d6.00000012.00000102(1451201f)
(31951|31973) pub13->started
(31951|31973) pub13  12% (4 samples sent)
(31951|31973) pub13  21% (7 samples sent)
(31951|31973) pub13  31% (10 samples sent)
(31951|31973) pub13  40% (13 samples sent)
(31951|31973) pub13  50% (16 samples sent)
(31951|31973) pub13  62% (20 samples sent)
(31951|31973) pub13  71% (23 samples sent)
(31951|31973) pub13  81% (26 samples sent)
(31951|31973) pub13  90% (29 samples sent)
(31951|31973) pub13  100% (32 samples sent)
(31951|31973) pub13->wait_match() before write for 01030000.69b105d6.00000012.00000102(1451201f)
(31951|31973) pub13<-match found! before write for 01030000.69b105d6.00000012.00000102(1451201f)
(31951|31973) pub13  waiting for acks
(31951|31973) pub13  waiting for acks returned
(31951|31973) pub13<-delete_contained_entities
(31951|31988) pub22  writer id: 01030000.69b105d6.0000001a.00000102(24216bde)
(31951|31988) pub22->started
(31951|31988) pub22  12% (4 samples sent)
(31951|31988) pub22  21% (7 samples sent)
(31951|31988) pub22  31% (10 samples sent)
(31951|31988) pub22  40% (13 samples sent)
(31951|31988) pub22  50% (16 samples sent)
(31951|31988) pub22  62% (20 samples sent)
(31951|31988) pub22  71% (23 samples sent)
(31951|31988) pub22  81% (26 samples sent)
(31951|31988) pub22  90% (29 samples sent)
(31951|31988) pub22  100% (32 samples sent)
(31951|31988) pub22->wait_match() before write for 01030000.69b105d6.0000001a.00000102(24216bde)
(31951|31988) pub22<-match found! before write for 01030000.69b105d6.0000001a.00000102(24216bde)
(31951|31988) pub22  waiting for acks
(31951|31988) pub22  waiting for acks returned
(31951|31988) pub22<-delete_contained_entities
(31951|31972) pub12  writer id: 01030000.69b105d6.00000010.00000102(6e91737f)
(31951|31972) pub12->started
(31951|31972) pub12  12% (4 samples sent)
(31951|31972) pub12  21% (7 samples sent)
(31951|31972) pub12  31% (10 samples sent)
(31951|31972) pub12  40% (13 samples sent)
(31951|31972) pub12  50% (16 samples sent)
(31951|31972) pub12  62% (20 samples sent)
(31951|31972) pub12  71% (23 samples sent)
(31951|31972) pub12  81% (26 samples sent)
(31951|31972) pub12  90% (29 samples sent)
(31951|31972) pub12  100% (32 samples sent)
(31951|31972) pub12->wait_match() before write for 01030000.69b105d6.00000010.00000102(6e91737f)
(31951|31972) pub12<-match found! before write for 01030000.69b105d6.00000010.00000102(6e91737f)
(31951|31972) pub12  waiting for acks
(31951|31972) pub12  waiting for acks returned
(31951|31972) pub12<-delete_contained_entities
(31951|31977) pub19  writer id: 01030000.69b105d6.00000015.00000102(a671fc0f)
(31951|31977) pub19->started
(31951|31977) pub19  12% (4 samples sent)
(31951|31977) pub19  21% (7 samples sent)
(31951|31977) pub19  31% (10 samples sent)
(31951|31977) pub19  40% (13 samples sent)
(31951|31977) pub19  50% (16 samples sent)
(31951|31977) pub19  62% (20 samples sent)
(31951|31977) pub19  71% (23 samples sent)
(31951|31977) pub19  81% (26 samples sent)
(31951|31977) pub19  90% (29 samples sent)
(31951|31977) pub19  100% (32 samples sent)
(31951|31977) pub19->wait_match() before write for 01030000.69b105d6.00000015.00000102(a671fc0f)
(31951|31977) pub19<-match found! before write for 01030000.69b105d6.00000015.00000102(a671fc0f)
(31951|31977) pub19  waiting for acks
(31951|31977) pub19  waiting for acks returned
(31951|31977) pub19<-delete_contained_entities
(31951|31967) pub7  writer id: 01030000.69b105d6.0000000b.00000102(79a1d5ec)
(31951|31967) pub7->started
(31951|31967) pub7  12% (4 samples sent)
(31951|31967) pub7  21% (7 samples sent)
(31951|31967) pub7  31% (10 samples sent)
(31951|31967) pub7  40% (13 samples sent)
(31951|31967) pub7  50% (16 samples sent)
(31951|31967) pub7  62% (20 samples sent)
(31951|31967) pub7  71% (23 samples sent)
(31951|31967) pub7  81% (26 samples sent)
(31951|31967) pub7  90% (29 samples sent)
(31951|31967) pub7  100% (32 samples sent)
(31951|31967) pub7->wait_match() before write for 01030000.69b105d6.0000000b.00000102(79a1d5ec)
(31951|31967) pub7<-match found! before write for 01030000.69b105d6.0000000b.00000102(79a1d5ec)
(31951|31967) pub7  waiting for acks
(31951|31967) pub7  waiting for acks returned
(31951|31967) pub7<-delete_contained_entities
(31951|31990) pub23  writer id: 01030000.69b105d6.0000001b.00000102(1941426e)
(31951|31990) pub23->started
(31951|31990) pub23  12% (4 samples sent)
(31951|31990) pub23  21% (7 samples sent)
(31951|31990) pub23  31% (10 samples sent)
(31951|31990) pub23  40% (13 samples sent)
(31951|31990) pub23  50% (16 samples sent)
(31951|31990) pub23  62% (20 samples sent)
(31951|31990) pub23  71% (23 samples sent)
(31951|31990) pub23  81% (26 samples sent)
(31951|31990) pub23  90% (29 samples sent)
(31951|31990) pub23  100% (32 samples sent)
(31951|31990) pub23->wait_match() before write for 01030000.69b105d6.0000001b.00000102(1941426e)
(31951|31990) pub23<-match found! before write for 01030000.69b105d6.0000001b.00000102(1941426e)
(31951|31990) pub23  waiting for acks
(31951|31990) pub23  waiting for acks returned
(31951|31990) pub23<-delete_contained_entities
(31951|31974) pub25  writer id: 01030000.69b105d6.0000001c.00000102(ab619e7e)
(31951|31974) pub25->started
(31951|31974) pub25  12% (4 samples sent)
(31951|31974) pub25  21% (7 samples sent)
(31951|31974) pub25  31% (10 samples sent)
(31951|31974) pub25  40% (13 samples sent)
(31951|31974) pub25  50% (16 samples sent)
(31951|31974) pub25  62% (20 samples sent)
(31951|31974) pub25  71% (23 samples sent)
(31951|31974) pub25  81% (26 samples sent)
(31951|31974) pub25  90% (29 samples sent)
(31951|31974) pub25  100% (32 samples sent)
(31951|31974) pub25->wait_match() before write for 01030000.69b105d6.0000001c.00000102(ab619e7e)
(31951|31974) pub25<-match found! before write for 01030000.69b105d6.0000001c.00000102(ab619e7e)
(31951|31974) pub25  waiting for acks
(31951|31974) pub25  waiting for acks returned
(31951|31974) pub25<-delete_contained_entities
(31951|31970) pub11  writer id: 01030000.69b105d6.00000011.00000102(53f15acf)
(31951|31970) pub11->started
(31951|31970) pub11  12% (4 samples sent)
(31951|31970) pub11  21% (7 samples sent)
(31951|31970) pub11  31% (10 samples sent)
(31951|31970) pub11  40% (13 samples sent)
(31951|31970) pub11  50% (16 samples sent)
(31951|31970) pub11  62% (20 samples sent)
(31951|31970) pub11  71% (23 samples sent)
(31951|31970) pub11  81% (26 samples sent)
(31951|31970) pub11  90% (29 samples sent)
(31951|31970) pub11  100% (32 samples sent)
(31951|31970) pub11->wait_match() before write for 01030000.69b105d6.00000011.00000102(53f15acf)
(31951|31970) pub11<-match found! before write for 01030000.69b105d6.00000011.00000102(53f15acf)
(31951|31970) pub11  waiting for acks
(31951|31970) pub11  waiting for acks returned
(31951|31970) pub11<-delete_contained_entities
(31951|31985) pub20  writer id: 01030000.69b105d6.00000018.00000102(5ee138be)
(31951|31985) pub20->started
(31951|31985) pub20  12% (4 samples sent)
(31951|31985) pub20  21% (7 samples sent)
(31951|31985) pub20  31% (10 samples sent)
(31951|31985) pub20  40% (13 samples sent)
(31951|31985) pub20  50% (16 samples sent)
(31951|31985) pub20  62% (20 samples sent)
(31951|31985) pub20  71% (23 samples sent)
(31951|31985) pub20  81% (26 samples sent)
(31951|31985) pub20  90% (29 samples sent)
(31951|31985) pub20  100% (32 samples sent)
(31951|31985) pub20->wait_match() before write for 01030000.69b105d6.00000018.00000102(5ee138be)
(31951|31985) pub20<-match found! before write for 01030000.69b105d6.00000018.00000102(5ee138be)
(31951|31985) pub20  waiting for acks
(31951|31985) pub20  waiting for acks returned
(31951|31985) pub20<-delete_contained_entities
(31951|31976) pub14  writer id: 01030000.69b105d6.0000000c.00000102(cb8109fc)
(31951|31976) pub14->started
(31951|31976) pub14  12% (4 samples sent)
(31951|31976) pub14  21% (7 samples sent)
(31951|31976) pub14  31% (10 samples sent)
(31951|31976) pub14  40% (13 samples sent)
(31951|31976) pub14  50% (16 samples sent)
(31951|31976) pub14  62% (20 samples sent)
(31951|31976) pub14  71% (23 samples sent)
(31951|31976) pub14  81% (26 samples sent)
(31951|31976) pub14  90% (29 samples sent)
(31951|31976) pub14  100% (32 samples sent)
(31951|31976) pub14->wait_match() before write for 01030000.69b105d6.0000000c.00000102(cb8109fc)
(31951|31976) pub14<-match found! before write for 01030000.69b105d6.0000000c.00000102(cb8109fc)
(31951|31976) pub14  waiting for acks
(31951|31976) pub14  waiting for acks returned
(31951|31976) pub14<-delete_contained_entities
(31951|31979) pub31  writer id: 01030000.69b105d6.00000022.00000102(b5709899)
(31951|31979) pub31->started
(31951|31979) pub31  12% (4 samples sent)
(31951|31979) pub31  21% (7 samples sent)
(31951|31979) pub31  31% (10 samples sent)
(31951|31979) pub31  40% (13 samples sent)
(31951|31979) pub31  50% (16 samples sent)
(31951|31979) pub31  62% (20 samples sent)
(31951|31979) pub31  71% (23 samples sent)
(31951|31979) pub31  81% (26 samples sent)
(31951|31979) pub31  90% (29 samples sent)
(31951|31979) pub31  100% (32 samples sent)
(31951|31979) pub31->wait_match() before write for 01030000.69b105d6.00000022.00000102(b5709899)
(31951|31979) pub31<-match found! before write for 01030000.69b105d6.00000022.00000102(b5709899)
(31951|31979) pub31  waiting for acks
(31951|31979) pub31  waiting for acks returned
(31951|31979) pub31<-delete_contained_entities
(31951|31982) pub18  writer id: 01030000.69b105d6.0000000d.00000102(f6e1204c)
(31951|31982) pub18->started
(31951|31982) pub18  12% (4 samples sent)
(31951|31982) pub18  21% (7 samples sent)
(31951|31982) pub18  31% (10 samples sent)
(31951|31982) pub18  40% (13 samples sent)
(31951|31982) pub18  50% (16 samples sent)
(31951|31982) pub18  62% (20 samples sent)
(31951|31982) pub18  71% (23 samples sent)
(31951|31982) pub18  81% (26 samples sent)
(31951|31982) pub18  90% (29 samples sent)
(31951|31982) pub18  100% (32 samples sent)
(31951|31982) pub18->wait_match() before write for 01030000.69b105d6.0000000d.00000102(f6e1204c)
(31951|31982) pub18<-match found! before write for 01030000.69b105d6.0000000d.00000102(f6e1204c)
(31951|31982) pub18  waiting for acks
(31951|31982) pub18  waiting for acks returned
(31951|31982) pub18<-delete_contained_entities
(31951|31989) pub30  writer id: 01030000.69b105d6.00000021.00000102(f2d0e249)
(31951|31989) pub30->started
(31951|31989) pub30  12% (4 samples sent)
(31951|31989) pub30  21% (7 samples sent)
(31951|31989) pub30  31% (10 samples sent)
(31951|31989) pub30  40% (13 samples sent)
(31951|31989) pub30  50% (16 samples sent)
(31951|31989) pub30  62% (20 samples sent)
(31951|31989) pub30  71% (23 samples sent)
(31951|31989) pub30  81% (26 samples sent)
(31951|31989) pub30  90% (29 samples sent)
(31951|31989) pub30  100% (32 samples sent)
(31951|31989) pub30->wait_match() before write for 01030000.69b105d6.00000021.00000102(f2d0e249)
(31951|31989) pub30<-match found! before write for 01030000.69b105d6.00000021.00000102(f2d0e249)
(31951|31989) pub30  waiting for acks
(31951|31989) pub30  waiting for acks returned
(31951|31989) pub30<-delete_contained_entities
(31951|31987) pub21  writer id: 01030000.69b105d6.00000019.00000102(6381110e)
(31951|31987) pub21->started
(31951|31987) pub21  12% (4 samples sent)
(31951|31987) pub21  21% (7 samples sent)
(31951|31987) pub21  31% (10 samples sent)
(31951|31987) pub21  40% (13 samples sent)
(31951|31987) pub21  50% (16 samples sent)
(31951|31987) pub21  62% (20 samples sent)
(31951|31987) pub21  71% (23 samples sent)
(31951|31987) pub21  81% (26 samples sent)
(31951|31987) pub21  90% (29 samples sent)
(31951|31987) pub21  100% (32 samples sent)
(31951|31987) pub21->wait_match() before write for 01030000.69b105d6.00000019.00000102(6381110e)
(31951|31987) pub21<-match found! before write for 01030000.69b105d6.00000019.00000102(6381110e)
(31951|31987) pub21  waiting for acks
(31951|31987) pub21  waiting for acks returned
(31951|31987) pub21<-delete_contained_entities
(31951|31986) pub29  writer id: 01030000.69b105d6.00000020.00000102(cfb0cbf9)
(31951|31986) pub29->started
(31951|31986) pub29  12% (4 samples sent)
(31951|31986) pub29  21% (7 samples sent)
(31951|31986) pub29  31% (10 samples sent)
(31951|31986) pub29  40% (13 samples sent)
(31951|31986) pub29  50% (16 samples sent)
(31951|31986) pub29  62% (20 samples sent)
(31951|31986) pub29  71% (23 samples sent)
(31951|31986) pub29  81% (26 samples sent)
(31951|31986) pub29  90% (29 samples sent)
(31951|31986) pub29  100% (32 samples sent)
(31951|31986) pub29->wait_match() before write for 01030000.69b105d6.00000020.00000102(cfb0cbf9)
(31951|31986) pub29<-match found! before write for 01030000.69b105d6.00000020.00000102(cfb0cbf9)
(31951|31986) pub29  waiting for acks
(31951|31986) pub29  waiting for acks returned
(31951|31986) pub29<-delete_contained_entities
(31951|31983) pub27  writer id: 01030000.69b105d6.0000001e.00000102(d1a1cd1e)
(31951|31983) pub27->started
(31951|31983) pub27  12% (4 samples sent)
(31951|31983) pub27  21% (7 samples sent)
(31951|31983) pub27  31% (10 samples sent)
(31951|31983) pub27  40% (13 samples sent)
(31951|31983) pub27  50% (16 samples sent)
(31951|31983) pub27  62% (20 samples sent)
(31951|31983) pub27  71% (23 samples sent)
(31951|31983) pub27  81% (26 samples sent)
(31951|31983) pub27  90% (29 samples sent)
(31951|31983) pub27  100% (32 samples sent)
(31951|31983) pub27->wait_match() before write for 01030000.69b105d6.0000001e.00000102(d1a1cd1e)
(31951|31983) pub27<-match found! before write for 01030000.69b105d6.0000001e.00000102(d1a1cd1e)
(31951|31983) pub27  waiting for acks
(31951|31983) pub27  waiting for acks returned
(31951|31983) pub27<-delete_contained_entities
(31951|31981) pub26  writer id: 01030000.69b105d6.0000001d.00000102(9601b7ce)
(31951|31981) pub26->started
(31951|31981) pub26  12% (4 samples sent)
(31951|31981) pub26  21% (7 samples sent)
(31951|31981) pub26  31% (10 samples sent)
(31951|31981) pub26  40% (13 samples sent)
(31951|31981) pub26  50% (16 samples sent)
(31951|31981) pub26  62% (20 samples sent)
(31951|31981) pub26  71% (23 samples sent)
(31951|31981) pub26  81% (26 samples sent)
(31951|31981) pub26  90% (29 samples sent)
(31951|31981) pub26  100% (32 samples sent)
(31951|31981) pub26->wait_match() before write for 01030000.69b105d6.0000001d.00000102(9601b7ce)
(31951|31981) pub26<-match found! before write for 01030000.69b105d6.0000001d.00000102(9601b7ce)
(31951|31981) pub26  waiting for acks
(31951|31981) pub26  waiting for acks returned
(31951|31981) pub26<-delete_contained_entities
(31951|31984) pub28  writer id: 01030000.69b105d6.0000001f.00000102(ecc1e4ae)
(31951|31984) pub28->started
(31951|31984) pub28  12% (4 samples sent)
(31951|31984) pub28  21% (7 samples sent)
(31951|31984) pub28  31% (10 samples sent)
(31951|31984) pub28  40% (13 samples sent)
(31951|31984) pub28  50% (16 samples sent)
(31951|31984) pub28  62% (20 samples sent)
(31951|31984) pub28  71% (23 samples sent)
(31951|31984) pub28  81% (26 samples sent)
(31951|31984) pub28  90% (29 samples sent)
(31951|31984) pub28  100% (32 samples sent)
(31951|31984) pub28->wait_match() before write for 01030000.69b105d6.0000001f.00000102(ecc1e4ae)
(31951|31959) sub condition_.notify_all
(31951|31951) sub condition_.wait returned
(31951|31951) sub check_received
(31951|31951) sub check_received returns 0
(31951|31951) <- PublisherService::end
(31951|31984) pub28<-match found! before write for 01030000.69b105d6.0000001f.00000102(ecc1e4ae)
(31951|31984) pub28  waiting for acks
(31951|31984) pub28  waiting for acks returned
(31951|31984) pub28<-delete_contained_entities
(31951|31960) pub0<-delete_participant
(31951|31961) pub1<-delete_participant
(31951|31964) pub3<-delete_participant
(31951|31969) pub8<-delete_participant
(31951|31991) pub24<-delete_participant
(31951|31975) pub17<-delete_participant
(31951|31978) pub15<-delete_participant
(31951|31962) pub2<-delete_participant
(31951|31968) pub9<-delete_participant
(31951|31963) pub4<-delete_participant
(31951|31966) pub5<-delete_participant
(31951|31971) pub10<-delete_participant
(31951|31980) pub16<-delete_participant
(31951|31965) pub6<-delete_participant
(31951|31973) pub13<-delete_participant
(31951|31988) pub22<-delete_participant
(31951|31972) pub12<-delete_participant
(31951|31977) pub19<-delete_participant
(31951|31967) pub7<-delete_participant
(31951|31990) pub23<-delete_participant
(31951|31974) pub25<-delete_participant
(31951|31970) pub11<-delete_participant
(31951|31985) pub20<-delete_participant
(31951|31976) pub14<-delete_participant
(31951|31979) pub31<-delete_participant
(31951|31982) pub18<-delete_participant
(31951|31989) pub30<-delete_participant
(31951|31987) pub21<-delete_participant
(31951|31986) pub29<-delete_participant
(31951|31983) pub27<-delete_participant
(31951|31981) pub26<-delete_participant
(31951|31984) pub28<-delete_participant
(31951|31951) <- PublisherService::~PublisherService
(31951|31951) <- Subscriber delete_contained_entities
(31951|31951) <- Subscriber delete_participant
(31951|31951) <- Subscriber::~Subscriber
(31951|31951) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl high durable Time:2s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl aggressive durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 31993
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 64 -s 16 -n 1024 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 32000 started at 2023-04-21 22:32:00
(32000|32000) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(32000|32000) -> Thrasher started
(32000|32000) -> Subscriber::Subscriber
(31993|31993) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(32000|32000) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(32000|32000)    Subscriber reader id: 01030000.45d8023b.00000002.00000507(7fb0c517)
(32000|32000) -> PublisherService::PublisherService
(32000|32000) -> PublisherService::start (64 threads)
(32000|32000) sub wait_received 0:64
(32000|32009) pub0  writer id: 01030000.45d8023b.00000003.00000102(56d6dd2c)
(32000|32009) pub0->started
(32000|32009) pub0  12% (2 samples sent)
(32000|32009) pub0  25% (4 samples sent)
(32000|32009) pub0  31% (5 samples sent)
(32000|32009) pub0  43% (7 samples sent)
(32000|32009) pub0  50% (8 samples sent)
(32000|32009) pub0  62% (10 samples sent)
(32000|32009) pub0  75% (12 samples sent)
(32000|32009) pub0  81% (13 samples sent)
(32000|32009) pub0  93% (15 samples sent)
(32000|32009) pub0  100% (16 samples sent)
(32000|32009) pub0->wait_match() before write for 01030000.45d8023b.00000003.00000102(56d6dd2c)
(32000|32009) pub0<-match found! before write for 01030000.45d8023b.00000003.00000102(56d6dd2c)
(32000|32009) pub0  waiting for acks
(32000|32009) pub0  waiting for acks returned
(32000|32009) pub0<-delete_contained_entities
(32000|32011) pub3  writer id: 01030000.45d8023b.00000006.00000102(9e36525c)
(32000|32011) pub3->started
(32000|32011) pub3  12% (2 samples sent)
(32000|32011) pub3  25% (4 samples sent)
(32000|32011) pub3  31% (5 samples sent)
(32000|32011) pub3  43% (7 samples sent)
(32000|32011) pub3  50% (8 samples sent)
(32000|32011) pub3  62% (10 samples sent)
(32000|32011) pub3  75% (12 samples sent)
(32000|32011) pub3  81% (13 samples sent)
(32000|32011) pub3  93% (15 samples sent)
(32000|32011) pub3  100% (16 samples sent)
(32000|32011) pub3->wait_match() before write for 01030000.45d8023b.00000006.00000102(9e36525c)
(32000|32011) pub3<-match found! before write for 01030000.45d8023b.00000006.00000102(9e36525c)
(32000|32011) pub3  waiting for acks
(32000|32011) pub3  waiting for acks returned
(32000|32011) pub3<-delete_contained_entities
(32000|32010) pub1  writer id: 01030000.45d8023b.00000008.00000102(2106ec3d)
(32000|32010) pub1->started
(32000|32010) pub1  12% (2 samples sent)
(32000|32010) pub1  25% (4 samples sent)
(32000|32010) pub1  31% (5 samples sent)
(32000|32010) pub1  43% (7 samples sent)
(32000|32010) pub1  50% (8 samples sent)
(32000|32010) pub1  62% (10 samples sent)
(32000|32010) pub1  75% (12 samples sent)
(32000|32010) pub1  81% (13 samples sent)
(32000|32010) pub1  93% (15 samples sent)
(32000|32010) pub1  100% (16 samples sent)
(32000|32010) pub1->wait_match() before write for 01030000.45d8023b.00000008.00000102(2106ec3d)
(32000|32010) pub1<-match found! before write for 01030000.45d8023b.00000008.00000102(2106ec3d)
(32000|32010) pub1  waiting for acks
(32000|32010) pub1  waiting for acks returned
(32000|32010) pub1<-delete_contained_entities
(32000|32015) pub4  writer id: 01030000.45d8023b.00000007.00000102(a3567bec)
(32000|32015) pub4->started
(32000|32015) pub4  12% (2 samples sent)
(32000|32015) pub4  25% (4 samples sent)
(32000|32015) pub4  31% (5 samples sent)
(32000|32015) pub4  43% (7 samples sent)
(32000|32015) pub4  50% (8 samples sent)
(32000|32015) pub4  62% (10 samples sent)
(32000|32015) pub4  75% (12 samples sent)
(32000|32015) pub4  81% (13 samples sent)
(32000|32015) pub4  93% (15 samples sent)
(32000|32015) pub4  100% (16 samples sent)
(32000|32015) pub4->wait_match() before write for 01030000.45d8023b.00000007.00000102(a3567bec)
(32000|32015) pub4<-match found! before write for 01030000.45d8023b.00000007.00000102(a3567bec)
(32000|32015) pub4  waiting for acks
(32000|32015) pub4  waiting for acks returned
(32000|32015) pub4<-delete_contained_entities
(32000|32012) pub5  writer id: 01030000.45d8023b.00000005.00000102(d996288c)
(32000|32012) pub5->started
(32000|32012) pub5  12% (2 samples sent)
(32000|32012) pub5  25% (4 samples sent)
(32000|32012) pub5  31% (5 samples sent)
(32000|32012) pub5  43% (7 samples sent)
(32000|32012) pub5  50% (8 samples sent)
(32000|32012) pub5  62% (10 samples sent)
(32000|32012) pub5  75% (12 samples sent)
(32000|32012) pub5  81% (13 samples sent)
(32000|32012) pub5  93% (15 samples sent)
(32000|32012) pub5  100% (16 samples sent)
(32000|32012) pub5->wait_match() before write for 01030000.45d8023b.00000005.00000102(d996288c)
(32000|32012) pub5<-match found! before write for 01030000.45d8023b.00000005.00000102(d996288c)
(32000|32012) pub5  waiting for acks
(32000|32012) pub5  waiting for acks returned
(32000|32012) pub5<-delete_contained_entities
(32000|32025) pub13  writer id: 01030000.45d8023b.00000011.00000102(4cf619ce)
(32000|32025) pub13->started
(32000|32025) pub13  12% (2 samples sent)
(32000|32025) pub13  25% (4 samples sent)
(32000|32025) pub13  31% (5 samples sent)
(32000|32025) pub13  43% (7 samples sent)
(32000|32025) pub13  50% (8 samples sent)
(32000|32025) pub13  62% (10 samples sent)
(32000|32025) pub13  75% (12 samples sent)
(32000|32025) pub13  81% (13 samples sent)
(32000|32025) pub13  93% (15 samples sent)
(32000|32025) pub13  100% (16 samples sent)
(32000|32025) pub13->wait_match() before write for 01030000.45d8023b.00000011.00000102(4cf619ce)
(32000|32025) pub13<-match found! before write for 01030000.45d8023b.00000011.00000102(4cf619ce)
(32000|32025) pub13  waiting for acks
(32000|32025) pub13  waiting for acks returned
(32000|32025) pub13<-delete_contained_entities
(32000|32021) pub12  writer id: 01030000.45d8023b.00000012.00000102(0b56631e)
(32000|32021) pub12->started
(32000|32021) pub12  12% (2 samples sent)
(32000|32021) pub12  25% (4 samples sent)
(32000|32021) pub12  31% (5 samples sent)
(32000|32021) pub12  43% (7 samples sent)
(32000|32021) pub12  50% (8 samples sent)
(32000|32021) pub12  62% (10 samples sent)
(32000|32021) pub12  75% (12 samples sent)
(32000|32021) pub12  81% (13 samples sent)
(32000|32021) pub12  93% (15 samples sent)
(32000|32021) pub12  100% (16 samples sent)
(32000|32021) pub12->wait_match() before write for 01030000.45d8023b.00000012.00000102(0b56631e)
(32000|32021) pub12<-match found! before write for 01030000.45d8023b.00000012.00000102(0b56631e)
(32000|32021) pub12  waiting for acks
(32000|32021) pub12  waiting for acks returned
(32000|32021) pub12<-delete_contained_entities
(32000|32013) pub2  writer id: 01030000.45d8023b.00000004.00000102(e4f6013c)
(32000|32013) pub2->started
(32000|32013) pub2  12% (2 samples sent)
(32000|32013) pub2  25% (4 samples sent)
(32000|32013) pub2  31% (5 samples sent)
(32000|32013) pub2  43% (7 samples sent)
(32000|32013) pub2  50% (8 samples sent)
(32000|32013) pub2  62% (10 samples sent)
(32000|32013) pub2  75% (12 samples sent)
(32000|32013) pub2  81% (13 samples sent)
(32000|32013) pub2  93% (15 samples sent)
(32000|32013) pub2  100% (16 samples sent)
(32000|32013) pub2->wait_match() before write for 01030000.45d8023b.00000004.00000102(e4f6013c)
(32000|32013) pub2<-match found! before write for 01030000.45d8023b.00000004.00000102(e4f6013c)
(32000|32013) pub2  waiting for acks
(32000|32013) pub2  waiting for acks returned
(32000|32013) pub2<-delete_contained_entities
(32000|32037) pub25  writer id: 01030000.45d8023b.00000017.00000102(c3b6ec6e)
(32000|32037) pub25->started
(32000|32037) pub25  12% (2 samples sent)
(32000|32037) pub25  25% (4 samples sent)
(32000|32037) pub25  31% (5 samples sent)
(32000|32037) pub25  43% (7 samples sent)
(32000|32037) pub25  50% (8 samples sent)
(32000|32037) pub25  62% (10 samples sent)
(32000|32037) pub25  75% (12 samples sent)
(32000|32037) pub25  81% (13 samples sent)
(32000|32037) pub25  93% (15 samples sent)
(32000|32037) pub25  100% (16 samples sent)
(32000|32037) pub25->wait_match() before write for 01030000.45d8023b.00000017.00000102(c3b6ec6e)
(32000|32037) pub25<-match found! before write for 01030000.45d8023b.00000017.00000102(c3b6ec6e)
(32000|32037) pub25  waiting for acks
(32000|32037) pub25  waiting for acks returned
(32000|32037) pub25<-delete_contained_entities
(32000|32031) pub7  writer id: 01030000.45d8023b.00000009.00000102(1c66c58d)
(32000|32031) pub7->started
(32000|32031) pub7  12% (2 samples sent)
(32000|32031) pub7  25% (4 samples sent)
(32000|32031) pub7  31% (5 samples sent)
(32000|32031) pub7  43% (7 samples sent)
(32000|32031) pub7  50% (8 samples sent)
(32000|32031) pub7  62% (10 samples sent)
(32000|32031) pub7  75% (12 samples sent)
(32000|32031) pub7  81% (13 samples sent)
(32000|32031) pub7  93% (15 samples sent)
(32000|32031) pub7  100% (16 samples sent)
(32000|32031) pub7->wait_match() before write for 01030000.45d8023b.00000009.00000102(1c66c58d)
(32000|32031) pub7<-match found! before write for 01030000.45d8023b.00000009.00000102(1c66c58d)
(32000|32031) pub7  waiting for acks
(32000|32031) pub7  waiting for acks returned
(32000|32031) pub7<-delete_contained_entities
(32000|32023) pub14  writer id: 01030000.45d8023b.0000000f.00000102(9326302d)
(32000|32023) pub14->started
(32000|32023) pub14  12% (2 samples sent)
(32000|32023) pub14  25% (4 samples sent)
(32000|32023) pub14  31% (5 samples sent)
(32000|32023) pub14  43% (7 samples sent)
(32000|32023) pub14  50% (8 samples sent)
(32000|32023) pub14  62% (10 samples sent)
(32000|32023) pub14  75% (12 samples sent)
(32000|32023) pub14  81% (13 samples sent)
(32000|32023) pub14  93% (15 samples sent)
(32000|32023) pub14  100% (16 samples sent)
(32000|32023) pub14->wait_match() before write for 01030000.45d8023b.0000000f.00000102(9326302d)
(32000|32023) pub14<-match found! before write for 01030000.45d8023b.0000000f.00000102(9326302d)
(32000|32023) pub14  waiting for acks
(32000|32023) pub14  waiting for acks returned
(32000|32023) pub14<-delete_contained_entities
(32000|32027) pub18  writer id: 01030000.45d8023b.0000000e.00000102(ae46199d)
(32000|32027) pub18->started
(32000|32027) pub18  12% (2 samples sent)
(32000|32027) pub18  25% (4 samples sent)
(32000|32027) pub18  31% (5 samples sent)
(32000|32027) pub18  43% (7 samples sent)
(32000|32027) pub18  50% (8 samples sent)
(32000|32027) pub18  62% (10 samples sent)
(32000|32027) pub18  75% (12 samples sent)
(32000|32027) pub18  81% (13 samples sent)
(32000|32027) pub18  93% (15 samples sent)
(32000|32027) pub18  100% (16 samples sent)
(32000|32027) pub18->wait_match() before write for 01030000.45d8023b.0000000e.00000102(ae46199d)
(32000|32027) pub18<-match found! before write for 01030000.45d8023b.0000000e.00000102(ae46199d)
(32000|32027) pub18  waiting for acks
(32000|32027) pub18  waiting for acks returned
(32000|32027) pub18<-delete_contained_entities
(32000|32033) pub30  writer id: 01030000.45d8023b.00000015.00000102(b976bf0e)
(32000|32033) pub30->started
(32000|32033) pub30  12% (2 samples sent)
(32000|32033) pub30  25% (4 samples sent)
(32000|32033) pub30  31% (5 samples sent)
(32000|32033) pub30  43% (7 samples sent)
(32000|32033) pub30  50% (8 samples sent)
(32000|32033) pub30  62% (10 samples sent)
(32000|32033) pub30  75% (12 samples sent)
(32000|32033) pub30  81% (13 samples sent)
(32000|32033) pub30  93% (15 samples sent)
(32000|32033) pub30  100% (16 samples sent)
(32000|32033) pub30->wait_match() before write for 01030000.45d8023b.00000015.00000102(b976bf0e)
(32000|32033) pub30<-match found! before write for 01030000.45d8023b.00000015.00000102(b976bf0e)
(32000|32033) pub30  waiting for acks
(32000|32033) pub30  waiting for acks returned
(32000|32033) pub30<-delete_contained_entities
(32000|32017) pub21  writer id: 01030000.45d8023b.00000010.00000102(7196307e)
(32000|32017) pub21->started
(32000|32017) pub21  12% (2 samples sent)
(32000|32017) pub21  25% (4 samples sent)
(32000|32017) pub21  31% (5 samples sent)
(32000|32017) pub21  43% (7 samples sent)
(32000|32017) pub21  50% (8 samples sent)
(32000|32017) pub21  62% (10 samples sent)
(32000|32017) pub21  75% (12 samples sent)
(32000|32017) pub21  81% (13 samples sent)
(32000|32017) pub21  93% (15 samples sent)
(32000|32017) pub21  100% (16 samples sent)
(32000|32017) pub21->wait_match() before write for 01030000.45d8023b.00000010.00000102(7196307e)
(32000|32017) pub21<-match found! before write for 01030000.45d8023b.00000010.00000102(7196307e)
(32000|32017) pub21  waiting for acks
(32000|32017) pub21  waiting for acks returned
(32000|32017) pub21<-delete_contained_entities
(32000|32019) pub9  writer id: 01030000.45d8023b.0000000b.00000102(66a696ed)
(32000|32019) pub9->started
(32000|32019) pub9  12% (2 samples sent)
(32000|32019) pub9  25% (4 samples sent)
(32000|32019) pub9  31% (5 samples sent)
(32000|32019) pub9  43% (7 samples sent)
(32000|32019) pub9  50% (8 samples sent)
(32000|32019) pub9  62% (10 samples sent)
(32000|32019) pub9  75% (12 samples sent)
(32000|32019) pub9  81% (13 samples sent)
(32000|32019) pub9  93% (15 samples sent)
(32000|32019) pub9  100% (16 samples sent)
(32000|32019) pub9->wait_match() before write for 01030000.45d8023b.0000000b.00000102(66a696ed)
(32000|32019) pub9<-match found! before write for 01030000.45d8023b.0000000b.00000102(66a696ed)
(32000|32019) pub9  waiting for acks
(32000|32019) pub9  waiting for acks returned
(32000|32019) pub9<-delete_contained_entities
(32000|32016) pub8  writer id: 01030000.45d8023b.0000000d.00000102(e9e6634d)
(32000|32016) pub8->started
(32000|32016) pub8  12% (2 samples sent)
(32000|32016) pub8  25% (4 samples sent)
(32000|32016) pub8  31% (5 samples sent)
(32000|32016) pub8  43% (7 samples sent)
(32000|32016) pub8  50% (8 samples sent)
(32000|32016) pub8  62% (10 samples sent)
(32000|32016) pub8  75% (12 samples sent)
(32000|32016) pub8  81% (13 samples sent)
(32000|32016) pub8  93% (15 samples sent)
(32000|32016) pub8  100% (16 samples sent)
(32000|32016) pub8->wait_match() before write for 01030000.45d8023b.0000000d.00000102(e9e6634d)
(32000|32016) pub8<-match found! before write for 01030000.45d8023b.0000000d.00000102(e9e6634d)
(32000|32016) pub8  waiting for acks
(32000|32016) pub8  waiting for acks returned
(32000|32016) pub8<-delete_contained_entities
(32000|32018) pub22  writer id: 01030000.45d8023b.00000014.00000102(841696be)
(32000|32018) pub22->started
(32000|32018) pub22  12% (2 samples sent)
(32000|32018) pub22  25% (4 samples sent)
(32000|32018) pub22  31% (5 samples sent)
(32000|32018) pub22  43% (7 samples sent)
(32000|32018) pub22  50% (8 samples sent)
(32000|32018) pub22  62% (10 samples sent)
(32000|32018) pub22  75% (12 samples sent)
(32000|32018) pub22  81% (13 samples sent)
(32000|32018) pub22  93% (15 samples sent)
(32000|32018) pub22  100% (16 samples sent)
(32000|32018) pub22->wait_match() before write for 01030000.45d8023b.00000014.00000102(841696be)
(32000|32018) pub22<-match found! before write for 01030000.45d8023b.00000014.00000102(841696be)
(32000|32018) pub22  waiting for acks
(32000|32018) pub22  waiting for acks returned
(32000|32018) pub22<-delete_contained_entities
(32000|32036) pub23  writer id: 01030000.45d8023b.00000018.00000102(41e67bbf)
(32000|32036) pub23->started
(32000|32036) pub23  12% (2 samples sent)
(32000|32036) pub23  25% (4 samples sent)
(32000|32036) pub23  31% (5 samples sent)
(32000|32036) pub23  43% (7 samples sent)
(32000|32036) pub23  50% (8 samples sent)
(32000|32036) pub23  62% (10 samples sent)
(32000|32036) pub23  75% (12 samples sent)
(32000|32036) pub23  81% (13 samples sent)
(32000|32036) pub23  93% (15 samples sent)
(32000|32036) pub23  100% (16 samples sent)
(32000|32036) pub23->wait_match() before write for 01030000.45d8023b.00000018.00000102(41e67bbf)
(32000|32036) pub23<-match found! before write for 01030000.45d8023b.00000018.00000102(41e67bbf)
(32000|32036) pub23  waiting for acks
(32000|32036) pub23  waiting for acks returned
(32000|32036) pub23<-delete_contained_entities
(32000|32039) pub27  writer id: 01030000.45d8023b.0000001a.00000102(3b2628df)
(32000|32039) pub27->started
(32000|32039) pub27  12% (2 samples sent)
(32000|32039) pub27  25% (4 samples sent)
(32000|32039) pub27  31% (5 samples sent)
(32000|32039) pub27  43% (7 samples sent)
(32000|32039) pub27  50% (8 samples sent)
(32000|32039) pub27  62% (10 samples sent)
(32000|32039) pub27  75% (12 samples sent)
(32000|32039) pub27  81% (13 samples sent)
(32000|32039) pub27  93% (15 samples sent)
(32000|32039) pub27  100% (16 samples sent)
(32000|32039) pub27->wait_match() before write for 01030000.45d8023b.0000001a.00000102(3b2628df)
(32000|32039) pub27<-match found! before write for 01030000.45d8023b.0000001a.00000102(3b2628df)
(32000|32039) pub27  waiting for acks
(32000|32039) pub27  waiting for acks returned
(32000|32039) pub27<-delete_contained_entities
(32000|32022) pub10  writer id: 01030000.45d8023b.00000016.00000102(fed6c5de)
(32000|32022) pub10->started
(32000|32022) pub10  12% (2 samples sent)
(32000|32022) pub10  25% (4 samples sent)
(32000|32022) pub10  31% (5 samples sent)
(32000|32022) pub10  43% (7 samples sent)
(32000|32022) pub10  50% (8 samples sent)
(32000|32022) pub10  62% (10 samples sent)
(32000|32022) pub10  75% (12 samples sent)
(32000|32022) pub10  81% (13 samples sent)
(32000|32022) pub10  93% (15 samples sent)
(32000|32022) pub10  100% (16 samples sent)
(32000|32022) pub10->wait_match() before write for 01030000.45d8023b.00000016.00000102(fed6c5de)
(32000|32022) pub10<-match found! before write for 01030000.45d8023b.00000016.00000102(fed6c5de)
(32000|32022) pub10  waiting for acks
(32000|32022) pub10  waiting for acks returned
(32000|32022) pub10<-delete_contained_entities
(32000|32029) pub11  writer id: 01030000.45d8023b.00000019.00000102(7c86520f)
(32000|32029) pub11->started
(32000|32029) pub11  12% (2 samples sent)
(32000|32029) pub11  25% (4 samples sent)
(32000|32029) pub11  31% (5 samples sent)
(32000|32029) pub11  43% (7 samples sent)
(32000|32029) pub11  50% (8 samples sent)
(32000|32029) pub11  62% (10 samples sent)
(32000|32029) pub11  75% (12 samples sent)
(32000|32029) pub11  81% (13 samples sent)
(32000|32029) pub11  93% (15 samples sent)
(32000|32029) pub11  100% (16 samples sent)
(32000|32029) pub11->wait_match() before write for 01030000.45d8023b.00000019.00000102(7c86520f)
(32000|32029) pub11<-match found! before write for 01030000.45d8023b.00000019.00000102(7c86520f)
(32000|32029) pub11  waiting for acks
(32000|32029) pub11  waiting for acks returned
(32000|32029) pub11<-delete_contained_entities
(32000|32056) pub39  writer id: 01030000.45d8023b.0000001b.00000102(0646016f)
(32000|32056) pub39->started
(32000|32056) pub39  12% (2 samples sent)
(32000|32056) pub39  25% (4 samples sent)
(32000|32056) pub39  31% (5 samples sent)
(32000|32056) pub39  43% (7 samples sent)
(32000|32056) pub39  50% (8 samples sent)
(32000|32056) pub39  62% (10 samples sent)
(32000|32056) pub39  75% (12 samples sent)
(32000|32056) pub39  81% (13 samples sent)
(32000|32056) pub39  93% (15 samples sent)
(32000|32056) pub39  100% (16 samples sent)
(32000|32056) pub39->wait_match() before write for 01030000.45d8023b.0000001b.00000102(0646016f)
(32000|32056) pub39<-match found! before write for 01030000.45d8023b.0000001b.00000102(0646016f)
(32000|32056) pub39  waiting for acks
(32000|32056) pub39  waiting for acks returned
(32000|32056) pub39<-delete_contained_entities
(32000|32054) pub50  writer id: 01030000.45d8023b.00000036.00000102(3f17eada)
(32000|32054) pub50->started
(32000|32054) pub50  12% (2 samples sent)
(32000|32054) pub50  25% (4 samples sent)
(32000|32054) pub50  31% (5 samples sent)
(32000|32054) pub50  43% (7 samples sent)
(32000|32054) pub50  50% (8 samples sent)
(32000|32054) pub50  62% (10 samples sent)
(32000|32054) pub50  75% (12 samples sent)
(32000|32054) pub50  81% (13 samples sent)
(32000|32054) pub50  93% (15 samples sent)
(32000|32054) pub50  100% (16 samples sent)
(32000|32054) pub50->wait_match() before write for 01030000.45d8023b.00000036.00000102(3f17eada)
(32000|32054) pub50<-match found! before write for 01030000.45d8023b.00000036.00000102(3f17eada)
(32000|32054) pub50  waiting for acks
(32000|32054) pub50  waiting for acks returned
(32000|32054) pub50<-delete_contained_entities
(32000|32053) pub37  writer id: 01030000.45d8023b.00000027.00000102(629754e8)
(32000|32053) pub37->started
(32000|32053) pub37  12% (2 samples sent)
(32000|32053) pub37  25% (4 samples sent)
(32000|32053) pub37  31% (5 samples sent)
(32000|32053) pub37  43% (7 samples sent)
(32000|32053) pub37  50% (8 samples sent)
(32000|32053) pub37  62% (10 samples sent)
(32000|32053) pub37  75% (12 samples sent)
(32000|32053) pub37  81% (13 samples sent)
(32000|32053) pub37  93% (15 samples sent)
(32000|32053) pub37  100% (16 samples sent)
(32000|32053) pub37->wait_match() before write for 01030000.45d8023b.00000027.00000102(629754e8)
(32000|32053) pub37<-match found! before write for 01030000.45d8023b.00000027.00000102(629754e8)
(32000|32053) pub37  waiting for acks
(32000|32053) pub37  waiting for acks returned
(32000|32053) pub37<-delete_contained_entities
(32000|32032) pub29  writer id: 01030000.45d8023b.0000001f.00000102(f3c6a7af)
(32000|32032) pub29->started
(32000|32032) pub29  12% (2 samples sent)
(32000|32032) pub29  25% (4 samples sent)
(32000|32032) pub29  31% (5 samples sent)
(32000|32032) pub29  43% (7 samples sent)
(32000|32032) pub29  50% (8 samples sent)
(32000|32032) pub29  62% (10 samples sent)
(32000|32032) pub29  75% (12 samples sent)
(32000|32032) pub29  81% (13 samples sent)
(32000|32032) pub29  93% (15 samples sent)
(32000|32032) pub29  100% (16 samples sent)
(32000|32032) pub29->wait_match() before write for 01030000.45d8023b.0000001f.00000102(f3c6a7af)
(32000|32032) pub29<-match found! before write for 01030000.45d8023b.0000001f.00000102(f3c6a7af)
(32000|32032) pub29  waiting for acks
(32000|32032) pub29  waiting for acks returned
(32000|32032) pub29<-delete_contained_entities
(32000|32040) pub40  writer id: 01030000.45d8023b.00000028.00000102(e0c7c339)
(32000|32040) pub40->started
(32000|32040) pub40  12% (2 samples sent)
(32000|32040) pub40  25% (4 samples sent)
(32000|32040) pub40  31% (5 samples sent)
(32000|32040) pub40  43% (7 samples sent)
(32000|32040) pub40  50% (8 samples sent)
(32000|32040) pub40  62% (10 samples sent)
(32000|32040) pub40  75% (12 samples sent)
(32000|32040) pub40  81% (13 samples sent)
(32000|32040) pub40  93% (15 samples sent)
(32000|32040) pub40  100% (16 samples sent)
(32000|32040) pub40->wait_match() before write for 01030000.45d8023b.00000028.00000102(e0c7c339)
(32000|32040) pub40<-match found! before write for 01030000.45d8023b.00000028.00000102(e0c7c339)
(32000|32040) pub40  waiting for acks
(32000|32040) pub40  waiting for acks returned
(32000|32040) pub40<-delete_contained_entities
(32000|32045) pub31  writer id: 01030000.45d8023b.00000021.00000102(edd7a148)
(32000|32045) pub31->started
(32000|32045) pub31  12% (2 samples sent)
(32000|32045) pub31  25% (4 samples sent)
(32000|32045) pub31  31% (5 samples sent)
(32000|32045) pub31  43% (7 samples sent)
(32000|32045) pub31  50% (8 samples sent)
(32000|32045) pub31  62% (10 samples sent)
(32000|32045) pub31  75% (12 samples sent)
(32000|32045) pub31  81% (13 samples sent)
(32000|32045) pub31  93% (15 samples sent)
(32000|32045) pub31  100% (16 samples sent)
(32000|32045) pub31->wait_match() before write for 01030000.45d8023b.00000021.00000102(edd7a148)
(32000|32045) pub31<-match found! before write for 01030000.45d8023b.00000021.00000102(edd7a148)
(32000|32045) pub31  waiting for acks
(32000|32045) pub31  waiting for acks returned
(32000|32045) pub31<-delete_contained_entities
(32000|32051) pub44  writer id: 01030000.45d8023b.0000002f.00000102(52e71f29)
(32000|32051) pub44->started
(32000|32051) pub44  12% (2 samples sent)
(32000|32051) pub44  25% (4 samples sent)
(32000|32051) pub44  31% (5 samples sent)
(32000|32051) pub44  43% (7 samples sent)
(32000|32051) pub44  50% (8 samples sent)
(32000|32051) pub44  62% (10 samples sent)
(32000|32051) pub44  75% (12 samples sent)
(32000|32051) pub44  81% (13 samples sent)
(32000|32051) pub44  93% (15 samples sent)
(32000|32051) pub44  100% (16 samples sent)
(32000|32051) pub44->wait_match() before write for 01030000.45d8023b.0000002f.00000102(52e71f29)
(32000|32051) pub44<-match found! before write for 01030000.45d8023b.0000002f.00000102(52e71f29)
(32000|32051) pub44  waiting for acks
(32000|32051) pub44  waiting for acks returned
(32000|32051) pub44<-delete_contained_entities
(32000|32049) pub45  writer id: 01030000.45d8023b.00000031.00000102(8d3736ca)
(32000|32049) pub45->started
(32000|32049) pub45  12% (2 samples sent)
(32000|32049) pub45  25% (4 samples sent)
(32000|32049) pub45  31% (5 samples sent)
(32000|32049) pub45  43% (7 samples sent)
(32000|32049) pub45  50% (8 samples sent)
(32000|32049) pub45  62% (10 samples sent)
(32000|32049) pub45  75% (12 samples sent)
(32000|32049) pub45  81% (13 samples sent)
(32000|32049) pub45  93% (15 samples sent)
(32000|32049) pub45  100% (16 samples sent)
(32000|32049) pub45->wait_match() before write for 01030000.45d8023b.00000031.00000102(8d3736ca)
(32000|32049) pub45<-match found! before write for 01030000.45d8023b.00000031.00000102(8d3736ca)
(32000|32049) pub45  waiting for acks
(32000|32049) pub45  waiting for acks returned
(32000|32049) pub45<-delete_contained_entities
(32000|32060) pub53  writer id: 01030000.45d8023b.00000038.00000102(802754bb)
(32000|32060) pub53->started
(32000|32060) pub53  12% (2 samples sent)
(32000|32060) pub53  25% (4 samples sent)
(32000|32060) pub53  31% (5 samples sent)
(32000|32060) pub53  43% (7 samples sent)
(32000|32060) pub53  50% (8 samples sent)
(32000|32060) pub53  62% (10 samples sent)
(32000|32060) pub53  75% (12 samples sent)
(32000|32060) pub53  81% (13 samples sent)
(32000|32060) pub53  93% (15 samples sent)
(32000|32060) pub53  100% (16 samples sent)
(32000|32060) pub53->wait_match() before write for 01030000.45d8023b.00000038.00000102(802754bb)
(32000|32060) pub53<-match found! before write for 01030000.45d8023b.00000038.00000102(802754bb)
(32000|32060) pub53  waiting for acks
(32000|32060) pub53  waiting for acks returned
(32000|32060) pub53<-delete_contained_entities
(32000|32035) pub26  writer id: 01030000.45d8023b.00000020.00000102(d0b788f8)
(32000|32035) pub26->started
(32000|32035) pub26  12% (2 samples sent)
(32000|32035) pub26  25% (4 samples sent)
(32000|32035) pub26  31% (5 samples sent)
(32000|32035) pub26  43% (7 samples sent)
(32000|32035) pub26  50% (8 samples sent)
(32000|32035) pub26  62% (10 samples sent)
(32000|32035) pub26  75% (12 samples sent)
(32000|32035) pub26  81% (13 samples sent)
(32000|32035) pub26  93% (15 samples sent)
(32000|32035) pub26  100% (16 samples sent)
(32000|32035) pub26->wait_match() before write for 01030000.45d8023b.00000020.00000102(d0b788f8)
(32000|32035) pub26<-match found! before write for 01030000.45d8023b.00000020.00000102(d0b788f8)
(32000|32035) pub26  waiting for acks
(32000|32035) pub26  waiting for acks returned
(32000|32035) pub26<-delete_contained_entities
(32000|32048) pub38  writer id: 01030000.45d8023b.00000026.00000102(5ff77d58)
(32000|32048) pub38->started
(32000|32048) pub38  12% (2 samples sent)
(32000|32048) pub38  25% (4 samples sent)
(32000|32048) pub38  31% (5 samples sent)
(32000|32048) pub38  43% (7 samples sent)
(32000|32048) pub38  50% (8 samples sent)
(32000|32048) pub38  62% (10 samples sent)
(32000|32048) pub38  75% (12 samples sent)
(32000|32048) pub38  81% (13 samples sent)
(32000|32048) pub38  93% (15 samples sent)
(32000|32048) pub38  100% (16 samples sent)
(32000|32048) pub38->wait_match() before write for 01030000.45d8023b.00000026.00000102(5ff77d58)
(32000|32048) pub38<-match found! before write for 01030000.45d8023b.00000026.00000102(5ff77d58)
(32000|32048) pub38  waiting for acks
(32000|32048) pub38  waiting for acks returned
(32000|32048) pub38<-delete_contained_entities
(32000|32050) pub33  writer id: 01030000.45d8023b.00000023.00000102(9717f228)
(32000|32050) pub33->started
(32000|32050) pub33  12% (2 samples sent)
(32000|32050) pub33  25% (4 samples sent)
(32000|32050) pub33  31% (5 samples sent)
(32000|32050) pub33  43% (7 samples sent)
(32000|32050) pub33  50% (8 samples sent)
(32000|32050) pub33  62% (10 samples sent)
(32000|32050) pub33  75% (12 samples sent)
(32000|32050) pub33  81% (13 samples sent)
(32000|32050) pub33  93% (15 samples sent)
(32000|32050) pub33  100% (16 samples sent)
(32000|32050) pub33->wait_match() before write for 01030000.45d8023b.00000023.00000102(9717f228)
(32000|32050) pub33<-match found! before write for 01030000.45d8023b.00000023.00000102(9717f228)
(32000|32050) pub33  waiting for acks
(32000|32050) pub33  waiting for acks returned
(32000|32050) pub33<-delete_contained_entities
(32000|32062) pub55  writer id: 01030000.45d8023b.00000039.00000102(bd477d0b)
(32000|32062) pub55->started
(32000|32062) pub55  12% (2 samples sent)
(32000|32062) pub55  25% (4 samples sent)
(32000|32062) pub55  31% (5 samples sent)
(32000|32062) pub55  43% (7 samples sent)
(32000|32062) pub55  50% (8 samples sent)
(32000|32062) pub55  62% (10 samples sent)
(32000|32062) pub55  75% (12 samples sent)
(32000|32062) pub55  81% (13 samples sent)
(32000|32062) pub55  93% (15 samples sent)
(32000|32062) pub55  100% (16 samples sent)
(32000|32062) pub55->wait_match() before write for 01030000.45d8023b.00000039.00000102(bd477d0b)
(32000|32062) pub55<-match found! before write for 01030000.45d8023b.00000039.00000102(bd477d0b)
(32000|32062) pub55  waiting for acks
(32000|32062) pub55  waiting for acks returned
(32000|32062) pub55<-delete_contained_entities
(32000|32057) pub34  writer id: 01030000.45d8023b.00000025.00000102(18570788)
(32000|32057) pub34->started
(32000|32057) pub34  12% (2 samples sent)
(32000|32057) pub34  25% (4 samples sent)
(32000|32057) pub34  31% (5 samples sent)
(32000|32057) pub34  43% (7 samples sent)
(32000|32057) pub34  50% (8 samples sent)
(32000|32057) pub34  62% (10 samples sent)
(32000|32057) pub34  75% (12 samples sent)
(32000|32057) pub34  81% (13 samples sent)
(32000|32057) pub34  93% (15 samples sent)
(32000|32057) pub34  100% (16 samples sent)
(32000|32057) pub34->wait_match() before write for 01030000.45d8023b.00000025.00000102(18570788)
(32000|32057) pub34<-match found! before write for 01030000.45d8023b.00000025.00000102(18570788)
(32000|32057) pub34  waiting for acks
(32000|32057) pub34  waiting for acks returned
(32000|32057) pub34<-delete_contained_entities
(32000|32058) pub36  writer id: 01030000.45d8023b.00000029.00000102(dda7ea89)
(32000|32058) pub36->started
(32000|32058) pub36  12% (2 samples sent)
(32000|32058) pub36  25% (4 samples sent)
(32000|32058) pub36  31% (5 samples sent)
(32000|32058) pub36  43% (7 samples sent)
(32000|32058) pub36  50% (8 samples sent)
(32000|32058) pub36  62% (10 samples sent)
(32000|32058) pub36  75% (12 samples sent)
(32000|32058) pub36  81% (13 samples sent)
(32000|32058) pub36  93% (15 samples sent)
(32000|32058) pub36  100% (16 samples sent)
(32000|32058) pub36->wait_match() before write for 01030000.45d8023b.00000029.00000102(dda7ea89)
(32000|32058) pub36<-match found! before write for 01030000.45d8023b.00000029.00000102(dda7ea89)
(32000|32058) pub36  waiting for acks
(32000|32058) pub36  waiting for acks returned
(32000|32058) pub36<-delete_contained_entities
(32000|32066) pub56  writer id: 01030000.45d8023b.00000030.00000102(b0571f7a)
(32000|32066) pub56->started
(32000|32066) pub56  12% (2 samples sent)
(32000|32066) pub56  25% (4 samples sent)
(32000|32066) pub56  31% (5 samples sent)
(32000|32066) pub56  43% (7 samples sent)
(32000|32066) pub56  50% (8 samples sent)
(32000|32066) pub56  62% (10 samples sent)
(32000|32066) pub56  75% (12 samples sent)
(32000|32066) pub56  81% (13 samples sent)
(32000|32066) pub56  93% (15 samples sent)
(32000|32066) pub56  100% (16 samples sent)
(32000|32066) pub56->wait_match() before write for 01030000.45d8023b.00000030.00000102(b0571f7a)
(32000|32066) pub56<-match found! before write for 01030000.45d8023b.00000030.00000102(b0571f7a)
(32000|32066) pub56  waiting for acks
(32000|32066) pub56  waiting for acks returned
(32000|32066) pub56<-delete_contained_entities
(32000|32059) pub42  writer id: 01030000.45d8023b.00000033.00000102(f7f765aa)
(32000|32059) pub42->started
(32000|32059) pub42  12% (2 samples sent)
(32000|32059) pub42  25% (4 samples sent)
(32000|32059) pub42  31% (5 samples sent)
(32000|32059) pub42  43% (7 samples sent)
(32000|32059) pub42  50% (8 samples sent)
(32000|32059) pub42  62% (10 samples sent)
(32000|32059) pub42  75% (12 samples sent)
(32000|32059) pub42  81% (13 samples sent)
(32000|32059) pub42  93% (15 samples sent)
(32000|32059) pub42  100% (16 samples sent)
(32000|32059) pub42->wait_match() before write for 01030000.45d8023b.00000033.00000102(f7f765aa)
(32000|32059) pub42<-match found! before write for 01030000.45d8023b.00000033.00000102(f7f765aa)
(32000|32059) pub42  waiting for acks
(32000|32059) pub42  waiting for acks returned
(32000|32059) pub42<-delete_contained_entities
(32000|32061) pub51  writer id: 01030000.45d8023b.0000002a.00000102(9a079059)
(32000|32061) pub51->started
(32000|32061) pub51  12% (2 samples sent)
(32000|32061) pub51  25% (4 samples sent)
(32000|32061) pub51  31% (5 samples sent)
(32000|32061) pub51  43% (7 samples sent)
(32000|32061) pub51  50% (8 samples sent)
(32000|32061) pub51  62% (10 samples sent)
(32000|32061) pub51  75% (12 samples sent)
(32000|32061) pub51  81% (13 samples sent)
(32000|32061) pub51  93% (15 samples sent)
(32000|32061) pub51  100% (16 samples sent)
(32000|32061) pub51->wait_match() before write for 01030000.45d8023b.0000002a.00000102(9a079059)
(32000|32061) pub51<-match found! before write for 01030000.45d8023b.0000002a.00000102(9a079059)
(32000|32061) pub51  waiting for acks
(32000|32061) pub51  waiting for acks returned
(32000|32061) pub51<-delete_contained_entities
(32000|32067) pub57  writer id: 01030000.45d8023b.0000003a.00000102(fae707db)
(32000|32067) pub57->started
(32000|32067) pub57  12% (2 samples sent)
(32000|32067) pub57  25% (4 samples sent)
(32000|32067) pub57  31% (5 samples sent)
(32000|32067) pub57  43% (7 samples sent)
(32000|32067) pub57  50% (8 samples sent)
(32000|32067) pub57  62% (10 samples sent)
(32000|32067) pub57  75% (12 samples sent)
(32000|32067) pub57  81% (13 samples sent)
(32000|32067) pub57  93% (15 samples sent)
(32000|32067) pub57  100% (16 samples sent)
(32000|32067) pub57->wait_match() before write for 01030000.45d8023b.0000003a.00000102(fae707db)
(32000|32067) pub57<-match found! before write for 01030000.45d8023b.0000003a.00000102(fae707db)
(32000|32067) pub57  waiting for acks
(32000|32067) pub57  waiting for acks returned
(32000|32067) pub57<-delete_contained_entities
(32000|32047) pub47  writer id: 01030000.45d8023b.00000034.00000102(45d7b9ba)
(32000|32047) pub47->started
(32000|32047) pub47  12% (2 samples sent)
(32000|32047) pub47  25% (4 samples sent)
(32000|32047) pub47  31% (5 samples sent)
(32000|32047) pub47  43% (7 samples sent)
(32000|32047) pub47  50% (8 samples sent)
(32000|32047) pub47  62% (10 samples sent)
(32000|32047) pub47  75% (12 samples sent)
(32000|32047) pub47  81% (13 samples sent)
(32000|32047) pub47  93% (15 samples sent)
(32000|32047) pub47  100% (16 samples sent)
(32000|32047) pub47->wait_match() before write for 01030000.45d8023b.00000034.00000102(45d7b9ba)
(32000|32047) pub47<-match found! before write for 01030000.45d8023b.00000034.00000102(45d7b9ba)
(32000|32047) pub47  waiting for acks
(32000|32047) pub47  waiting for acks returned
(32000|32047) pub47<-delete_contained_entities
(32000|32064) pub54  writer id: 01030000.45d8023b.0000002e.00000102(6f873699)
(32000|32064) pub54->started
(32000|32064) pub54  12% (2 samples sent)
(32000|32064) pub54  25% (4 samples sent)
(32000|32064) pub54  31% (5 samples sent)
(32000|32064) pub54  43% (7 samples sent)
(32000|32064) pub54  50% (8 samples sent)
(32000|32064) pub54  62% (10 samples sent)
(32000|32064) pub54  75% (12 samples sent)
(32000|32064) pub54  81% (13 samples sent)
(32000|32064) pub54  93% (15 samples sent)
(32000|32064) pub54  100% (16 samples sent)
(32000|32064) pub54->wait_match() before write for 01030000.45d8023b.0000002e.00000102(6f873699)
(32000|32064) pub54<-match found! before write for 01030000.45d8023b.0000002e.00000102(6f873699)
(32000|32064) pub54  waiting for acks
(32000|32064) pub54  waiting for acks returned
(32000|32064) pub54<-delete_contained_entities
(32000|32063) pub48  writer id: 01030000.45d8023b.00000037.00000102(0277c36a)
(32000|32063) pub48->started
(32000|32063) pub48  12% (2 samples sent)
(32000|32063) pub48  25% (4 samples sent)
(32000|32063) pub48  31% (5 samples sent)
(32000|32063) pub48  43% (7 samples sent)
(32000|32063) pub48  50% (8 samples sent)
(32000|32063) pub48  62% (10 samples sent)
(32000|32063) pub48  75% (12 samples sent)
(32000|32063) pub48  81% (13 samples sent)
(32000|32063) pub48  93% (15 samples sent)
(32000|32063) pub48  100% (16 samples sent)
(32000|32063) pub48->wait_match() before write for 01030000.45d8023b.00000037.00000102(0277c36a)
(32000|32063) pub48<-match found! before write for 01030000.45d8023b.00000037.00000102(0277c36a)
(32000|32063) pub48  waiting for acks
(32000|32063) pub48  waiting for acks returned
(32000|32063) pub48<-delete_contained_entities
(32000|32041) pub52  writer id: 01030000.45d8023b.0000002c.00000102(154765f9)
(32000|32041) pub52->started
(32000|32041) pub52  12% (2 samples sent)
(32000|32041) pub52  25% (4 samples sent)
(32000|32041) pub52  31% (5 samples sent)
(32000|32041) pub52  43% (7 samples sent)
(32000|32041) pub52  50% (8 samples sent)
(32000|32041) pub52  62% (10 samples sent)
(32000|32041) pub52  75% (12 samples sent)
(32000|32041) pub52  81% (13 samples sent)
(32000|32041) pub52  93% (15 samples sent)
(32000|32041) pub52  100% (16 samples sent)
(32000|32041) pub52->wait_match() before write for 01030000.45d8023b.0000002c.00000102(154765f9)
(32000|32041) pub52<-match found! before write for 01030000.45d8023b.0000002c.00000102(154765f9)
(32000|32041) pub52  waiting for acks
(32000|32041) pub52  waiting for acks returned
(32000|32041) pub52<-delete_contained_entities
(32000|32034) pub24  writer id: 01030000.45d8023b.0000001e.00000102(cea68e1f)
(32000|32034) pub24->started
(32000|32034) pub24  12% (2 samples sent)
(32000|32034) pub24  25% (4 samples sent)
(32000|32034) pub24  31% (5 samples sent)
(32000|32034) pub24  43% (7 samples sent)
(32000|32034) pub24  50% (8 samples sent)
(32000|32034) pub24  62% (10 samples sent)
(32000|32034) pub24  75% (12 samples sent)
(32000|32034) pub24  81% (13 samples sent)
(32000|32034) pub24  93% (15 samples sent)
(32000|32034) pub24  100% (16 samples sent)
(32000|32034) pub24->wait_match() before write for 01030000.45d8023b.0000001e.00000102(cea68e1f)
(32000|32034) pub24<-match found! before write for 01030000.45d8023b.0000001e.00000102(cea68e1f)
(32000|32034) pub24  waiting for acks
(32000|32034) pub24  waiting for acks returned
(32000|32034) pub24<-delete_contained_entities
(32000|32069) pub60  writer id: 01030000.45d8023b.0000003d.00000102(48c7dbcb)
(32000|32069) pub60->started
(32000|32069) pub60  12% (2 samples sent)
(32000|32069) pub60  25% (4 samples sent)
(32000|32069) pub60  31% (5 samples sent)
(32000|32069) pub60  43% (7 samples sent)
(32000|32069) pub60  50% (8 samples sent)
(32000|32069) pub60  62% (10 samples sent)
(32000|32069) pub60  75% (12 samples sent)
(32000|32069) pub60  81% (13 samples sent)
(32000|32069) pub60  93% (15 samples sent)
(32000|32069) pub60  100% (16 samples sent)
(32000|32069) pub60->wait_match() before write for 01030000.45d8023b.0000003d.00000102(48c7dbcb)
(32000|32069) pub60<-match found! before write for 01030000.45d8023b.0000003d.00000102(48c7dbcb)
(32000|32069) pub60  waiting for acks
(32000|32069) pub60  waiting for acks returned
(32000|32069) pub60<-delete_contained_entities
(32000|32072) pub62  writer id: 01030000.45d8023b.0000003e.00000102(0f67a11b)
(32000|32072) pub62->started
(32000|32072) pub62  12% (2 samples sent)
(32000|32072) pub62  25% (4 samples sent)
(32000|32072) pub62  31% (5 samples sent)
(32000|32072) pub62  43% (7 samples sent)
(32000|32072) pub62  50% (8 samples sent)
(32000|32072) pub62  62% (10 samples sent)
(32000|32072) pub62  75% (12 samples sent)
(32000|32072) pub62  81% (13 samples sent)
(32000|32072) pub62  93% (15 samples sent)
(32000|32072) pub62  100% (16 samples sent)
(32000|32072) pub62->wait_match() before write for 01030000.45d8023b.0000003e.00000102(0f67a11b)
(32000|32072) pub62<-match found! before write for 01030000.45d8023b.0000003e.00000102(0f67a11b)
(32000|32072) pub62  waiting for acks
(32000|32072) pub62  waiting for acks returned
(32000|32072) pub62<-delete_contained_entities
(32000|32038) pub28  writer id: 01030000.45d8023b.0000001d.00000102(8906f4cf)
(32000|32038) pub28->started
(32000|32038) pub28  12% (2 samples sent)
(32000|32038) pub28  25% (4 samples sent)
(32000|32038) pub28  31% (5 samples sent)
(32000|32038) pub28  43% (7 samples sent)
(32000|32038) pub28  50% (8 samples sent)
(32000|32038) pub28  62% (10 samples sent)
(32000|32038) pub28  75% (12 samples sent)
(32000|32038) pub28  81% (13 samples sent)
(32000|32038) pub28  93% (15 samples sent)
(32000|32038) pub28  100% (16 samples sent)
(32000|32038) pub28->wait_match() before write for 01030000.45d8023b.0000001d.00000102(8906f4cf)
(32000|32038) pub28<-match found! before write for 01030000.45d8023b.0000001d.00000102(8906f4cf)
(32000|32038) pub28  waiting for acks
(32000|32038) pub28  waiting for acks returned
(32000|32038) pub28<-delete_contained_entities
(32000|32071) pub63  writer id: 01030000.45d8023b.00000040.00000102(4985ffb5)
(32000|32071) pub63->started
(32000|32071) pub63  12% (2 samples sent)
(32000|32071) pub63  25% (4 samples sent)
(32000|32071) pub63  31% (5 samples sent)
(32000|32071) pub63  43% (7 samples sent)
(32000|32071) pub63  50% (8 samples sent)
(32000|32071) pub63  62% (10 samples sent)
(32000|32071) pub63  75% (12 samples sent)
(32000|32071) pub63  81% (13 samples sent)
(32000|32071) pub63  93% (15 samples sent)
(32000|32071) pub63  100% (16 samples sent)
(32000|32071) pub63->wait_match() before write for 01030000.45d8023b.00000040.00000102(4985ffb5)
(32000|32071) pub63<-match found! before write for 01030000.45d8023b.00000040.00000102(4985ffb5)
(32000|32071) pub63  waiting for acks
(32000|32071) pub63  waiting for acks returned
(32000|32071) pub63<-delete_contained_entities
(32000|32042) pub32  writer id: 01030000.45d8023b.00000022.00000102(aa77db98)
(32000|32042) pub32->started
(32000|32042) pub32  12% (2 samples sent)
(32000|32042) pub32  25% (4 samples sent)
(32000|32042) pub32  31% (5 samples sent)
(32000|32042) pub32  43% (7 samples sent)
(32000|32042) pub32  50% (8 samples sent)
(32000|32042) pub32  62% (10 samples sent)
(32000|32042) pub32  75% (12 samples sent)
(32000|32042) pub32  81% (13 samples sent)
(32000|32042) pub32  93% (15 samples sent)
(32000|32042) pub32  100% (16 samples sent)
(32000|32042) pub32->wait_match() before write for 01030000.45d8023b.00000022.00000102(aa77db98)
(32000|32042) pub32<-match found! before write for 01030000.45d8023b.00000022.00000102(aa77db98)
(32000|32042) pub32  waiting for acks
(32000|32042) pub32  waiting for acks returned
(32000|32042) pub32<-delete_contained_entities
(32000|32046) pub49  writer id: 01030000.45d8023b.00000035.00000102(78b7900a)
(32000|32046) pub49->started
(32000|32046) pub49  12% (2 samples sent)
(32000|32046) pub49  25% (4 samples sent)
(32000|32046) pub49  31% (5 samples sent)
(32000|32046) pub49  43% (7 samples sent)
(32000|32046) pub49  50% (8 samples sent)
(32000|32046) pub49  62% (10 samples sent)
(32000|32046) pub49  75% (12 samples sent)
(32000|32046) pub49  81% (13 samples sent)
(32000|32046) pub49  93% (15 samples sent)
(32000|32046) pub49  100% (16 samples sent)
(32000|32046) pub49->wait_match() before write for 01030000.45d8023b.00000035.00000102(78b7900a)
(32000|32046) pub49<-match found! before write for 01030000.45d8023b.00000035.00000102(78b7900a)
(32000|32046) pub49  waiting for acks
(32000|32046) pub49  waiting for acks returned
(32000|32046) pub49<-delete_contained_entities
(32000|32070) pub61  writer id: 01030000.45d8023b.0000003f.00000102(320788ab)
(32000|32070) pub61->started
(32000|32070) pub61  12% (2 samples sent)
(32000|32070) pub61  25% (4 samples sent)
(32000|32070) pub61  31% (5 samples sent)
(32000|32070) pub61  43% (7 samples sent)
(32000|32070) pub61  50% (8 samples sent)
(32000|32070) pub61  62% (10 samples sent)
(32000|32070) pub61  75% (12 samples sent)
(32000|32070) pub61  81% (13 samples sent)
(32000|32070) pub61  93% (15 samples sent)
(32000|32070) pub61  100% (16 samples sent)
(32000|32070) pub61->wait_match() before write for 01030000.45d8023b.0000003f.00000102(320788ab)
(32000|32070) pub61<-match found! before write for 01030000.45d8023b.0000003f.00000102(320788ab)
(32000|32070) pub61  waiting for acks
(32000|32070) pub61  waiting for acks returned
(32000|32070) pub61<-delete_contained_entities
(32000|32044) pub46  writer id: 01030000.45d8023b.00000032.00000102(ca974c1a)
(32000|32044) pub46->started
(32000|32044) pub46  12% (2 samples sent)
(32000|32044) pub46  25% (4 samples sent)
(32000|32044) pub46  31% (5 samples sent)
(32000|32044) pub46  43% (7 samples sent)
(32000|32044) pub46  50% (8 samples sent)
(32000|32044) pub46  62% (10 samples sent)
(32000|32044) pub46  75% (12 samples sent)
(32000|32044) pub46  81% (13 samples sent)
(32000|32044) pub46  93% (15 samples sent)
(32000|32044) pub46  100% (16 samples sent)
(32000|32044) pub46->wait_match() before write for 01030000.45d8023b.00000032.00000102(ca974c1a)
(32000|32044) pub46<-match found! before write for 01030000.45d8023b.00000032.00000102(ca974c1a)
(32000|32044) pub46  waiting for acks
(32000|32044) pub46  waiting for acks returned
(32000|32044) pub46<-delete_contained_entities
(32000|32030) pub15  writer id: 01030000.45d8023b.0000001c.00000102(b466dd7f)
(32000|32030) pub15->started
(32000|32030) pub15  12% (2 samples sent)
(32000|32030) pub15  25% (4 samples sent)
(32000|32030) pub15  31% (5 samples sent)
(32000|32030) pub15  43% (7 samples sent)
(32000|32030) pub15  50% (8 samples sent)
(32000|32030) pub15  62% (10 samples sent)
(32000|32030) pub15  75% (12 samples sent)
(32000|32030) pub15  81% (13 samples sent)
(32000|32030) pub15  93% (15 samples sent)
(32000|32030) pub15  100% (16 samples sent)
(32000|32030) pub15->wait_match() before write for 01030000.45d8023b.0000001c.00000102(b466dd7f)
(32000|32030) pub15<-match found! before write for 01030000.45d8023b.0000001c.00000102(b466dd7f)
(32000|32030) pub15  waiting for acks
(32000|32030) pub15  waiting for acks returned
(32000|32030) pub15<-delete_contained_entities
(32000|32026) pub17  writer id: 01030000.45d8023b.00000041.00000102(74e5d605)
(32000|32026) pub17->started
(32000|32026) pub17  12% (2 samples sent)
(32000|32026) pub17  25% (4 samples sent)
(32000|32026) pub17  31% (5 samples sent)
(32000|32026) pub17  43% (7 samples sent)
(32000|32026) pub17  50% (8 samples sent)
(32000|32026) pub17  62% (10 samples sent)
(32000|32026) pub17  75% (12 samples sent)
(32000|32026) pub17  81% (13 samples sent)
(32000|32026) pub17  93% (15 samples sent)
(32000|32026) pub17  100% (16 samples sent)
(32000|32026) pub17->wait_match() before write for 01030000.45d8023b.00000041.00000102(74e5d605)
(32000|32026) pub17<-match found! before write for 01030000.45d8023b.00000041.00000102(74e5d605)
(32000|32026) pub17  waiting for acks
(32000|32026) pub17  waiting for acks returned
(32000|32026) pub17<-delete_contained_entities
(32000|32024) pub16  writer id: 01030000.45d8023b.00000042.00000102(3345acd5)
(32000|32024) pub16->started
(32000|32024) pub16  12% (2 samples sent)
(32000|32024) pub16  25% (4 samples sent)
(32000|32024) pub16  31% (5 samples sent)
(32000|32024) pub16  43% (7 samples sent)
(32000|32024) pub16  50% (8 samples sent)
(32000|32024) pub16  62% (10 samples sent)
(32000|32024) pub16  75% (12 samples sent)
(32000|32024) pub16  81% (13 samples sent)
(32000|32024) pub16  93% (15 samples sent)
(32000|32024) pub16  100% (16 samples sent)
(32000|32024) pub16->wait_match() before write for 01030000.45d8023b.00000042.00000102(3345acd5)
(32000|32024) pub16<-match found! before write for 01030000.45d8023b.00000042.00000102(3345acd5)
(32000|32024) pub16  waiting for acks
(32000|32024) pub16  waiting for acks returned
(32000|32024) pub16<-delete_contained_entities
(32000|32028) pub19  writer id: 01030000.45d8023b.00000013.00000102(36364aae)
(32000|32028) pub19->started
(32000|32028) pub19  12% (2 samples sent)
(32000|32028) pub19  25% (4 samples sent)
(32000|32028) pub19  31% (5 samples sent)
(32000|32028) pub19  43% (7 samples sent)
(32000|32028) pub19  50% (8 samples sent)
(32000|32028) pub19  62% (10 samples sent)
(32000|32028) pub19  75% (12 samples sent)
(32000|32028) pub19  81% (13 samples sent)
(32000|32028) pub19  93% (15 samples sent)
(32000|32028) pub19  100% (16 samples sent)
(32000|32028) pub19->wait_match() before write for 01030000.45d8023b.00000013.00000102(36364aae)
(32000|32028) pub19<-match found! before write for 01030000.45d8023b.00000013.00000102(36364aae)
(32000|32028) pub19  waiting for acks
(32000|32028) pub19  waiting for acks returned
(32000|32028) pub19<-delete_contained_entities
(32000|32020) pub20  writer id: 01030000.45d8023b.0000000c.00000102(d4864afd)
(32000|32020) pub20->started
(32000|32020) pub20  12% (2 samples sent)
(32000|32020) pub20  25% (4 samples sent)
(32000|32020) pub20  31% (5 samples sent)
(32000|32020) pub20  43% (7 samples sent)
(32000|32020) pub20  50% (8 samples sent)
(32000|32020) pub20  62% (10 samples sent)
(32000|32020) pub20  75% (12 samples sent)
(32000|32020) pub20  81% (13 samples sent)
(32000|32020) pub20  93% (15 samples sent)
(32000|32020) pub20  100% (16 samples sent)
(32000|32020) pub20->wait_match() before write for 01030000.45d8023b.0000000c.00000102(d4864afd)
(32000|32020) pub20<-match found! before write for 01030000.45d8023b.0000000c.00000102(d4864afd)
(32000|32020) pub20  waiting for acks
(32000|32020) pub20  waiting for acks returned
(32000|32020) pub20<-delete_contained_entities
(32000|32043) pub35  writer id: 01030000.45d8023b.00000024.00000102(25372e38)
(32000|32043) pub35->started
(32000|32043) pub35  12% (2 samples sent)
(32000|32043) pub35  25% (4 samples sent)
(32000|32043) pub35  31% (5 samples sent)
(32000|32043) pub35  43% (7 samples sent)
(32000|32043) pub35  50% (8 samples sent)
(32000|32043) pub35  62% (10 samples sent)
(32000|32043) pub35  75% (12 samples sent)
(32000|32043) pub35  81% (13 samples sent)
(32000|32043) pub35  93% (15 samples sent)
(32000|32043) pub35  100% (16 samples sent)
(32000|32043) pub35->wait_match() before write for 01030000.45d8023b.00000024.00000102(25372e38)
(32000|32043) pub35<-match found! before write for 01030000.45d8023b.00000024.00000102(25372e38)
(32000|32043) pub35  waiting for acks
(32000|32043) pub35  waiting for acks returned
(32000|32043) pub35<-delete_contained_entities
(32000|32014) pub6  writer id: 01030000.45d8023b.0000000a.00000102(5bc6bf5d)
(32000|32014) pub6->started
(32000|32014) pub6  12% (2 samples sent)
(32000|32014) pub6  25% (4 samples sent)
(32000|32014) pub6  31% (5 samples sent)
(32000|32014) pub6  43% (7 samples sent)
(32000|32014) pub6  50% (8 samples sent)
(32000|32014) pub6  62% (10 samples sent)
(32000|32014) pub6  75% (12 samples sent)
(32000|32014) pub6  81% (13 samples sent)
(32000|32014) pub6  93% (15 samples sent)
(32000|32014) pub6  100% (16 samples sent)
(32000|32014) pub6->wait_match() before write for 01030000.45d8023b.0000000a.00000102(5bc6bf5d)
(32000|32014) pub6<-match found! before write for 01030000.45d8023b.0000000a.00000102(5bc6bf5d)
(32000|32014) pub6  waiting for acks
(32000|32014) pub6  waiting for acks returned
(32000|32014) pub6<-delete_contained_entities
(32000|32052) pub41  writer id: 01030000.45d8023b.0000002b.00000102(a767b9e9)
(32000|32052) pub41->started
(32000|32052) pub41  12% (2 samples sent)
(32000|32052) pub41  25% (4 samples sent)
(32000|32052) pub41  31% (5 samples sent)
(32000|32052) pub41  43% (7 samples sent)
(32000|32052) pub41  50% (8 samples sent)
(32000|32052) pub41  62% (10 samples sent)
(32000|32052) pub41  75% (12 samples sent)
(32000|32052) pub41  81% (13 samples sent)
(32000|32052) pub41  93% (15 samples sent)
(32000|32052) pub41  100% (16 samples sent)
(32000|32052) pub41->wait_match() before write for 01030000.45d8023b.0000002b.00000102(a767b9e9)
(32000|32052) pub41<-match found! before write for 01030000.45d8023b.0000002b.00000102(a767b9e9)
(32000|32052) pub41  waiting for acks
(32000|32052) pub41  waiting for acks returned
(32000|32052) pub41<-delete_contained_entities
(32000|32068) pub59  writer id: 01030000.45d8023b.0000003b.00000102(c7872e6b)
(32000|32068) pub59->started
(32000|32068) pub59  12% (2 samples sent)
(32000|32068) pub59  25% (4 samples sent)
(32000|32068) pub59  31% (5 samples sent)
(32000|32068) pub59  43% (7 samples sent)
(32000|32068) pub59  50% (8 samples sent)
(32000|32068) pub59  62% (10 samples sent)
(32000|32068) pub59  75% (12 samples sent)
(32000|32068) pub59  81% (13 samples sent)
(32000|32068) pub59  93% (15 samples sent)
(32000|32068) pub59  100% (16 samples sent)
(32000|32068) pub59->wait_match() before write for 01030000.45d8023b.0000003b.00000102(c7872e6b)
(32000|32068) pub59<-match found! before write for 01030000.45d8023b.0000003b.00000102(c7872e6b)
(32000|32068) pub59  waiting for acks
(32000|32068) pub59  waiting for acks returned
(32000|32068) pub59<-delete_contained_entities
(32000|32065) pub58  writer id: 01030000.45d8023b.0000003c.00000102(75a7f27b)
(32000|32065) pub58->started
(32000|32065) pub58  12% (2 samples sent)
(32000|32065) pub58  25% (4 samples sent)
(32000|32065) pub58  31% (5 samples sent)
(32000|32065) pub58  43% (7 samples sent)
(32000|32065) pub58  50% (8 samples sent)
(32000|32065) pub58  62% (10 samples sent)
(32000|32065) pub58  75% (12 samples sent)
(32000|32065) pub58  81% (13 samples sent)
(32000|32065) pub58  93% (15 samples sent)
(32000|32065) pub58  100% (16 samples sent)
(32000|32065) pub58->wait_match() before write for 01030000.45d8023b.0000003c.00000102(75a7f27b)
(32000|32065) pub58<-match found! before write for 01030000.45d8023b.0000003c.00000102(75a7f27b)
(32000|32065) pub58  waiting for acks
(32000|32065) pub58  waiting for acks returned
(32000|32065) pub58<-delete_contained_entities
(32000|32055) pub43  writer id: 01030000.45d8023b.0000002d.00000102(28274c49)
(32000|32055) pub43->started
(32000|32055) pub43  12% (2 samples sent)
(32000|32055) pub43  25% (4 samples sent)
(32000|32055) pub43  31% (5 samples sent)
(32000|32055) pub43  43% (7 samples sent)
(32000|32055) pub43  50% (8 samples sent)
(32000|32055) pub43  62% (10 samples sent)
(32000|32055) pub43  75% (12 samples sent)
(32000|32055) pub43  81% (13 samples sent)
(32000|32055) pub43  93% (15 samples sent)
(32000|32055) pub43  100% (16 samples sent)
(32000|32055) pub43->wait_match() before write for 01030000.45d8023b.0000002d.00000102(28274c49)
(32000|32008) sub condition_.notify_all
(32000|32000) sub condition_.wait returned
(32000|32000) sub check_received
(32000|32000) sub check_received returns 0
(32000|32055) pub43<-match found! before write for 01030000.45d8023b.0000002d.00000102(28274c49)
(32000|32000) <- PublisherService::end
(32000|32055) pub43  waiting for acks
(32000|32055) pub43  waiting for acks returned
(32000|32055) pub43<-delete_contained_entities
(32000|32009) pub0<-delete_participant
(32000|32011) pub3<-delete_participant
(32000|32010) pub1<-delete_participant
(32000|32015) pub4<-delete_participant
(32000|32012) pub5<-delete_participant
(32000|32025) pub13<-delete_participant
(32000|32021) pub12<-delete_participant
(32000|32013) pub2<-delete_participant
(32000|32037) pub25<-delete_participant
(32000|32031) pub7<-delete_participant
(32000|32023) pub14<-delete_participant
(32000|32027) pub18<-delete_participant
(32000|32033) pub30<-delete_participant
(32000|32017) pub21<-delete_participant
(32000|32019) pub9<-delete_participant
(32000|32016) pub8<-delete_participant
(32000|32018) pub22<-delete_participant
(32000|32036) pub23<-delete_participant
(32000|32039) pub27<-delete_participant
(32000|32022) pub10<-delete_participant
(32000|32029) pub11<-delete_participant
(32000|32056) pub39<-delete_participant
(32000|32054) pub50<-delete_participant
(32000|32053) pub37<-delete_participant
(32000|32032) pub29<-delete_participant
(32000|32040) pub40<-delete_participant
(32000|32045) pub31<-delete_participant
(32000|32051) pub44<-delete_participant
(32000|32049) pub45<-delete_participant
(32000|32060) pub53<-delete_participant
(32000|32035) pub26<-delete_participant
(32000|32048) pub38<-delete_participant
(32000|32050) pub33<-delete_participant
(32000|32062) pub55<-delete_participant
(32000|32057) pub34<-delete_participant
(32000|32058) pub36<-delete_participant
(32000|32066) pub56<-delete_participant
(32000|32059) pub42<-delete_participant
(32000|32061) pub51<-delete_participant
(32000|32067) pub57<-delete_participant
(32000|32047) pub47<-delete_participant
(32000|32064) pub54<-delete_participant
(32000|32063) pub48<-delete_participant
(32000|32041) pub52<-delete_participant
(32000|32034) pub24<-delete_participant
(32000|32069) pub60<-delete_participant
(32000|32072) pub62<-delete_participant
(32000|32038) pub28<-delete_participant
(32000|32071) pub63<-delete_participant
(32000|32042) pub32<-delete_participant
(32000|32046) pub49<-delete_participant
(32000|32070) pub61<-delete_participant
(32000|32044) pub46<-delete_participant
(32000|32030) pub15<-delete_participant
(32000|32026) pub17<-delete_participant
(32000|32024) pub16<-delete_participant
(32000|32028) pub19<-delete_participant
(32000|32020) pub20<-delete_participant
(32000|32043) pub35<-delete_participant
(32000|32014) pub6<-delete_participant
(32000|32052) pub41<-delete_participant
(32000|32068) pub59<-delete_participant
(32000|32065) pub58<-delete_participant
(32000|32055) pub43<-delete_participant
(32000|32000) <- PublisherService::~PublisherService
(32000|32000) <- Subscriber delete_contained_entities
(32000|32006) WARNING: TransportReceiveStrategy::~TransportReceiveStrategy() - terminating with 1 unprocessed bytes.
(32000|32000) <- Subscriber delete_participant
(32000|32000) <- Subscriber::~Subscriber
(32000|32000) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl aggressive durable Time:3s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl single rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1 -n 1 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 32074 started at 2023-04-21 22:32:03
(32074|32074) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(32074|32074) -> Thrasher started
(32074|32074) -> Subscriber::Subscriber
(32074|32074)    Subscriber reader id: 0103000c.297a35f2.7d4a6856.00000007(7c65ccb1)
(32074|32074) -> PublisherService::PublisherService
(32074|32074) -> PublisherService::start (1 threads)
(32074|32074) sub wait_received 0:1
(32074|32080) pub0->transport cfg_0
(32074|32080) pub0  writer id: 0103000c.297a35f2.7d4a6857.00000002(316f118e)
(32074|32080) pub0->started
(32074|32080) pub0  100% (1 samples sent)
(32074|32080) pub0->wait_match() before write for 0103000c.297a35f2.7d4a6857.00000002(316f118e)
(32074|32080) pub0<-match found! before write for 0103000c.297a35f2.7d4a6857.00000002(316f118e)
(32074|32080) pub0  waiting for acks
(32074|32079)  sub 100% (1 samples received)
(32074|32079) sub condition_.notify_all
(32074|32074) sub condition_.wait returned
(32074|32074) sub check_received
(32074|32074) sub check_received returns 0
(32074|32074) <- PublisherService::end
(32074|32080) pub0  waiting for acks returned
(32074|32080) pub0<-delete_contained_entities
(32074|32080) pub0<-delete_participant
(32074|32074) <- PublisherService::~PublisherService
(32074|32074) <- Subscriber delete_contained_entities
(32074|32074) <- Subscriber delete_participant
(32074|32074) <- Subscriber::~Subscriber
(32074|32074) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl single rtps durable Time:2s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl double rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 2 -s 1 -n 2 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 32086 started at 2023-04-21 22:32:05
(32086|32086) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(32086|32086) -> Thrasher started
(32086|32086) -> Subscriber::Subscriber
(32086|32086)    Subscriber reader id: 0103000c.297a35f2.7d565b40.00000007(b1552d2a)
(32086|32086) -> PublisherService::PublisherService
(32086|32086) -> PublisherService::start (2 threads)
(32086|32086) sub wait_received 0:2
(32086|32093) pub1->transport cfg_1
(32086|32092) pub0->transport cfg_0
(32086|32092) pub0  writer id: 0103000c.297a35f2.7d565b41.00000002(fc5ff015)
(32086|32092) pub0->started
(32086|32092) pub0  100% (1 samples sent)
(32086|32092) pub0->wait_match() before write for 0103000c.297a35f2.7d565b41.00000002(fc5ff015)
(32086|32093) pub1  writer id: 0103000c.297a35f2.7d565b42.00000002(bbff8ac5)
(32086|32093) pub1->started
(32086|32093) pub1  100% (1 samples sent)
(32086|32093) pub1->wait_match() before write for 0103000c.297a35f2.7d565b42.00000002(bbff8ac5)
(32086|32092) pub0<-match found! before write for 0103000c.297a35f2.7d565b41.00000002(fc5ff015)
(32086|32092) pub0  waiting for acks
(32086|32093) pub1<-match found! before write for 0103000c.297a35f2.7d565b42.00000002(bbff8ac5)
(32086|32093) pub1  waiting for acks
(32086|32091)  sub 50% (1 samples received)
(32086|32091)  sub 100% (2 samples received)
(32086|32091) sub condition_.notify_all
(32086|32086) sub condition_.wait returned
(32086|32086) sub check_received
(32086|32086) sub check_received returns 0
(32086|32086) <- PublisherService::end
(32086|32093) pub1  waiting for acks returned
(32086|32093) pub1<-delete_contained_entities
(32086|32092) pub0  waiting for acks returned
(32086|32092) pub0<-delete_contained_entities
(32086|32093) pub1<-delete_participant
(32086|32092) pub0<-delete_participant
(32086|32086) <- PublisherService::~PublisherService
(32086|32086) <- Subscriber delete_contained_entities
(32086|32086) <- Subscriber delete_participant
(32086|32086) <- Subscriber::~Subscriber
(32086|32086) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl double rtps durable Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl triangle rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 3 -s 3 -n 9 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 32103 started at 2023-04-21 22:32:06
(32103|32103) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(32103|32103) -> Thrasher started
(32103|32103) -> Subscriber::Subscriber
(32103|32103)    Subscriber reader id: 0103000c.297a35f2.7d677627.00000007(2f613e79)
(32103|32103) -> PublisherService::PublisherService
(32103|32103) -> PublisherService::start (3 threads)
(32103|32103) sub wait_received 0:3
(32103|32111) pub2->transport cfg_2
(32103|32109) pub0->transport cfg_0
(32103|32110) pub1->transport cfg_1
(32103|32109) pub0  writer id: 0103000c.297a35f2.7d677628.00000002(dd5b5d27)
(32103|32109) pub0->started
(32103|32109) pub0  33% (1 samples sent)
(32103|32109) pub0  66% (2 samples sent)
(32103|32109) pub0  100% (3 samples sent)
(32103|32109) pub0->wait_match() before write for 0103000c.297a35f2.7d677628.00000002(dd5b5d27)
(32103|32110) pub1  writer id: 0103000c.297a35f2.7d677629.00000002(e03b7497)
(32103|32110) pub1->started
(32103|32110) pub1  33% (1 samples sent)
(32103|32110) pub1  66% (2 samples sent)
(32103|32110) pub1  100% (3 samples sent)
(32103|32110) pub1->wait_match() before write for 0103000c.297a35f2.7d677629.00000002(e03b7497)
(32103|32111) pub2  writer id: 0103000c.297a35f2.7d67762a.00000002(a79b0e47)
(32103|32111) pub2->started
(32103|32111) pub2  33% (1 samples sent)
(32103|32111) pub2  66% (2 samples sent)
(32103|32111) pub2  100% (3 samples sent)
(32103|32111) pub2->wait_match() before write for 0103000c.297a35f2.7d67762a.00000002(a79b0e47)
(32103|32111) pub2<-match found! before write for 0103000c.297a35f2.7d67762a.00000002(a79b0e47)
(32103|32111) pub2  waiting for acks
(32103|32109) pub0<-match found! before write for 0103000c.297a35f2.7d677628.00000002(dd5b5d27)
(32103|32109) pub0  waiting for acks
(32103|32110) pub1<-match found! before write for 0103000c.297a35f2.7d677629.00000002(e03b7497)
(32103|32110) pub1  waiting for acks
(32103|32108)  sub 11% (1 samples received)
(32103|32108)  sub 22% (2 samples received)
(32103|32108)  sub 33% (3 samples received)
(32103|32108) sub condition_.notify_all
(32103|32103) sub condition_.wait returned
(32103|32103) sub check_received
(32103|32103) sub check_received returns 0
(32103|32103) <- PublisherService::end
(32103|32111) pub2  waiting for acks returned
(32103|32111) pub2<-delete_contained_entities
(32103|32110) pub1  waiting for acks returned
(32103|32110) pub1<-delete_contained_entities
(32103|32109) pub0  waiting for acks returned
(32103|32109) pub0<-delete_contained_entities
(32103|32110) pub1<-delete_participant
(32103|32111) pub2<-delete_participant
(32103|32109) pub0<-delete_participant
(32103|32103) <- PublisherService::~PublisherService
(32103|32103) <- Subscriber delete_contained_entities
(32103|32103) <- Subscriber delete_participant
(32103|32103) <- Subscriber::~Subscriber
(32103|32103) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl triangle rtps durable Time:2s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl default rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1024 -n 1024 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 32125 started at 2023-04-21 22:32:08
(32125|32125) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(32125|32125) -> Thrasher started
(32125|32125) -> Subscriber::Subscriber
(32125|32125)    Subscriber reader id: 0103000c.297a35f2.7d7daa1c.00000007(5fc2a83a)
(32125|32125) -> PublisherService::PublisherService
(32125|32125) -> PublisherService::start (1 threads)
(32125|32125) sub wait_received 0:1
(32125|32131) pub0->transport cfg_0
(32125|32131) pub0  writer id: 0103000c.297a35f2.7d7daa1d.00000002(12c87505)
(32125|32131) pub0->started
(32125|32131) pub0  10% (103 samples sent)
(32125|32131) pub0  20% (205 samples sent)
(32125|32131) pub0  30% (308 samples sent)
(32125|32131) pub0  40% (410 samples sent)
(32125|32131) pub0  50% (512 samples sent)
(32125|32131) pub0  60% (615 samples sent)
(32125|32131) pub0  70% (717 samples sent)
(32125|32131) pub0  80% (820 samples sent)
(32125|32131) pub0  90% (922 samples sent)
(32125|32131) pub0  100% (1024 samples sent)
(32125|32131) pub0->wait_match() before write for 0103000c.297a35f2.7d7daa1d.00000002(12c87505)
(32125|32131) pub0<-match found! before write for 0103000c.297a35f2.7d7daa1d.00000002(12c87505)
(32125|32131) pub0  waiting for acks
(32125|32130) sub condition_.notify_all
(32125|32125) sub condition_.wait returned
(32125|32125) sub check_received
(32125|32125) sub check_received returns 0
(32125|32125) <- PublisherService::end
(32125|32131) pub0  waiting for acks returned
(32125|32131) pub0<-delete_contained_entities
(32125|32131) pub0<-delete_participant
(32125|32125) <- PublisherService::~PublisherService
(32125|32125) <- Subscriber delete_contained_entities
(32125|32125) <- Subscriber delete_participant
(32125|32125) <- Subscriber::~Subscriber
(32125|32125) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl default rtps durable Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl low rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 8 -s 128 -n 1024 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 32137 started at 2023-04-21 22:32:10
(32137|32137) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(32137|32137) -> Thrasher started
(32137|32137) -> Subscriber::Subscriber
(32137|32137)    Subscriber reader id: 0103000c.297a35f2.7d8942a8.00000007(d0a381cb)
(32137|32137) -> PublisherService::PublisherService
(32137|32137) -> PublisherService::start (8 threads)
(32137|32137) sub wait_received 0:8
(32137|32149) pub6->transport cfg_6
(32137|32144) pub1->transport cfg_1
(32137|32147) pub2->transport cfg_2
(32137|32143) pub0->transport cfg_0
(32137|32150) pub7->transport cfg_7
(32137|32145) pub4->transport cfg_4
(32137|32148) pub5->transport cfg_5
(32137|32146) pub3->transport cfg_3
(32137|32143) pub0  writer id: 0103000c.297a35f2.7d8942a9.00000002(9da95cf4)
(32137|32143) pub0->started
(32137|32144) pub1  writer id: 0103000c.297a35f2.7d8942aa.00000002(da092624)
(32137|32144) pub1->started
(32137|32144) pub1  10% (13 samples sent)
(32137|32147) pub2  writer id: 0103000c.297a35f2.7d8942ab.00000002(e7690f94)
(32137|32147) pub2->started
(32137|32144) pub1  20% (26 samples sent)
(32137|32147) pub2  10% (13 samples sent)
(32137|32148) pub5  writer id: 0103000c.297a35f2.7d8942ae.00000002(2f8980e4)
(32137|32148) pub5->started
(32137|32145) pub4  writer id: 0103000c.297a35f2.7d8942ac.00000002(5549d384)
(32137|32145) pub4->started
(32137|32148) pub5  10% (13 samples sent)
(32137|32145) pub4  10% (13 samples sent)
(32137|32143) pub0  10% (13 samples sent)
(32137|32143) pub0  20% (26 samples sent)
(32137|32143) pub0  30% (39 samples sent)
(32137|32150) pub7  writer id: 0103000c.297a35f2.7d8942b0.00000002(f059a907)
(32137|32150) pub7->started
(32137|32145) pub4  20% (26 samples sent)
(32137|32150) pub7  10% (13 samples sent)
(32137|32150) pub7  20% (26 samples sent)
(32137|32149) pub6  writer id: 0103000c.297a35f2.7d8942af.00000002(12e9a954)
(32137|32149) pub6->started
(32137|32145) pub4  30% (39 samples sent)
(32137|32149) pub6  10% (13 samples sent)
(32137|32145) pub4  40% (52 samples sent)
(32137|32149) pub6  20% (26 samples sent)
(32137|32149) pub6  30% (39 samples sent)
(32137|32147) pub2  20% (26 samples sent)
(32137|32147) pub2  30% (39 samples sent)
(32137|32146) pub3  writer id: 0103000c.297a35f2.7d8942ad.00000002(6829fa34)
(32137|32148) pub5  20% (26 samples sent)
(32137|32148) pub5  30% (39 samples sent)
(32137|32144) pub1  30% (39 samples sent)
(32137|32147) pub2  40% (52 samples sent)
(32137|32144) pub1  40% (52 samples sent)
(32137|32147) pub2  50% (64 samples sent)
(32137|32144) pub1  50% (64 samples sent)
(32137|32143) pub0  40% (52 samples sent)
(32137|32143) pub0  50% (64 samples sent)
(32137|32150) pub7  30% (39 samples sent)
(32137|32146) pub3->started
(32137|32148) pub5  40% (52 samples sent)
(32137|32146) pub3  10% (13 samples sent)
(32137|32148) pub5  50% (64 samples sent)
(32137|32146) pub3  20% (26 samples sent)
(32137|32148) pub5  60% (77 samples sent)
(32137|32146) pub3  30% (39 samples sent)
(32137|32149) pub6  40% (52 samples sent)
(32137|32149) pub6  50% (64 samples sent)
(32137|32150) pub7  40% (52 samples sent)
(32137|32149) pub6  60% (77 samples sent)
(32137|32150) pub7  50% (64 samples sent)
(32137|32149) pub6  70% (90 samples sent)
(32137|32150) pub7  60% (77 samples sent)
(32137|32146) pub3  40% (52 samples sent)
(32137|32144) pub1  60% (77 samples sent)
(32137|32146) pub3  50% (64 samples sent)
(32137|32144) pub1  70% (90 samples sent)
(32137|32146) pub3  60% (77 samples sent)
(32137|32144) pub1  80% (103 samples sent)
(32137|32146) pub3  70% (90 samples sent)
(32137|32148) pub5  70% (90 samples sent)
(32137|32148) pub5  80% (103 samples sent)
(32137|32143) pub0  60% (77 samples sent)
(32137|32143) pub0  70% (90 samples sent)
(32137|32143) pub0  80% (103 samples sent)
(32137|32145) pub4  50% (64 samples sent)
(32137|32145) pub4  60% (77 samples sent)
(32137|32145) pub4  70% (90 samples sent)
(32137|32147) pub2  60% (77 samples sent)
(32137|32147) pub2  70% (90 samples sent)
(32137|32147) pub2  80% (103 samples sent)
(32137|32147) pub2  90% (116 samples sent)
(32137|32149) pub6  80% (103 samples sent)
(32137|32150) pub7  70% (90 samples sent)
(32137|32149) pub6  90% (116 samples sent)
(32137|32150) pub7  80% (103 samples sent)
(32137|32149) pub6  100% (128 samples sent)
(32137|32146) pub3  80% (103 samples sent)
(32137|32149) pub6->wait_match() before write for 0103000c.297a35f2.7d8942af.00000002(12e9a954)
(32137|32148) pub5  90% (116 samples sent)
(32137|32146) pub3  90% (116 samples sent)
(32137|32148) pub5  100% (128 samples sent)
(32137|32148) pub5->wait_match() before write for 0103000c.297a35f2.7d8942ae.00000002(2f8980e4)
(32137|32146) pub3  100% (128 samples sent)
(32137|32146) pub3->wait_match() before write for 0103000c.297a35f2.7d8942ad.00000002(6829fa34)
(32137|32145) pub4  80% (103 samples sent)
(32137|32145) pub4  90% (116 samples sent)
(32137|32145) pub4  100% (128 samples sent)
(32137|32145) pub4->wait_match() before write for 0103000c.297a35f2.7d8942ac.00000002(5549d384)
(32137|32143) pub0  90% (116 samples sent)
(32137|32143) pub0  100% (128 samples sent)
(32137|32143) pub0->wait_match() before write for 0103000c.297a35f2.7d8942a9.00000002(9da95cf4)
(32137|32150) pub7  90% (116 samples sent)
(32137|32144) pub1  90% (116 samples sent)
(32137|32150) pub7  100% (128 samples sent)
(32137|32150) pub7->wait_match() before write for 0103000c.297a35f2.7d8942b0.00000002(f059a907)
(32137|32144) pub1  100% (128 samples sent)
(32137|32144) pub1->wait_match() before write for 0103000c.297a35f2.7d8942aa.00000002(da092624)
(32137|32147) pub2  100% (128 samples sent)
(32137|32147) pub2->wait_match() before write for 0103000c.297a35f2.7d8942ab.00000002(e7690f94)
(32137|32144) pub1<-match found! before write for 0103000c.297a35f2.7d8942aa.00000002(da092624)
(32137|32144) pub1  waiting for acks
(32137|32143) pub0<-match found! before write for 0103000c.297a35f2.7d8942a9.00000002(9da95cf4)
(32137|32143) pub0  waiting for acks
(32137|32147) pub2<-match found! before write for 0103000c.297a35f2.7d8942ab.00000002(e7690f94)
(32137|32147) pub2  waiting for acks
(32137|32146) pub3<-match found! before write for 0103000c.297a35f2.7d8942ad.00000002(6829fa34)
(32137|32146) pub3  waiting for acks
(32137|32150) pub7<-match found! before write for 0103000c.297a35f2.7d8942b0.00000002(f059a907)
(32137|32150) pub7  waiting for acks
(32137|32145) pub4<-match found! before write for 0103000c.297a35f2.7d8942ac.00000002(5549d384)
(32137|32145) pub4  waiting for acks
(32137|32149) pub6<-match found! before write for 0103000c.297a35f2.7d8942af.00000002(12e9a954)
(32137|32149) pub6  waiting for acks
(32137|32148) pub5<-match found! before write for 0103000c.297a35f2.7d8942ae.00000002(2f8980e4)
(32137|32148) pub5  waiting for acks
(32137|32142) sub condition_.notify_all
(32137|32137) sub condition_.wait returned
(32137|32137) sub check_received
(32137|32137) sub check_received returns 0
(32137|32137) <- PublisherService::end
(32137|32143) pub0  waiting for acks returned
(32137|32143) pub0<-delete_contained_entities
(32137|32144) pub1  waiting for acks returned
(32137|32144) pub1<-delete_contained_entities
(32137|32146) pub3  waiting for acks returned
(32137|32146) pub3<-delete_contained_entities
(32137|32147) pub2  waiting for acks returned
(32137|32147) pub2<-delete_contained_entities
(32137|32150) pub7  waiting for acks returned
(32137|32150) pub7<-delete_contained_entities
(32137|32145) pub4  waiting for acks returned
(32137|32145) pub4<-delete_contained_entities
(32137|32148) pub5  waiting for acks returned
(32137|32148) pub5<-delete_contained_entities
(32137|32149) pub6  waiting for acks returned
(32137|32149) pub6<-delete_contained_entities
(32137|32144) pub1<-delete_participant
(32137|32143) pub0<-delete_participant
(32137|32146) pub3<-delete_participant
(32137|32147) pub2<-delete_participant
(32137|32150) pub7<-delete_participant
(32137|32145) pub4<-delete_participant
(32137|32148) pub5<-delete_participant
(32137|32149) pub6<-delete_participant
(32137|32137) <- PublisherService::~PublisherService
(32137|32137) <- Subscriber delete_contained_entities
(32137|32137) <- Subscriber delete_participant
(32137|32137) <- Subscriber::~Subscriber
(32137|32137) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl low rtps durable Time:2s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl medium rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 16 -s 64 -n 1024 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 32184 started at 2023-04-21 22:32:11
(32184|32184) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(32184|32184) -> Thrasher started
(32184|32184) -> Subscriber::Subscriber
(32184|32184)    Subscriber reader id: 0103000c.297a35f2.7db8a2bf.00000007(1a337f3d)
(32184|32184) -> PublisherService::PublisherService
(32184|32184) -> PublisherService::start (16 threads)
(32184|32184) sub wait_received 0:16
(32184|32200) pub9->transport cfg_9
(32184|32190) pub0->transport cfg_0
(32184|32204) pub13->transport cfg_13
(32184|32194) pub4->transport cfg_4
(32184|32197) pub6->transport cfg_6
(32184|32196) pub7->transport cfg_7
(32184|32193) pub3->transport cfg_3
(32184|32198) pub8->transport cfg_8
(32184|32201) pub11->transport cfg_11
(32184|32205) pub15->transport cfg_15
(32184|32199) pub10->transport cfg_10
(32184|32195) pub2->transport cfg_2
(32184|32192) pub1->transport cfg_1
(32184|32203) pub14->transport cfg_14
(32184|32202) pub12->transport cfg_12
(32184|32191) pub5->transport cfg_5
(32184|32205) pub15  writer id: 0103000c.297a35f2.7db8a2ce.00000002(aeeb42cd)
(32184|32205) pub15->started
(32184|32190) pub0  writer id: 0103000c.297a35f2.7db8a2c0.00000002(11dbfcac)
(32184|32190) pub0->started
(32184|32199) pub10  writer id: 0103000c.297a35f2.7db8a2ca.00000002(5b6be40d)
(32184|32190) pub0  10% (7 samples sent)
(32184|32205) pub15  10% (7 samples sent)
(32184|32205) pub15  20% (13 samples sent)
(32184|32205) pub15  31% (20 samples sent)
(32184|32205) pub15  40% (26 samples sent)
(32184|32205) pub15  50% (32 samples sent)
(32184|32205) pub15  60% (39 samples sent)
(32184|32199) pub10->started
(32184|32190) pub0  20% (13 samples sent)
(32184|32193) pub3  writer id: 0103000c.297a35f2.7db8a2c4.00000002(e45b5a6c)
(32184|32193) pub3->started
(32184|32193) pub3  10% (7 samples sent)
(32184|32193) pub3  20% (13 samples sent)
(32184|32193) pub3  31% (20 samples sent)
(32184|32193) pub3  40% (26 samples sent)
(32184|32193) pub3  50% (32 samples sent)
(32184|32200) pub9  writer id: 0103000c.297a35f2.7db8a2c9.00000002(1ccb9edd)
(32184|32200) pub9->started
(32184|32200) pub9  10% (7 samples sent)
(32184|32200) pub9  20% (13 samples sent)
(32184|32200) pub9  31% (20 samples sent)
(32184|32200) pub9  40% (26 samples sent)
(32184|32200) pub9  50% (32 samples sent)
(32184|32205) pub15  70% (45 samples sent)
(32184|32205) pub15  81% (52 samples sent)
(32184|32205) pub15  90% (58 samples sent)
(32184|32205) pub15  100% (64 samples sent)
(32184|32205) pub15->wait_match() before write for 0103000c.297a35f2.7db8a2ce.00000002(aeeb42cd)
(32184|32199) pub10  10% (7 samples sent)
(32184|32199) pub10  20% (13 samples sent)
(32184|32199) pub10  31% (20 samples sent)
(32184|32199) pub10  40% (26 samples sent)
(32184|32199) pub10  50% (32 samples sent)
(32184|32197) pub6  writer id: 0103000c.297a35f2.7db8a2c6.00000002(9e9b090c)
(32184|32197) pub6->started
(32184|32197) pub6  10% (7 samples sent)
(32184|32195) pub2  writer id: 0103000c.297a35f2.7db8a2c2.00000002(6b1bafcc)
(32184|32195) pub2->started
(32184|32195) pub2  10% (7 samples sent)
(32184|32195) pub2  20% (13 samples sent)
(32184|32195) pub2  31% (20 samples sent)
(32184|32195) pub2  40% (26 samples sent)
(32184|32204) pub13  writer id: 0103000c.297a35f2.7db8a2cd.00000002(e94b381d)
(32184|32193) pub3  60% (39 samples sent)
(32184|32193) pub3  70% (45 samples sent)
(32184|32193) pub3  81% (52 samples sent)
(32184|32193) pub3  90% (58 samples sent)
(32184|32193) pub3  100% (64 samples sent)
(32184|32193) pub3->wait_match() before write for 0103000c.297a35f2.7db8a2c4.00000002(e45b5a6c)
(32184|32200) pub9  60% (39 samples sent)
(32184|32200) pub9  70% (45 samples sent)
(32184|32200) pub9  81% (52 samples sent)
(32184|32200) pub9  90% (58 samples sent)
(32184|32200) pub9  100% (64 samples sent)
(32184|32200) pub9->wait_match() before write for 0103000c.297a35f2.7db8a2c9.00000002(1ccb9edd)
(32184|32199) pub10  60% (39 samples sent)
(32184|32199) pub10  70% (45 samples sent)
(32184|32199) pub10  81% (52 samples sent)
(32184|32199) pub10  90% (58 samples sent)
(32184|32197) pub6  20% (13 samples sent)
(32184|32198) pub8  writer id: 0103000c.297a35f2.7db8a2c8.00000002(21abb76d)
(32184|32198) pub8->started
(32184|32196) pub7  writer id: 0103000c.297a35f2.7db8a2c7.00000002(a3fb20bc)
(32184|32196) pub7->started
(32184|32196) pub7  10% (7 samples sent)
(32184|32196) pub7  20% (13 samples sent)
(32184|32196) pub7  31% (20 samples sent)
(32184|32196) pub7  40% (26 samples sent)
(32184|32194) pub4  writer id: 0103000c.297a35f2.7db8a2c5.00000002(d93b73dc)
(32184|32194) pub4->started
(32184|32194) pub4  10% (7 samples sent)
(32184|32204) pub13->started
(32184|32201) pub11  writer id: 0103000c.297a35f2.7db8a2cb.00000002(660bcdbd)
(32184|32201) pub11->started
(32184|32201) pub11  10% (7 samples sent)
(32184|32201) pub11  20% (13 samples sent)
(32184|32201) pub11  31% (20 samples sent)
(32184|32201) pub11  40% (26 samples sent)
(32184|32201) pub11  50% (32 samples sent)
(32184|32190) pub0  31% (20 samples sent)
(32184|32190) pub0  40% (26 samples sent)
(32184|32190) pub0  50% (32 samples sent)
(32184|32190) pub0  60% (39 samples sent)
(32184|32190) pub0  70% (45 samples sent)
(32184|32199) pub10  100% (64 samples sent)
(32184|32199) pub10->wait_match() before write for 0103000c.297a35f2.7db8a2ca.00000002(5b6be40d)
(32184|32198) pub8  10% (7 samples sent)
(32184|32198) pub8  20% (13 samples sent)
(32184|32198) pub8  31% (20 samples sent)
(32184|32198) pub8  40% (26 samples sent)
(32184|32198) pub8  50% (32 samples sent)
(32184|32195) pub2  50% (32 samples sent)
(32184|32195) pub2  60% (39 samples sent)
(32184|32195) pub2  70% (45 samples sent)
(32184|32195) pub2  81% (52 samples sent)
(32184|32195) pub2  90% (58 samples sent)
(32184|32196) pub7  50% (32 samples sent)
(32184|32196) pub7  60% (39 samples sent)
(32184|32194) pub4  20% (13 samples sent)
(32184|32194) pub4  31% (20 samples sent)
(32184|32194) pub4  40% (26 samples sent)
(32184|32194) pub4  50% (32 samples sent)
(32184|32194) pub4  60% (39 samples sent)
(32184|32191) pub5  writer id: 0103000c.297a35f2.7db8a2c3.00000002(567b867c)
(32184|32191) pub5->started
(32184|32204) pub13  10% (7 samples sent)
(32184|32204) pub13  20% (13 samples sent)
(32184|32204) pub13  31% (20 samples sent)
(32184|32204) pub13  40% (26 samples sent)
(32184|32204) pub13  50% (32 samples sent)
(32184|32201) pub11  60% (39 samples sent)
(32184|32201) pub11  70% (45 samples sent)
(32184|32201) pub11  81% (52 samples sent)
(32184|32197) pub6  31% (20 samples sent)
(32184|32197) pub6  40% (26 samples sent)
(32184|32197) pub6  50% (32 samples sent)
(32184|32197) pub6  60% (39 samples sent)
(32184|32197) pub6  70% (45 samples sent)
(32184|32195) pub2  100% (64 samples sent)
(32184|32190) pub0  81% (52 samples sent)
(32184|32190) pub0  90% (58 samples sent)
(32184|32190) pub0  100% (64 samples sent)
(32184|32190) pub0->wait_match() before write for 0103000c.297a35f2.7db8a2c0.00000002(11dbfcac)
(32184|32196) pub7  70% (45 samples sent)
(32184|32196) pub7  81% (52 samples sent)
(32184|32196) pub7  90% (58 samples sent)
(32184|32196) pub7  100% (64 samples sent)
(32184|32196) pub7->wait_match() before write for 0103000c.297a35f2.7db8a2c7.00000002(a3fb20bc)
(32184|32191) pub5  10% (7 samples sent)
(32184|32191) pub5  20% (13 samples sent)
(32184|32191) pub5  31% (20 samples sent)
(32184|32191) pub5  40% (26 samples sent)
(32184|32191) pub5  50% (32 samples sent)
(32184|32191) pub5  60% (39 samples sent)
(32184|32191) pub5  70% (45 samples sent)
(32184|32204) pub13  60% (39 samples sent)
(32184|32204) pub13  70% (45 samples sent)
(32184|32204) pub13  81% (52 samples sent)
(32184|32204) pub13  90% (58 samples sent)
(32184|32198) pub8  60% (39 samples sent)
(32184|32192) pub1  writer id: 0103000c.297a35f2.7db8a2c1.00000002(2cbbd51c)
(32184|32192) pub1->started
(32184|32197) pub6  81% (52 samples sent)
(32184|32197) pub6  90% (58 samples sent)
(32184|32197) pub6  100% (64 samples sent)
(32184|32197) pub6->wait_match() before write for 0103000c.297a35f2.7db8a2c6.00000002(9e9b090c)
(32184|32195) pub2->wait_match() before write for 0103000c.297a35f2.7db8a2c2.00000002(6b1bafcc)
(32184|32202) pub12  writer id: 0103000c.297a35f2.7db8a2cc.00000002(d42b11ad)
(32184|32202) pub12->started
(32184|32202) pub12  10% (7 samples sent)
(32184|32203) pub14  writer id: 0103000c.297a35f2.7db8a2cf.00000002(938b6b7d)
(32184|32203) pub14->started
(32184|32191) pub5  81% (52 samples sent)
(32184|32191) pub5  90% (58 samples sent)
(32184|32191) pub5  100% (64 samples sent)
(32184|32191) pub5->wait_match() before write for 0103000c.297a35f2.7db8a2c3.00000002(567b867c)
(32184|32198) pub8  70% (45 samples sent)
(32184|32198) pub8  81% (52 samples sent)
(32184|32198) pub8  90% (58 samples sent)
(32184|32192) pub1  10% (7 samples sent)
(32184|32192) pub1  20% (13 samples sent)
(32184|32192) pub1  31% (20 samples sent)
(32184|32192) pub1  40% (26 samples sent)
(32184|32192) pub1  50% (32 samples sent)
(32184|32192) pub1  60% (39 samples sent)
(32184|32204) pub13  100% (64 samples sent)
(32184|32202) pub12  20% (13 samples sent)
(32184|32201) pub11  90% (58 samples sent)
(32184|32201) pub11  100% (64 samples sent)
(32184|32201) pub11->wait_match() before write for 0103000c.297a35f2.7db8a2cb.00000002(660bcdbd)
(32184|32203) pub14  10% (7 samples sent)
(32184|32203) pub14  20% (13 samples sent)
(32184|32203) pub14  31% (20 samples sent)
(32184|32203) pub14  40% (26 samples sent)
(32184|32203) pub14  50% (32 samples sent)
(32184|32194) pub4  70% (45 samples sent)
(32184|32194) pub4  81% (52 samples sent)
(32184|32194) pub4  90% (58 samples sent)
(32184|32194) pub4  100% (64 samples sent)
(32184|32194) pub4->wait_match() before write for 0103000c.297a35f2.7db8a2c5.00000002(d93b73dc)
(32184|32192) pub1  70% (45 samples sent)
(32184|32204) pub13->wait_match() before write for 0103000c.297a35f2.7db8a2cd.00000002(e94b381d)
(32184|32202) pub12  31% (20 samples sent)
(32184|32198) pub8  100% (64 samples sent)
(32184|32198) pub8->wait_match() before write for 0103000c.297a35f2.7db8a2c8.00000002(21abb76d)
(32184|32203) pub14  60% (39 samples sent)
(32184|32192) pub1  81% (52 samples sent)
(32184|32192) pub1  90% (58 samples sent)
(32184|32192) pub1  100% (64 samples sent)
(32184|32192) pub1->wait_match() before write for 0103000c.297a35f2.7db8a2c1.00000002(2cbbd51c)
(32184|32203) pub14  70% (45 samples sent)
(32184|32202) pub12  40% (26 samples sent)
(32184|32202) pub12  50% (32 samples sent)
(32184|32203) pub14  81% (52 samples sent)
(32184|32203) pub14  90% (58 samples sent)
(32184|32202) pub12  60% (39 samples sent)
(32184|32203) pub14  100% (64 samples sent)
(32184|32203) pub14->wait_match() before write for 0103000c.297a35f2.7db8a2cf.00000002(938b6b7d)
(32184|32202) pub12  70% (45 samples sent)
(32184|32202) pub12  81% (52 samples sent)
(32184|32202) pub12  90% (58 samples sent)
(32184|32202) pub12  100% (64 samples sent)
(32184|32202) pub12->wait_match() before write for 0103000c.297a35f2.7db8a2cc.00000002(d42b11ad)
(32184|32192) pub1<-match found! before write for 0103000c.297a35f2.7db8a2c1.00000002(2cbbd51c)
(32184|32192) pub1  waiting for acks
(32184|32190) pub0<-match found! before write for 0103000c.297a35f2.7db8a2c0.00000002(11dbfcac)
(32184|32190) pub0  waiting for acks
(32184|32198) pub8<-match found! before write for 0103000c.297a35f2.7db8a2c8.00000002(21abb76d)
(32184|32198) pub8  waiting for acks
(32184|32205) pub15<-match found! before write for 0103000c.297a35f2.7db8a2ce.00000002(aeeb42cd)
(32184|32205) pub15  waiting for acks
(32184|32195) pub2<-match found! before write for 0103000c.297a35f2.7db8a2c2.00000002(6b1bafcc)
(32184|32195) pub2  waiting for acks
(32184|32196) pub7<-match found! before write for 0103000c.297a35f2.7db8a2c7.00000002(a3fb20bc)
(32184|32196) pub7  waiting for acks
(32184|32203) pub14<-match found! before write for 0103000c.297a35f2.7db8a2cf.00000002(938b6b7d)
(32184|32203) pub14  waiting for acks
(32184|32193) pub3<-match found! before write for 0103000c.297a35f2.7db8a2c4.00000002(e45b5a6c)
(32184|32193) pub3  waiting for acks
(32184|32200) pub9<-match found! before write for 0103000c.297a35f2.7db8a2c9.00000002(1ccb9edd)
(32184|32200) pub9  waiting for acks
(32184|32194) pub4<-match found! before write for 0103000c.297a35f2.7db8a2c5.00000002(d93b73dc)
(32184|32194) pub4  waiting for acks
(32184|32202) pub12<-match found! before write for 0103000c.297a35f2.7db8a2cc.00000002(d42b11ad)
(32184|32202) pub12  waiting for acks
(32184|32197) pub6<-match found! before write for 0103000c.297a35f2.7db8a2c6.00000002(9e9b090c)
(32184|32197) pub6  waiting for acks
(32184|32191) pub5<-match found! before write for 0103000c.297a35f2.7db8a2c3.00000002(567b867c)
(32184|32191) pub5  waiting for acks
(32184|32204) pub13<-match found! before write for 0103000c.297a35f2.7db8a2cd.00000002(e94b381d)
(32184|32204) pub13  waiting for acks
(32184|32199) pub10<-match found! before write for 0103000c.297a35f2.7db8a2ca.00000002(5b6be40d)
(32184|32199) pub10  waiting for acks
(32184|32201) pub11<-match found! before write for 0103000c.297a35f2.7db8a2cb.00000002(660bcdbd)
(32184|32201) pub11  waiting for acks
(32184|32190) pub0  waiting for acks returned
(32184|32190) pub0<-delete_contained_entities
(32184|32205) pub15  waiting for acks returned
(32184|32205) pub15<-delete_contained_entities
(32184|32198) pub8  waiting for acks returned
(32184|32198) pub8<-delete_contained_entities
(32184|32189) sub condition_.notify_all
(32184|32184) sub condition_.wait returned
(32184|32184) sub check_received
(32184|32184) sub check_received returns 0
(32184|32184) <- PublisherService::end
(32184|32195) pub2  waiting for acks returned
(32184|32195) pub2<-delete_contained_entities
(32184|32192) pub1  waiting for acks returned
(32184|32192) pub1<-delete_contained_entities
(32184|32196) pub7  waiting for acks returned
(32184|32196) pub7<-delete_contained_entities
(32184|32203) pub14  waiting for acks returned
(32184|32203) pub14<-delete_contained_entities
(32184|32193) pub3  waiting for acks returned
(32184|32193) pub3<-delete_contained_entities
(32184|32200) pub9  waiting for acks returned
(32184|32200) pub9<-delete_contained_entities
(32184|32194) pub4  waiting for acks returned
(32184|32194) pub4<-delete_contained_entities
(32184|32202) pub12  waiting for acks returned
(32184|32202) pub12<-delete_contained_entities
(32184|32191) pub5  waiting for acks returned
(32184|32191) pub5<-delete_contained_entities
(32184|32197) pub6  waiting for acks returned
(32184|32197) pub6<-delete_contained_entities
(32184|32204) pub13  waiting for acks returned
(32184|32204) pub13<-delete_contained_entities
(32184|32199) pub10  waiting for acks returned
(32184|32201) pub11  waiting for acks returned
(32184|32199) pub10<-delete_contained_entities
(32184|32201) pub11<-delete_contained_entities
(32184|32190) pub0<-delete_participant
(32184|32205) pub15<-delete_participant
(32184|32198) pub8<-delete_participant
(32184|32195) pub2<-delete_participant
(32184|32192) pub1<-delete_participant
(32184|32196) pub7<-delete_participant
(32184|32203) pub14<-delete_participant
(32184|32193) pub3<-delete_participant
(32184|32200) pub9<-delete_participant
(32184|32194) pub4<-delete_participant
(32184|32202) pub12<-delete_participant
(32184|32191) pub5<-delete_participant
(32184|32197) pub6<-delete_participant
(32184|32204) pub13<-delete_participant
(32184|32201) pub11<-delete_participant
(32184|32199) pub10<-delete_participant
(32184|32184) <- PublisherService::~PublisherService
(32184|32184) <- Subscriber delete_contained_entities
(32184|32184) <- Subscriber delete_participant
(32184|32184) <- Subscriber::~Subscriber
(32184|32184) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl medium rtps durable Time:4s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl high rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 32 -s 32 -n 1024 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 32271 started at 2023-04-21 22:32:16
(32271|32271) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(32271|32271) -> Thrasher started
(32271|32271) -> Subscriber::Subscriber
(32271|32271)    Subscriber reader id: 0103000c.297a35f2.7e0fb6e6.00000007(dc2ae4c7)
(32271|32271) -> PublisherService::PublisherService
(32271|32271) -> PublisherService::start (32 threads)
(32271|32271) sub wait_received 0:32
(32271|32279) pub1->transport cfg_1
(32271|32277) pub0->transport cfg_0
(32271|32278) pub2->transport cfg_2
(32271|32280) pub4->transport cfg_4
(32271|32283) pub5->transport cfg_5
(32271|32284) pub7->transport cfg_7
(32271|32281) pub3->transport cfg_3
(32271|32287) pub9->transport cfg_9
(32271|32285) pub8->transport cfg_8
(32271|32282) pub6->transport cfg_6
(32271|32293) pub16->transport cfg_16
(32271|32288) pub11->transport cfg_11
(32271|32286) pub10->transport cfg_10
(32271|32289) pub12->transport cfg_12
(32271|32292) pub15->transport cfg_15
(32271|32294) pub17->transport cfg_17
(32271|32305) pub28->transport cfg_28
(32271|32284) pub7  writer id: 0103000c.297a35f2.7e0fb6ee.00000002(9c305b89)
(32271|32278) pub2  writer id: 0103000c.297a35f2.7e0fb6e9.00000002(2e108799)
(32271|32278) pub2->started
(32271|32281) pub3  writer id: 0103000c.297a35f2.7e0fb6eb.00000002(54d0d4f9)
(32271|32281) pub3->started
(32271|32287) pub9  writer id: 0103000c.297a35f2.7e0fb6f0.00000002(43e0726a)
(32271|32287) pub9->started
(32271|32278) pub2  12% (4 samples sent)
(32271|32278) pub2  21% (7 samples sent)
(32271|32278) pub2  31% (10 samples sent)
(32271|32278) pub2  40% (13 samples sent)
(32271|32278) pub2  50% (16 samples sent)
(32271|32278) pub2  62% (20 samples sent)
(32271|32278) pub2  71% (23 samples sent)
(32271|32287) pub9  12% (4 samples sent)
(32271|32287) pub9  21% (7 samples sent)
(32271|32287) pub9  31% (10 samples sent)
(32271|32287) pub9  40% (13 samples sent)
(32271|32287) pub9  50% (16 samples sent)
(32271|32287) pub9  62% (20 samples sent)
(32271|32287) pub9  71% (23 samples sent)
(32271|32287) pub9  81% (26 samples sent)
(32271|32287) pub9  90% (29 samples sent)
(32271|32287) pub9  100% (32 samples sent)
(32271|32287) pub9->wait_match() before write for 0103000c.297a35f2.7e0fb6f0.00000002(43e0726a)
(32271|32290) pub13->transport cfg_13
(32271|32284) pub7->started
(32271|32284) pub7  12% (4 samples sent)
(32271|32284) pub7  21% (7 samples sent)
(32271|32284) pub7  31% (10 samples sent)
(32271|32284) pub7  40% (13 samples sent)
(32271|32284) pub7  50% (16 samples sent)
(32271|32284) pub7  62% (20 samples sent)
(32271|32284) pub7  71% (23 samples sent)
(32271|32284) pub7  81% (26 samples sent)
(32271|32284) pub7  90% (29 samples sent)
(32271|32279) pub1  writer id: 0103000c.297a35f2.7e0fb6e8.00000002(1370ae29)
(32271|32281) pub3  12% (4 samples sent)
(32271|32281) pub3  21% (7 samples sent)
(32271|32281) pub3  31% (10 samples sent)
(32271|32281) pub3  40% (13 samples sent)
(32271|32281) pub3  50% (16 samples sent)
(32271|32281) pub3  62% (20 samples sent)
(32271|32281) pub3  71% (23 samples sent)
(32271|32281) pub3  81% (26 samples sent)
(32271|32281) pub3  90% (29 samples sent)
(32271|32281) pub3  100% (32 samples sent)
(32271|32281) pub3->wait_match() before write for 0103000c.297a35f2.7e0fb6eb.00000002(54d0d4f9)
(32271|32278) pub2  81% (26 samples sent)
(32271|32278) pub2  90% (29 samples sent)
(32271|32304) pub27->transport cfg_27
(32271|32283) pub5  writer id: 0103000c.297a35f2.7e0fb6ec.00000002(e6f008e9)
(32271|32283) pub5->started
(32271|32283) pub5  12% (4 samples sent)
(32271|32283) pub5  21% (7 samples sent)
(32271|32283) pub5  31% (10 samples sent)
(32271|32283) pub5  40% (13 samples sent)
(32271|32283) pub5  50% (16 samples sent)
(32271|32283) pub5  62% (20 samples sent)
(32271|32283) pub5  71% (23 samples sent)
(32271|32283) pub5  81% (26 samples sent)
(32271|32283) pub5  90% (29 samples sent)
(32271|32283) pub5  100% (32 samples sent)
(32271|32283) pub5->wait_match() before write for 0103000c.297a35f2.7e0fb6ec.00000002(e6f008e9)
(32271|32284) pub7  100% (32 samples sent)
(32271|32284) pub7->wait_match() before write for 0103000c.297a35f2.7e0fb6ee.00000002(9c305b89)
(32271|32279) pub1->started
(32271|32278) pub2  100% (32 samples sent)
(32271|32278) pub2->wait_match() before write for 0103000c.297a35f2.7e0fb6e9.00000002(2e108799)
(32271|32303) pub26->transport cfg_26
(32271|32291) pub14->transport cfg_14
(32271|32277) pub0  writer id: 0103000c.297a35f2.7e0fb6e7.00000002(912039f8)
(32271|32277) pub0->started
(32271|32302) pub25->transport cfg_25
(32271|32306) pub29->transport cfg_29
(32271|32300) pub23->transport cfg_23
(32271|32280) pub4  writer id: 0103000c.297a35f2.7e0fb6ea.00000002(69b0fd49)
(32271|32279) pub1  12% (4 samples sent)
(32271|32279) pub1  21% (7 samples sent)
(32271|32279) pub1  31% (10 samples sent)
(32271|32279) pub1  40% (13 samples sent)
(32271|32279) pub1  50% (16 samples sent)
(32271|32279) pub1  62% (20 samples sent)
(32271|32279) pub1  71% (23 samples sent)
(32271|32279) pub1  81% (26 samples sent)
(32271|32279) pub1  90% (29 samples sent)
(32271|32279) pub1  100% (32 samples sent)
(32271|32279) pub1->wait_match() before write for 0103000c.297a35f2.7e0fb6e8.00000002(1370ae29)
(32271|32285) pub8  writer id: 0103000c.297a35f2.7e0fb6ef.00000002(a1507239)
(32271|32285) pub8->started
(32271|32285) pub8  12% (4 samples sent)
(32271|32297) pub20->transport cfg_20
(32271|32293) pub16  writer id: 0103000c.297a35f2.7e0fb6f7.00000002(f1c0ae7a)
(32271|32293) pub16->started
(32271|32293) pub16  12% (4 samples sent)
(32271|32289) pub12  writer id: 0103000c.297a35f2.7e0fb6f3.00000002(044008ba)
(32271|32289) pub12->started
(32271|32289) pub12  12% (4 samples sent)
(32271|32289) pub12  21% (7 samples sent)
(32271|32289) pub12  31% (10 samples sent)
(32271|32289) pub12  40% (13 samples sent)
(32271|32289) pub12  50% (16 samples sent)
(32271|32289) pub12  62% (20 samples sent)
(32271|32289) pub12  71% (23 samples sent)
(32271|32289) pub12  81% (26 samples sent)
(32271|32299) pub22->transport cfg_22
(32271|32296) pub19->transport cfg_19
(32271|32295) pub18->transport cfg_18
(32271|32292) pub15  writer id: 0103000c.297a35f2.7e0fb6f6.00000002(cca087ca)
(32271|32292) pub15->started
(32271|32307) pub31->transport cfg_31
(32271|32280) pub4->started
(32271|32301) pub24->transport cfg_24
(32271|32282) pub6  writer id: 0103000c.297a35f2.7e0fb6ed.00000002(db902159)
(32271|32282) pub6->started
(32271|32282) pub6  12% (4 samples sent)
(32271|32282) pub6  21% (7 samples sent)
(32271|32282) pub6  31% (10 samples sent)
(32271|32282) pub6  40% (13 samples sent)
(32271|32282) pub6  50% (16 samples sent)
(32271|32282) pub6  62% (20 samples sent)
(32271|32282) pub6  71% (23 samples sent)
(32271|32282) pub6  81% (26 samples sent)
(32271|32282) pub6  90% (29 samples sent)
(32271|32282) pub6  100% (32 samples sent)
(32271|32282) pub6->wait_match() before write for 0103000c.297a35f2.7e0fb6ed.00000002(db902159)
(32271|32308) pub30->transport cfg_30
(32271|32288) pub11  writer id: 0103000c.297a35f2.7e0fb6f2.00000002(3920210a)
(32271|32288) pub11->started
(32271|32288) pub11  12% (4 samples sent)
(32271|32277) pub0  12% (4 samples sent)
(32271|32289) pub12  90% (29 samples sent)
(32271|32289) pub12  100% (32 samples sent)
(32271|32289) pub12->wait_match() before write for 0103000c.297a35f2.7e0fb6f3.00000002(044008ba)
(32271|32292) pub15  12% (4 samples sent)
(32271|32292) pub15  21% (7 samples sent)
(32271|32292) pub15  31% (10 samples sent)
(32271|32292) pub15  40% (13 samples sent)
(32271|32292) pub15  50% (16 samples sent)
(32271|32292) pub15  62% (20 samples sent)
(32271|32292) pub15  71% (23 samples sent)
(32271|32292) pub15  81% (26 samples sent)
(32271|32292) pub15  90% (29 samples sent)
(32271|32292) pub15  100% (32 samples sent)
(32271|32292) pub15->wait_match() before write for 0103000c.297a35f2.7e0fb6f6.00000002(cca087ca)
(32271|32280) pub4  12% (4 samples sent)
(32271|32294) pub17  writer id: 0103000c.297a35f2.7e0fb6f8.00000002(739039ab)
(32271|32294) pub17->started
(32271|32294) pub17  12% (4 samples sent)
(32271|32294) pub17  21% (7 samples sent)
(32271|32294) pub17  31% (10 samples sent)
(32271|32294) pub17  40% (13 samples sent)
(32271|32294) pub17  50% (16 samples sent)
(32271|32294) pub17  62% (20 samples sent)
(32271|32294) pub17  71% (23 samples sent)
(32271|32294) pub17  81% (26 samples sent)
(32271|32294) pub17  90% (29 samples sent)
(32271|32294) pub17  100% (32 samples sent)
(32271|32294) pub17->wait_match() before write for 0103000c.297a35f2.7e0fb6f8.00000002(739039ab)
(32271|32285) pub8  21% (7 samples sent)
(32271|32285) pub8  31% (10 samples sent)
(32271|32285) pub8  40% (13 samples sent)
(32271|32285) pub8  50% (16 samples sent)
(32271|32285) pub8  62% (20 samples sent)
(32271|32285) pub8  71% (23 samples sent)
(32271|32285) pub8  81% (26 samples sent)
(32271|32285) pub8  90% (29 samples sent)
(32271|32285) pub8  100% (32 samples sent)
(32271|32285) pub8->wait_match() before write for 0103000c.297a35f2.7e0fb6ef.00000002(a1507239)
(32271|32293) pub16  21% (7 samples sent)
(32271|32288) pub11  21% (7 samples sent)
(32271|32288) pub11  31% (10 samples sent)
(32271|32288) pub11  40% (13 samples sent)
(32271|32288) pub11  50% (16 samples sent)
(32271|32288) pub11  62% (20 samples sent)
(32271|32288) pub11  71% (23 samples sent)
(32271|32288) pub11  81% (26 samples sent)
(32271|32288) pub11  90% (29 samples sent)
(32271|32288) pub11  100% (32 samples sent)
(32271|32288) pub11->wait_match() before write for 0103000c.297a35f2.7e0fb6f2.00000002(3920210a)
(32271|32277) pub0  21% (7 samples sent)
(32271|32286) pub10  writer id: 0103000c.297a35f2.7e0fb6f1.00000002(7e805bda)
(32271|32286) pub10->started
(32271|32286) pub10  12% (4 samples sent)
(32271|32286) pub10  21% (7 samples sent)
(32271|32286) pub10  31% (10 samples sent)
(32271|32286) pub10  40% (13 samples sent)
(32271|32286) pub10  50% (16 samples sent)
(32271|32286) pub10  62% (20 samples sent)
(32271|32286) pub10  71% (23 samples sent)
(32271|32286) pub10  81% (26 samples sent)
(32271|32286) pub10  90% (29 samples sent)
(32271|32286) pub10  100% (32 samples sent)
(32271|32286) pub10->wait_match() before write for 0103000c.297a35f2.7e0fb6f1.00000002(7e805bda)
(32271|32304) pub27  writer id: 0103000c.297a35f2.7e0fb704.00000002(35085752)
(32271|32304) pub27->started
(32271|32304) pub27  12% (4 samples sent)
(32271|32304) pub27  21% (7 samples sent)
(32271|32304) pub27  31% (10 samples sent)
(32271|32304) pub27  40% (13 samples sent)
(32271|32304) pub27  50% (16 samples sent)
(32271|32304) pub27  62% (20 samples sent)
(32271|32304) pub27  71% (23 samples sent)
(32271|32304) pub27  81% (26 samples sent)
(32271|32304) pub27  90% (29 samples sent)
(32271|32304) pub27  100% (32 samples sent)
(32271|32304) pub27->wait_match() before write for 0103000c.297a35f2.7e0fb704.00000002(35085752)
(32271|32305) pub28  writer id: 0103000c.297a35f2.7e0fb701.00000002(fde8d822)
(32271|32305) pub28->started
(32271|32305) pub28  12% (4 samples sent)
(32271|32305) pub28  21% (7 samples sent)
(32271|32305) pub28  31% (10 samples sent)
(32271|32305) pub28  40% (13 samples sent)
(32271|32305) pub28  50% (16 samples sent)
(32271|32305) pub28  62% (20 samples sent)
(32271|32305) pub28  71% (23 samples sent)
(32271|32303) pub26  writer id: 0103000c.297a35f2.7e0fb700.00000002(c088f192)
(32271|32303) pub26->started
(32271|32303) pub26  12% (4 samples sent)
(32271|32303) pub26  21% (7 samples sent)
(32271|32303) pub26  31% (10 samples sent)
(32271|32303) pub26  40% (13 samples sent)
(32271|32303) pub26  50% (16 samples sent)
(32271|32303) pub26  62% (20 samples sent)
(32271|32303) pub26  71% (23 samples sent)
(32271|32303) pub26  81% (26 samples sent)
(32271|32303) pub26  90% (29 samples sent)
(32271|32303) pub26  100% (32 samples sent)
(32271|32303) pub26->wait_match() before write for 0103000c.297a35f2.7e0fb700.00000002(c088f192)
(32271|32293) pub16  31% (10 samples sent)
(32271|32293) pub16  40% (13 samples sent)
(32271|32293) pub16  50% (16 samples sent)
(32271|32293) pub16  62% (20 samples sent)
(32271|32293) pub16  71% (23 samples sent)
(32271|32293) pub16  81% (26 samples sent)
(32271|32293) pub16  90% (29 samples sent)
(32271|32293) pub16  100% (32 samples sent)
(32271|32293) pub16->wait_match() before write for 0103000c.297a35f2.7e0fb6f7.00000002(f1c0ae7a)
(32271|32277) pub0  31% (10 samples sent)
(32271|32277) pub0  40% (13 samples sent)
(32271|32277) pub0  50% (16 samples sent)
(32271|32277) pub0  62% (20 samples sent)
(32271|32277) pub0  71% (23 samples sent)
(32271|32277) pub0  81% (26 samples sent)
(32271|32277) pub0  90% (29 samples sent)
(32271|32277) pub0  100% (32 samples sent)
(32271|32277) pub0->wait_match() before write for 0103000c.297a35f2.7e0fb6e7.00000002(912039f8)
(32271|32280) pub4  21% (7 samples sent)
(32271|32280) pub4  31% (10 samples sent)
(32271|32290) pub13  writer id: 0103000c.297a35f2.7e0fb6f4.00000002(b660d4aa)
(32271|32290) pub13->started
(32271|32290) pub13  12% (4 samples sent)
(32271|32290) pub13  21% (7 samples sent)
(32271|32290) pub13  31% (10 samples sent)
(32271|32290) pub13  40% (13 samples sent)
(32271|32290) pub13  50% (16 samples sent)
(32271|32302) pub25  writer id: 0103000c.297a35f2.7e0fb6ff.00000002(c1b0e5bb)
(32271|32302) pub25->started
(32271|32290) pub13  62% (20 samples sent)
(32271|32290) pub13  71% (23 samples sent)
(32271|32302) pub25  12% (4 samples sent)
(32271|32290) pub13  81% (26 samples sent)
(32271|32302) pub25  21% (7 samples sent)
(32271|32290) pub13  90% (29 samples sent)
(32271|32302) pub25  31% (10 samples sent)
(32271|32290) pub13  100% (32 samples sent)
(32271|32290) pub13->wait_match() before write for 0103000c.297a35f2.7e0fb6f4.00000002(b660d4aa)
(32271|32302) pub25  40% (13 samples sent)
(32271|32302) pub25  50% (16 samples sent)
(32271|32302) pub25  62% (20 samples sent)
(32271|32302) pub25  71% (23 samples sent)
(32271|32302) pub25  81% (26 samples sent)
(32271|32302) pub25  90% (29 samples sent)
(32271|32302) pub25  100% (32 samples sent)
(32271|32302) pub25->wait_match() before write for 0103000c.297a35f2.7e0fb6ff.00000002(c1b0e5bb)
(32271|32298) pub21->transport cfg_21
(32271|32305) pub28  81% (26 samples sent)
(32271|32305) pub28  90% (29 samples sent)
(32271|32305) pub28  100% (32 samples sent)
(32271|32305) pub28->wait_match() before write for 0103000c.297a35f2.7e0fb701.00000002(fde8d822)
(32271|32291) pub14  writer id: 0103000c.297a35f2.7e0fb6f5.00000002(8b00fd1a)
(32271|32291) pub14->started
(32271|32291) pub14  12% (4 samples sent)
(32271|32291) pub14  21% (7 samples sent)
(32271|32291) pub14  31% (10 samples sent)
(32271|32291) pub14  40% (13 samples sent)
(32271|32291) pub14  50% (16 samples sent)
(32271|32291) pub14  62% (20 samples sent)
(32271|32291) pub14  71% (23 samples sent)
(32271|32291) pub14  81% (26 samples sent)
(32271|32291) pub14  90% (29 samples sent)
(32271|32291) pub14  100% (32 samples sent)
(32271|32291) pub14->wait_match() before write for 0103000c.297a35f2.7e0fb6f5.00000002(8b00fd1a)
(32271|32307) pub31  writer id: 0103000c.297a35f2.7e0fb706.00000002(4fc80432)
(32271|32307) pub31->started
(32271|32307) pub31  12% (4 samples sent)
(32271|32307) pub31  21% (7 samples sent)
(32271|32307) pub31  31% (10 samples sent)
(32271|32307) pub31  40% (13 samples sent)
(32271|32307) pub31  50% (16 samples sent)
(32271|32307) pub31  62% (20 samples sent)
(32271|32307) pub31  71% (23 samples sent)
(32271|32307) pub31  81% (26 samples sent)
(32271|32307) pub31  90% (29 samples sent)
(32271|32307) pub31  100% (32 samples sent)
(32271|32307) pub31->wait_match() before write for 0103000c.297a35f2.7e0fb706.00000002(4fc80432)
(32271|32295) pub18  writer id: 0103000c.297a35f2.7e0fb702.00000002(ba48a2f2)
(32271|32295) pub18->started
(32271|32280) pub4  40% (13 samples sent)
(32271|32299) pub22  writer id: 0103000c.297a35f2.7e0fb6fc.00000002(86109f6b)
(32271|32299) pub22->started
(32271|32299) pub22  12% (4 samples sent)
(32271|32300) pub23  writer id: 0103000c.297a35f2.7e0fb6f9.00000002(4ef0101b)
(32271|32295) pub18  12% (4 samples sent)
(32271|32295) pub18  21% (7 samples sent)
(32271|32295) pub18  31% (10 samples sent)
(32271|32295) pub18  40% (13 samples sent)
(32271|32295) pub18  50% (16 samples sent)
(32271|32295) pub18  62% (20 samples sent)
(32271|32295) pub18  71% (23 samples sent)
(32271|32295) pub18  81% (26 samples sent)
(32271|32295) pub18  90% (29 samples sent)
(32271|32295) pub18  100% (32 samples sent)
(32271|32295) pub18->wait_match() before write for 0103000c.297a35f2.7e0fb702.00000002(ba48a2f2)
(32271|32306) pub29  writer id: 0103000c.297a35f2.7e0fb703.00000002(87288b42)
(32271|32306) pub29->started
(32271|32306) pub29  12% (4 samples sent)
(32271|32306) pub29  21% (7 samples sent)
(32271|32306) pub29  31% (10 samples sent)
(32271|32306) pub29  40% (13 samples sent)
(32271|32306) pub29  50% (16 samples sent)
(32271|32306) pub29  62% (20 samples sent)
(32271|32306) pub29  71% (23 samples sent)
(32271|32306) pub29  81% (26 samples sent)
(32271|32306) pub29  90% (29 samples sent)
(32271|32306) pub29  100% (32 samples sent)
(32271|32306) pub29->wait_match() before write for 0103000c.297a35f2.7e0fb703.00000002(87288b42)
(32271|32280) pub4  50% (16 samples sent)
(32271|32280) pub4  62% (20 samples sent)
(32271|32280) pub4  71% (23 samples sent)
(32271|32280) pub4  81% (26 samples sent)
(32271|32299) pub22  21% (7 samples sent)
(32271|32297) pub20  writer id: 0103000c.297a35f2.7e0fb6fb.00000002(3430437b)
(32271|32297) pub20->started
(32271|32297) pub20  12% (4 samples sent)
(32271|32297) pub20  21% (7 samples sent)
(32271|32297) pub20  31% (10 samples sent)
(32271|32297) pub20  40% (13 samples sent)
(32271|32297) pub20  50% (16 samples sent)
(32271|32297) pub20  62% (20 samples sent)
(32271|32297) pub20  71% (23 samples sent)
(32271|32297) pub20  81% (26 samples sent)
(32271|32297) pub20  90% (29 samples sent)
(32271|32297) pub20  100% (32 samples sent)
(32271|32297) pub20->wait_match() before write for 0103000c.297a35f2.7e0fb6fb.00000002(3430437b)
(32271|32296) pub19  writer id: 0103000c.297a35f2.7e0fb6fa.00000002(09506acb)
(32271|32296) pub19->started
(32271|32296) pub19  12% (4 samples sent)
(32271|32296) pub19  21% (7 samples sent)
(32271|32296) pub19  31% (10 samples sent)
(32271|32296) pub19  40% (13 samples sent)
(32271|32296) pub19  50% (16 samples sent)
(32271|32296) pub19  62% (20 samples sent)
(32271|32296) pub19  71% (23 samples sent)
(32271|32296) pub19  81% (26 samples sent)
(32271|32296) pub19  90% (29 samples sent)
(32271|32296) pub19  100% (32 samples sent)
(32271|32296) pub19->wait_match() before write for 0103000c.297a35f2.7e0fb6fa.00000002(09506acb)
(32271|32300) pub23->started
(32271|32280) pub4  90% (29 samples sent)
(32271|32280) pub4  100% (32 samples sent)
(32271|32280) pub4->wait_match() before write for 0103000c.297a35f2.7e0fb6ea.00000002(69b0fd49)
(32271|32300) pub23  12% (4 samples sent)
(32271|32300) pub23  21% (7 samples sent)
(32271|32300) pub23  31% (10 samples sent)
(32271|32300) pub23  40% (13 samples sent)
(32271|32300) pub23  50% (16 samples sent)
(32271|32300) pub23  62% (20 samples sent)
(32271|32300) pub23  71% (23 samples sent)
(32271|32300) pub23  81% (26 samples sent)
(32271|32300) pub23  90% (29 samples sent)
(32271|32300) pub23  100% (32 samples sent)
(32271|32300) pub23->wait_match() before write for 0103000c.297a35f2.7e0fb6f9.00000002(4ef0101b)
(32271|32308) pub30  writer id: 0103000c.297a35f2.7e0fb705.00000002(08687ee2)
(32271|32308) pub30->started
(32271|32308) pub30  12% (4 samples sent)
(32271|32308) pub30  21% (7 samples sent)
(32271|32308) pub30  31% (10 samples sent)
(32271|32308) pub30  40% (13 samples sent)
(32271|32308) pub30  50% (16 samples sent)
(32271|32308) pub30  62% (20 samples sent)
(32271|32308) pub30  71% (23 samples sent)
(32271|32308) pub30  81% (26 samples sent)
(32271|32308) pub30  90% (29 samples sent)
(32271|32308) pub30  100% (32 samples sent)
(32271|32308) pub30->wait_match() before write for 0103000c.297a35f2.7e0fb705.00000002(08687ee2)
(32271|32299) pub22  31% (10 samples sent)
(32271|32299) pub22  40% (13 samples sent)
(32271|32299) pub22  50% (16 samples sent)
(32271|32299) pub22  62% (20 samples sent)
(32271|32299) pub22  71% (23 samples sent)
(32271|32299) pub22  81% (26 samples sent)
(32271|32299) pub22  90% (29 samples sent)
(32271|32299) pub22  100% (32 samples sent)
(32271|32299) pub22->wait_match() before write for 0103000c.297a35f2.7e0fb6fc.00000002(86109f6b)
(32271|32301) pub24  writer id: 0103000c.297a35f2.7e0fb6fd.00000002(bb70b6db)
(32271|32301) pub24->started
(32271|32301) pub24  12% (4 samples sent)
(32271|32301) pub24  21% (7 samples sent)
(32271|32301) pub24  31% (10 samples sent)
(32271|32301) pub24  40% (13 samples sent)
(32271|32301) pub24  50% (16 samples sent)
(32271|32301) pub24  62% (20 samples sent)
(32271|32301) pub24  71% (23 samples sent)
(32271|32301) pub24  81% (26 samples sent)
(32271|32301) pub24  90% (29 samples sent)
(32271|32301) pub24  100% (32 samples sent)
(32271|32301) pub24->wait_match() before write for 0103000c.297a35f2.7e0fb6fd.00000002(bb70b6db)
(32271|32298) pub21  writer id: 0103000c.297a35f2.7e0fb6fe.00000002(fcd0cc0b)
(32271|32298) pub21->started
(32271|32298) pub21  12% (4 samples sent)
(32271|32298) pub21  21% (7 samples sent)
(32271|32298) pub21  31% (10 samples sent)
(32271|32298) pub21  40% (13 samples sent)
(32271|32298) pub21  50% (16 samples sent)
(32271|32298) pub21  62% (20 samples sent)
(32271|32298) pub21  71% (23 samples sent)
(32271|32298) pub21  81% (26 samples sent)
(32271|32298) pub21  90% (29 samples sent)
(32271|32298) pub21  100% (32 samples sent)
(32271|32298) pub21->wait_match() before write for 0103000c.297a35f2.7e0fb6fe.00000002(fcd0cc0b)
(32271|32281) pub3<-match found! before write for 0103000c.297a35f2.7e0fb6eb.00000002(54d0d4f9)
(32271|32281) pub3  waiting for acks
(32271|32291) pub14<-match found! before write for 0103000c.297a35f2.7e0fb6f5.00000002(8b00fd1a)
(32271|32291) pub14  waiting for acks
(32271|32305) pub28<-match found! before write for 0103000c.297a35f2.7e0fb701.00000002(fde8d822)
(32271|32305) pub28  waiting for acks
(32271|32281) pub3  waiting for acks returned
(32271|32281) pub3<-delete_contained_entities
(32271|32295) pub18<-match found! before write for 0103000c.297a35f2.7e0fb702.00000002(ba48a2f2)
(32271|32295) pub18  waiting for acks
(32271|32305) pub28  waiting for acks returned
(32271|32305) pub28<-delete_contained_entities
(32271|32281) pub3<-delete_participant
(32271|32283) pub5<-match found! before write for 0103000c.297a35f2.7e0fb6ec.00000002(e6f008e9)
(32271|32283) pub5  waiting for acks
(32271|32304) pub27<-match found! before write for 0103000c.297a35f2.7e0fb704.00000002(35085752)
(32271|32304) pub27  waiting for acks
(32271|32295) pub18  waiting for acks returned
(32271|32295) pub18<-delete_contained_entities
(32271|32305) pub28<-delete_participant
(32271|32283) pub5  waiting for acks returned
(32271|32283) pub5<-delete_contained_entities
(32271|32288) pub11<-match found! before write for 0103000c.297a35f2.7e0fb6f2.00000002(3920210a)
(32271|32288) pub11  waiting for acks
(32271|32304) pub27  waiting for acks returned
(32271|32304) pub27<-delete_contained_entities
(32271|32277) pub0<-match found! before write for 0103000c.297a35f2.7e0fb6e7.00000002(912039f8)
(32271|32277) pub0  waiting for acks
(32271|32302) pub25<-match found! before write for 0103000c.297a35f2.7e0fb6ff.00000002(c1b0e5bb)
(32271|32302) pub25  waiting for acks
(32271|32308) pub30<-match found! before write for 0103000c.297a35f2.7e0fb705.00000002(08687ee2)
(32271|32308) pub30  waiting for acks
(32271|32299) pub22<-match found! before write for 0103000c.297a35f2.7e0fb6fc.00000002(86109f6b)
(32271|32299) pub22  waiting for acks
(32271|32287) pub9<-match found! before write for 0103000c.297a35f2.7e0fb6f0.00000002(43e0726a)
(32271|32287) pub9  waiting for acks
(32271|32286) pub10<-match found! before write for 0103000c.297a35f2.7e0fb6f1.00000002(7e805bda)
(32271|32286) pub10  waiting for acks
(32271|32303) pub26<-match found! before write for 0103000c.297a35f2.7e0fb700.00000002(c088f192)
(32271|32303) pub26  waiting for acks
(32271|32291) pub14  waiting for acks returned
(32271|32291) pub14<-delete_contained_entities
(32271|32297) pub20<-match found! before write for 0103000c.297a35f2.7e0fb6fb.00000002(3430437b)
(32271|32297) pub20  waiting for acks
(32271|32289) pub12<-match found! before write for 0103000c.297a35f2.7e0fb6f3.00000002(044008ba)
(32271|32289) pub12  waiting for acks
(32271|32285) pub8<-match found! before write for 0103000c.297a35f2.7e0fb6ef.00000002(a1507239)
(32271|32285) pub8  waiting for acks
(32271|32295) pub18<-delete_participant
(32271|32283) pub5<-delete_participant
(32271|32302) pub25  waiting for acks returned
(32271|32302) pub25<-delete_contained_entities
(32271|32308) pub30  waiting for acks returned
(32271|32308) pub30<-delete_contained_entities
(32271|32304) pub27<-delete_participant
(32271|32299) pub22  waiting for acks returned
(32271|32299) pub22<-delete_contained_entities
(32271|32292) pub15<-match found! before write for 0103000c.297a35f2.7e0fb6f6.00000002(cca087ca)
(32271|32292) pub15  waiting for acks
(32271|32291) pub14<-delete_participant
(32271|32297) pub20  waiting for acks returned
(32271|32297) pub20<-delete_contained_entities
(32271|32302) pub25<-delete_participant
(32271|32290) pub13<-match found! before write for 0103000c.297a35f2.7e0fb6f4.00000002(b660d4aa)
(32271|32290) pub13  waiting for acks
(32271|32292) pub15  waiting for acks returned
(32271|32292) pub15<-delete_contained_entities
(32271|32307) pub31<-match found! before write for 0103000c.297a35f2.7e0fb706.00000002(4fc80432)
(32271|32307) pub31  waiting for acks
(32271|32279) pub1<-match found! before write for 0103000c.297a35f2.7e0fb6e8.00000002(1370ae29)
(32271|32279) pub1  waiting for acks
(32271|32308) pub30<-delete_participant
(32271|32299) pub22<-delete_participant
(32271|32277) pub0  waiting for acks returned
(32271|32277) pub0<-delete_contained_entities
(32271|32297) pub20<-delete_participant
(32271|32293) pub16<-match found! before write for 0103000c.297a35f2.7e0fb6f7.00000002(f1c0ae7a)
(32271|32293) pub16  waiting for acks
(32271|32287) pub9  waiting for acks returned
(32271|32287) pub9<-delete_contained_entities
(32271|32303) pub26  waiting for acks returned
(32271|32303) pub26<-delete_contained_entities
(32271|32289) pub12  waiting for acks returned
(32271|32289) pub12<-delete_contained_entities
(32271|32285) pub8  waiting for acks returned
(32271|32285) pub8<-delete_contained_entities
(32271|32294) pub17<-match found! before write for 0103000c.297a35f2.7e0fb6f8.00000002(739039ab)
(32271|32294) pub17  waiting for acks
(32271|32288) pub11  waiting for acks returned
(32271|32288) pub11<-delete_contained_entities
(32271|32292) pub15<-delete_participant
(32271|32286) pub10  waiting for acks returned
(32271|32286) pub10<-delete_contained_entities
(32271|32278) pub2<-match found! before write for 0103000c.297a35f2.7e0fb6e9.00000002(2e108799)
(32271|32278) pub2  waiting for acks
(32271|32277) pub0<-delete_participant
(32271|32280) pub4<-match found! before write for 0103000c.297a35f2.7e0fb6ea.00000002(69b0fd49)
(32271|32280) pub4  waiting for acks
(32271|32306) pub29<-match found! before write for 0103000c.297a35f2.7e0fb703.00000002(87288b42)
(32271|32306) pub29  waiting for acks
(32271|32279) pub1  waiting for acks returned
(32271|32279) pub1<-delete_contained_entities
(32271|32287) pub9<-delete_participant
(32271|32290) pub13  waiting for acks returned
(32271|32290) pub13<-delete_contained_entities
(32271|32307) pub31  waiting for acks returned
(32271|32307) pub31<-delete_contained_entities
(32271|32284) pub7<-match found! before write for 0103000c.297a35f2.7e0fb6ee.00000002(9c305b89)
(32271|32284) pub7  waiting for acks
(32271|32282) pub6<-match found! before write for 0103000c.297a35f2.7e0fb6ed.00000002(db902159)
(32271|32282) pub6  waiting for acks
(32271|32296) pub19<-match found! before write for 0103000c.297a35f2.7e0fb6fa.00000002(09506acb)
(32271|32296) pub19  waiting for acks
(32271|32293) pub16  waiting for acks returned
(32271|32293) pub16<-delete_contained_entities
(32271|32294) pub17  waiting for acks returned
(32271|32294) pub17<-delete_contained_entities
(32271|32298) pub21<-match found! before write for 0103000c.297a35f2.7e0fb6fe.00000002(fcd0cc0b)
(32271|32298) pub21  waiting for acks
(32271|32303) pub26<-delete_participant
(32271|32289) pub12<-delete_participant
(32271|32278) pub2  waiting for acks returned
(32271|32278) pub2<-delete_contained_entities
(32271|32285) pub8<-delete_participant
(32271|32288) pub11<-delete_participant
(32271|32280) pub4  waiting for acks returned
(32271|32280) pub4<-delete_contained_entities
(32271|32306) pub29  waiting for acks returned
(32271|32306) pub29<-delete_contained_entities
(32271|32286) pub10<-delete_participant
(32271|32301) pub24<-match found! before write for 0103000c.297a35f2.7e0fb6fd.00000002(bb70b6db)
(32271|32301) pub24  waiting for acks
(32271|32300) pub23<-match found! before write for 0103000c.297a35f2.7e0fb6f9.00000002(4ef0101b)
(32271|32300) pub23  waiting for acks
(32271|32284) pub7  waiting for acks returned
(32271|32284) pub7<-delete_contained_entities
(32271|32279) pub1<-delete_participant
(32271|32282) pub6  waiting for acks returned
(32271|32282) pub6<-delete_contained_entities
(32271|32296) pub19  waiting for acks returned
(32271|32296) pub19<-delete_contained_entities
(32271|32290) pub13<-delete_participant
(32271|32307) pub31<-delete_participant
(32271|32298) pub21  waiting for acks returned
(32271|32298) pub21<-delete_contained_entities
(32271|32293) pub16<-delete_participant
(32271|32276) sub condition_.notify_all
(32271|32271) sub condition_.wait returned
(32271|32271) sub check_received
(32271|32271) sub check_received returns 0
(32271|32271) <- PublisherService::end
(32271|32294) pub17<-delete_participant
(32271|32301) pub24  waiting for acks returned
(32271|32301) pub24<-delete_contained_entities
(32271|32300) pub23  waiting for acks returned
(32271|32300) pub23<-delete_contained_entities
(32271|32278) pub2<-delete_participant
(32271|32280) pub4<-delete_participant
(32271|32306) pub29<-delete_participant
(32271|32284) pub7<-delete_participant
(32271|32282) pub6<-delete_participant
(32271|32296) pub19<-delete_participant
(32271|32298) pub21<-delete_participant
(32271|32301) pub24<-delete_participant
(32271|32300) pub23<-delete_participant
(32271|32271) <- PublisherService::~PublisherService
(32271|32271) <- Subscriber delete_contained_entities
(32271|32271) <- Subscriber delete_participant
(32271|32271) <- Subscriber::~Subscriber
(32271|32271) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl high rtps durable Time:16s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl aggressive rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 64 -s 16 -n 1024 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 32438 started at 2023-04-21 22:32:32
(32438|32438) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(32438|32438) -> Thrasher started
(32438|32438) -> Subscriber::Subscriber
(32438|32438)    Subscriber reader id: 0103000c.297a35f2.7eb6f1c1.00000007(087b2774)
(32438|32438) -> PublisherService::PublisherService
(32438|32438) -> PublisherService::start (64 threads)
(32438|32438) sub wait_received 0:64
(32438|32444) pub0->transport cfg_0
(32438|32445) pub1->transport cfg_1
(32438|32454) pub9->transport cfg_9
(32438|32450) pub3->transport cfg_3
(32438|32448) pub6->transport cfg_6
(32438|32447) pub4->transport cfg_4
(32438|32446) pub2->transport cfg_2
(32438|32452) pub8->transport cfg_8
(32438|32458) pub14->transport cfg_14
(32438|32451) pub5->transport cfg_5
(32438|32449) pub7->transport cfg_7
(32438|32453) pub10->transport cfg_10
(32438|32455) pub11->transport cfg_11
(32438|32448) pub6  writer id: 0103000c.297a35f2.7eb6f1c8.00000002(7501b18a)
(32438|32448) pub6->started
(32438|32454) pub9  writer id: 0103000c.297a35f2.7eb6f1cb.00000002(32a1cb5a)
(32438|32454) pub9->started
(32438|32454) pub9  12% (2 samples sent)
(32438|32454) pub9  25% (4 samples sent)
(32438|32454) pub9  31% (5 samples sent)
(32438|32454) pub9  43% (7 samples sent)
(32438|32454) pub9  50% (8 samples sent)
(32438|32454) pub9  62% (10 samples sent)
(32438|32454) pub9  75% (12 samples sent)
(32438|32454) pub9  81% (13 samples sent)
(32438|32454) pub9  93% (15 samples sent)
(32438|32454) pub9  100% (16 samples sent)
(32438|32454) pub9->wait_match() before write for 0103000c.297a35f2.7eb6f1cb.00000002(32a1cb5a)
(32438|32448) pub6  12% (2 samples sent)
(32438|32448) pub6  25% (4 samples sent)
(32438|32448) pub6  31% (5 samples sent)
(32438|32448) pub6  43% (7 samples sent)
(32438|32448) pub6  50% (8 samples sent)
(32438|32448) pub6  62% (10 samples sent)
(32438|32448) pub6  75% (12 samples sent)
(32438|32448) pub6  81% (13 samples sent)
(32438|32448) pub6  93% (15 samples sent)
(32438|32448) pub6  100% (16 samples sent)
(32438|32448) pub6->wait_match() before write for 0103000c.297a35f2.7eb6f1c8.00000002(7501b18a)
(32438|32459) pub15->transport cfg_15
(32438|32445) pub1  writer id: 0103000c.297a35f2.7eb6f1c3.00000002(02d1809b)
(32438|32445) pub1->started
(32438|32445) pub1  12% (2 samples sent)
(32438|32445) pub1  25% (4 samples sent)
(32438|32445) pub1  31% (5 samples sent)
(32438|32445) pub1  43% (7 samples sent)
(32438|32445) pub1  50% (8 samples sent)
(32438|32450) pub3  writer id: 0103000c.297a35f2.7eb6f1c5.00000002(8d91753b)
(32438|32450) pub3->started
(32438|32450) pub3  12% (2 samples sent)
(32438|32450) pub3  25% (4 samples sent)
(32438|32450) pub3  31% (5 samples sent)
(32438|32450) pub3  43% (7 samples sent)
(32438|32450) pub3  50% (8 samples sent)
(32438|32450) pub3  62% (10 samples sent)
(32438|32450) pub3  75% (12 samples sent)
(32438|32450) pub3  81% (13 samples sent)
(32438|32450) pub3  93% (15 samples sent)
(32438|32450) pub3  100% (16 samples sent)
(32438|32450) pub3->wait_match() before write for 0103000c.297a35f2.7eb6f1c5.00000002(8d91753b)
(32438|32446) pub2  writer id: 0103000c.297a35f2.7eb6f1c4.00000002(b0f15c8b)
(32438|32446) pub2->started
(32438|32446) pub2  12% (2 samples sent)
(32438|32446) pub2  25% (4 samples sent)
(32438|32446) pub2  31% (5 samples sent)
(32438|32446) pub2  43% (7 samples sent)
(32438|32446) pub2  50% (8 samples sent)
(32438|32446) pub2  62% (10 samples sent)
(32438|32446) pub2  75% (12 samples sent)
(32438|32446) pub2  81% (13 samples sent)
(32438|32447) pub4  writer id: 0103000c.297a35f2.7eb6f1c7.00000002(f751265b)
(32438|32447) pub4->started
(32438|32445) pub1  62% (10 samples sent)
(32438|32445) pub1  75% (12 samples sent)
(32438|32445) pub1  81% (13 samples sent)
(32438|32445) pub1  93% (15 samples sent)
(32438|32445) pub1  100% (16 samples sent)
(32438|32445) pub1->wait_match() before write for 0103000c.297a35f2.7eb6f1c3.00000002(02d1809b)
(32438|32452) pub8  writer id: 0103000c.297a35f2.7eb6f1ca.00000002(0fc1e2ea)
(32438|32446) pub2  93% (15 samples sent)
(32438|32446) pub2  100% (16 samples sent)
(32438|32446) pub2->wait_match() before write for 0103000c.297a35f2.7eb6f1c4.00000002(b0f15c8b)
(32438|32452) pub8->started
(32438|32452) pub8  12% (2 samples sent)
(32438|32452) pub8  25% (4 samples sent)
(32438|32452) pub8  31% (5 samples sent)
(32438|32452) pub8  43% (7 samples sent)
(32438|32452) pub8  50% (8 samples sent)
(32438|32452) pub8  62% (10 samples sent)
(32438|32452) pub8  75% (12 samples sent)
(32438|32452) pub8  81% (13 samples sent)
(32438|32452) pub8  93% (15 samples sent)
(32438|32452) pub8  100% (16 samples sent)
(32438|32452) pub8->wait_match() before write for 0103000c.297a35f2.7eb6f1ca.00000002(0fc1e2ea)
(32438|32447) pub4  12% (2 samples sent)
(32438|32447) pub4  25% (4 samples sent)
(32438|32447) pub4  31% (5 samples sent)
(32438|32447) pub4  43% (7 samples sent)
(32438|32447) pub4  50% (8 samples sent)
(32438|32447) pub4  62% (10 samples sent)
(32438|32447) pub4  75% (12 samples sent)
(32438|32447) pub4  81% (13 samples sent)
(32438|32447) pub4  93% (15 samples sent)
(32438|32447) pub4  100% (16 samples sent)
(32438|32447) pub4->wait_match() before write for 0103000c.297a35f2.7eb6f1c7.00000002(f751265b)
(32438|32444) pub0  writer id: 0103000c.297a35f2.7eb6f1c2.00000002(3fb1a92b)
(32438|32444) pub0->started
(32438|32444) pub0  12% (2 samples sent)
(32438|32444) pub0  25% (4 samples sent)
(32438|32444) pub0  31% (5 samples sent)
(32438|32444) pub0  43% (7 samples sent)
(32438|32444) pub0  50% (8 samples sent)
(32438|32444) pub0  62% (10 samples sent)
(32438|32444) pub0  75% (12 samples sent)
(32438|32444) pub0  81% (13 samples sent)
(32438|32444) pub0  93% (15 samples sent)
(32438|32444) pub0  100% (16 samples sent)
(32438|32444) pub0->wait_match() before write for 0103000c.297a35f2.7eb6f1c2.00000002(3fb1a92b)
(32438|32451) pub5  writer id: 0103000c.297a35f2.7eb6f1c6.00000002(ca310feb)
(32438|32451) pub5->started
(32438|32451) pub5  12% (2 samples sent)
(32438|32458) pub14  writer id: 0103000c.297a35f2.7eb6f1d0.00000002(25916dc9)
(32438|32458) pub14->started
(32438|32451) pub5  25% (4 samples sent)
(32438|32451) pub5  31% (5 samples sent)
(32438|32458) pub14  12% (2 samples sent)
(32438|32451) pub5  43% (7 samples sent)
(32438|32458) pub14  25% (4 samples sent)
(32438|32451) pub5  50% (8 samples sent)
(32438|32458) pub14  31% (5 samples sent)
(32438|32451) pub5  62% (10 samples sent)
(32438|32458) pub14  43% (7 samples sent)
(32438|32451) pub5  75% (12 samples sent)
(32438|32458) pub14  50% (8 samples sent)
(32438|32451) pub5  81% (13 samples sent)
(32438|32458) pub14  62% (10 samples sent)
(32438|32451) pub5  93% (15 samples sent)
(32438|32458) pub14  75% (12 samples sent)
(32438|32451) pub5  100% (16 samples sent)
(32438|32451) pub5->wait_match() before write for 0103000c.297a35f2.7eb6f1c6.00000002(ca310feb)
(32438|32458) pub14  81% (13 samples sent)
(32438|32458) pub14  93% (15 samples sent)
(32438|32458) pub14  100% (16 samples sent)
(32438|32458) pub14->wait_match() before write for 0103000c.297a35f2.7eb6f1d0.00000002(25916dc9)
(32438|32453) pub10  writer id: 0103000c.297a35f2.7eb6f1cc.00000002(8081174a)
(32438|32453) pub10->started
(32438|32453) pub10  12% (2 samples sent)
(32438|32453) pub10  25% (4 samples sent)
(32438|32453) pub10  31% (5 samples sent)
(32438|32453) pub10  43% (7 samples sent)
(32438|32453) pub10  50% (8 samples sent)
(32438|32453) pub10  62% (10 samples sent)
(32438|32453) pub10  75% (12 samples sent)
(32438|32453) pub10  81% (13 samples sent)
(32438|32453) pub10  93% (15 samples sent)
(32438|32453) pub10  100% (16 samples sent)
(32438|32453) pub10->wait_match() before write for 0103000c.297a35f2.7eb6f1cc.00000002(8081174a)
(32438|32457) pub13->transport cfg_13
(32438|32456) pub12->transport cfg_12
(32438|32449) pub7  writer id: 0103000c.297a35f2.7eb6f1c9.00000002(4861983a)
(32438|32449) pub7->started
(32438|32449) pub7  12% (2 samples sent)
(32438|32449) pub7  25% (4 samples sent)
(32438|32449) pub7  31% (5 samples sent)
(32438|32449) pub7  43% (7 samples sent)
(32438|32449) pub7  50% (8 samples sent)
(32438|32449) pub7  62% (10 samples sent)
(32438|32449) pub7  75% (12 samples sent)
(32438|32449) pub7  81% (13 samples sent)
(32438|32449) pub7  93% (15 samples sent)
(32438|32449) pub7  100% (16 samples sent)
(32438|32449) pub7->wait_match() before write for 0103000c.297a35f2.7eb6f1c9.00000002(4861983a)
(32438|32460) pub17->transport cfg_17
(32438|32455) pub11  writer id: 0103000c.297a35f2.7eb6f1cd.00000002(bde13efa)
(32438|32455) pub11->started
(32438|32455) pub11  12% (2 samples sent)
(32438|32455) pub11  25% (4 samples sent)
(32438|32455) pub11  31% (5 samples sent)
(32438|32455) pub11  43% (7 samples sent)
(32438|32455) pub11  50% (8 samples sent)
(32438|32455) pub11  62% (10 samples sent)
(32438|32455) pub11  75% (12 samples sent)
(32438|32455) pub11  81% (13 samples sent)
(32438|32455) pub11  93% (15 samples sent)
(32438|32455) pub11  100% (16 samples sent)
(32438|32455) pub11->wait_match() before write for 0103000c.297a35f2.7eb6f1cd.00000002(bde13efa)
(32438|32461) pub16->transport cfg_16
(32438|32465) pub20->transport cfg_20
(32438|32473) pub29->transport cfg_29
(32438|32464) pub19->transport cfg_19
(32438|32459) pub15  writer id: 0103000c.297a35f2.7eb6f1d1.00000002(18f14479)
(32438|32459) pub15->started
(32438|32459) pub15  12% (2 samples sent)
(32438|32459) pub15  25% (4 samples sent)
(32438|32459) pub15  31% (5 samples sent)
(32438|32459) pub15  43% (7 samples sent)
(32438|32459) pub15  50% (8 samples sent)
(32438|32459) pub15  62% (10 samples sent)
(32438|32459) pub15  75% (12 samples sent)
(32438|32459) pub15  81% (13 samples sent)
(32438|32459) pub15  93% (15 samples sent)
(32438|32459) pub15  100% (16 samples sent)
(32438|32459) pub15->wait_match() before write for 0103000c.297a35f2.7eb6f1d1.00000002(18f14479)
(32438|32484) pub38->transport cfg_38
(32438|32463) pub21->transport cfg_21
(32438|32462) pub18->transport cfg_18
(32438|32457) pub13  writer id: 0103000c.297a35f2.7eb6f1cf.00000002(c7216d9a)
(32438|32457) pub13->started
(32438|32457) pub13  12% (2 samples sent)
(32438|32457) pub13  25% (4 samples sent)
(32438|32457) pub13  31% (5 samples sent)
(32438|32457) pub13  43% (7 samples sent)
(32438|32457) pub13  50% (8 samples sent)
(32438|32457) pub13  62% (10 samples sent)
(32438|32457) pub13  75% (12 samples sent)
(32438|32457) pub13  81% (13 samples sent)
(32438|32457) pub13  93% (15 samples sent)
(32438|32457) pub13  100% (16 samples sent)
(32438|32457) pub13->wait_match() before write for 0103000c.297a35f2.7eb6f1cf.00000002(c7216d9a)
(32438|32507) pub61->transport cfg_61
(32438|32474) pub30->transport cfg_30
(32438|32456) pub12  writer id: 0103000c.297a35f2.7eb6f1ce.00000002(fa41442a)
(32438|32456) pub12->started
(32438|32456) pub12  12% (2 samples sent)
(32438|32456) pub12  25% (4 samples sent)
(32438|32456) pub12  31% (5 samples sent)
(32438|32460) pub17  writer id: 0103000c.297a35f2.7eb6f1d3.00000002(62311719)
(32438|32460) pub17->started
(32438|32460) pub17  12% (2 samples sent)
(32438|32460) pub17  25% (4 samples sent)
(32438|32460) pub17  31% (5 samples sent)
(32438|32460) pub17  43% (7 samples sent)
(32438|32460) pub17  50% (8 samples sent)
(32438|32460) pub17  62% (10 samples sent)
(32438|32460) pub17  75% (12 samples sent)
(32438|32460) pub17  81% (13 samples sent)
(32438|32460) pub17  93% (15 samples sent)
(32438|32460) pub17  100% (16 samples sent)
(32438|32460) pub17->wait_match() before write for 0103000c.297a35f2.7eb6f1d3.00000002(62311719)
(32438|32466) pub22->transport cfg_22
(32438|32477) pub33->transport cfg_33
(32438|32469) pub25->transport cfg_25
(32438|32479) pub35->transport cfg_35
(32438|32476) pub32->transport cfg_32
(32438|32456) pub12  43% (7 samples sent)
(32438|32456) pub12  50% (8 samples sent)
(32438|32456) pub12  62% (10 samples sent)
(32438|32456) pub12  75% (12 samples sent)
(32438|32456) pub12  81% (13 samples sent)
(32438|32456) pub12  93% (15 samples sent)
(32438|32456) pub12  100% (16 samples sent)
(32438|32456) pub12->wait_match() before write for 0103000c.297a35f2.7eb6f1ce.00000002(fa41442a)
(32438|32499) pub53->transport cfg_53
(32438|32481) pub36->transport cfg_36
(32438|32502) pub56->transport cfg_56
(32438|32498) pub52->transport cfg_52
(32438|32470) pub27->transport cfg_27
(32438|32461) pub16  writer id: 0103000c.297a35f2.7eb6f1d2.00000002(5f513ea9)
(32438|32461) pub16->started
(32438|32461) pub16  12% (2 samples sent)
(32438|32461) pub16  25% (4 samples sent)
(32438|32461) pub16  31% (5 samples sent)
(32438|32461) pub16  43% (7 samples sent)
(32438|32461) pub16  50% (8 samples sent)
(32438|32461) pub16  62% (10 samples sent)
(32438|32461) pub16  75% (12 samples sent)
(32438|32461) pub16  81% (13 samples sent)
(32438|32461) pub16  93% (15 samples sent)
(32438|32461) pub16  100% (16 samples sent)
(32438|32461) pub16->wait_match() before write for 0103000c.297a35f2.7eb6f1d2.00000002(5f513ea9)
(32438|32472) pub28->transport cfg_28
(32438|32467) pub23->transport cfg_23
(32438|32506) pub60->transport cfg_60
(32438|32497) pub51->transport cfg_51
(32438|32485) pub40->transport cfg_40
(32438|32468) pub24->transport cfg_24
(32438|32488) pub43->transport cfg_43
(32438|32496) pub50->transport cfg_50
(32438|32465) pub20  writer id: 0103000c.297a35f2.7eb6f1d7.00000002(97b1b1d9)
(32438|32465) pub20->started
(32438|32465) pub20  12% (2 samples sent)
(32438|32465) pub20  25% (4 samples sent)
(32438|32465) pub20  31% (5 samples sent)
(32438|32465) pub20  43% (7 samples sent)
(32438|32465) pub20  50% (8 samples sent)
(32438|32465) pub20  62% (10 samples sent)
(32438|32465) pub20  75% (12 samples sent)
(32438|32465) pub20  81% (13 samples sent)
(32438|32465) pub20  93% (15 samples sent)
(32438|32465) pub20  100% (16 samples sent)
(32438|32465) pub20->wait_match() before write for 0103000c.297a35f2.7eb6f1d7.00000002(97b1b1d9)
(32438|32475) pub31->transport cfg_31
(32438|32489) pub44->transport cfg_44
(32438|32473) pub29  writer id: 0103000c.297a35f2.7eb6f1df.00000002(a7c1fa18)
(32438|32473) pub29->started
(32438|32473) pub29  12% (2 samples sent)
(32438|32473) pub29  25% (4 samples sent)
(32438|32473) pub29  31% (5 samples sent)
(32438|32473) pub29  43% (7 samples sent)
(32438|32473) pub29  50% (8 samples sent)
(32438|32473) pub29  62% (10 samples sent)
(32438|32473) pub29  75% (12 samples sent)
(32438|32473) pub29  81% (13 samples sent)
(32438|32473) pub29  93% (15 samples sent)
(32438|32473) pub29  100% (16 samples sent)
(32438|32473) pub29->wait_match() before write for 0103000c.297a35f2.7eb6f1df.00000002(a7c1fa18)
(32438|32464) pub19  writer id: 0103000c.297a35f2.7eb6f1d6.00000002(aad19869)
(32438|32464) pub19->started
(32438|32464) pub19  12% (2 samples sent)
(32438|32494) pub48->transport cfg_48
(32438|32478) pub34->transport cfg_34
(32438|32471) pub26->transport cfg_26
(32438|32482) pub37->transport cfg_37
(32438|32504) pub58->transport cfg_58
(32438|32486) pub41->transport cfg_41
(32438|32463) pub21  writer id: 0103000c.297a35f2.7eb6f1d8.00000002(15e12608)
(32438|32463) pub21->started
(32438|32463) pub21  12% (2 samples sent)
(32438|32463) pub21  25% (4 samples sent)
(32438|32463) pub21  31% (5 samples sent)
(32438|32463) pub21  43% (7 samples sent)
(32438|32463) pub21  50% (8 samples sent)
(32438|32463) pub21  62% (10 samples sent)
(32438|32463) pub21  75% (12 samples sent)
(32438|32463) pub21  81% (13 samples sent)
(32438|32463) pub21  93% (15 samples sent)
(32438|32463) pub21  100% (16 samples sent)
(32438|32463) pub21->wait_match() before write for 0103000c.297a35f2.7eb6f1d8.00000002(15e12608)
(32438|32484) pub38  writer id: 0103000c.297a35f2.7eb6f1e8.00000002(b4c09e8e)
(32438|32484) pub38->started
(32438|32484) pub38  12% (2 samples sent)
(32438|32484) pub38  25% (4 samples sent)
(32438|32484) pub38  31% (5 samples sent)
(32438|32484) pub38  43% (7 samples sent)
(32438|32484) pub38  50% (8 samples sent)
(32438|32484) pub38  62% (10 samples sent)
(32438|32510) pub63->transport cfg_63
(32438|32493) pub46->transport cfg_46
(32438|32487) pub42->transport cfg_42
(32438|32464) pub19  25% (4 samples sent)
(32438|32464) pub19  31% (5 samples sent)
(32438|32505) pub59->transport cfg_59
(32438|32483) pub39->transport cfg_39
(32438|32495) pub49->transport cfg_49
(32438|32492) pub47->transport cfg_47
(32438|32462) pub18  writer id: 0103000c.297a35f2.7eb6f1d4.00000002(d011cb09)
(32438|32507) pub61  writer id: 0103000c.297a35f2.7eb6f1fe.00000002(5b60fcac)
(32438|32507) pub61->started
(32438|32507) pub61  12% (2 samples sent)
(32438|32507) pub61  25% (4 samples sent)
(32438|32507) pub61  31% (5 samples sent)
(32438|32507) pub61  43% (7 samples sent)
(32438|32507) pub61  50% (8 samples sent)
(32438|32507) pub61  62% (10 samples sent)
(32438|32507) pub61  75% (12 samples sent)
(32438|32507) pub61  81% (13 samples sent)
(32438|32507) pub61  93% (15 samples sent)
(32438|32507) pub61  100% (16 samples sent)
(32438|32507) pub61->wait_match() before write for 0103000c.297a35f2.7eb6f1fe.00000002(5b60fcac)
(32438|32500) pub55->transport cfg_55
(32438|32491) pub45->transport cfg_45
(32438|32484) pub38  75% (12 samples sent)
(32438|32466) pub22  writer id: 0103000c.297a35f2.7eb6f1db.00000002(52415cd8)
(32438|32466) pub22->started
(32438|32476) pub32  writer id: 0103000c.297a35f2.7eb6f1e2.00000002(fe70862f)
(32438|32476) pub32->started
(32438|32476) pub32  12% (2 samples sent)
(32438|32476) pub32  25% (4 samples sent)
(32438|32476) pub32  31% (5 samples sent)
(32438|32476) pub32  43% (7 samples sent)
(32438|32476) pub32  50% (8 samples sent)
(32438|32476) pub32  62% (10 samples sent)
(32438|32476) pub32  75% (12 samples sent)
(32438|32476) pub32  81% (13 samples sent)
(32438|32476) pub32  93% (15 samples sent)
(32438|32476) pub32  100% (16 samples sent)
(32438|32476) pub32->wait_match() before write for 0103000c.297a35f2.7eb6f1e2.00000002(fe70862f)
(32438|32503) pub57->transport cfg_57
(32438|32481) pub36  writer id: 0103000c.297a35f2.7eb6f1e5.00000002(4c505a3f)
(32438|32481) pub36->started
(32438|32481) pub36  12% (2 samples sent)
(32438|32481) pub36  25% (4 samples sent)
(32438|32481) pub36  31% (5 samples sent)
(32438|32481) pub36  43% (7 samples sent)
(32438|32481) pub36  50% (8 samples sent)
(32438|32481) pub36  62% (10 samples sent)
(32438|32481) pub36  75% (12 samples sent)
(32438|32481) pub36  81% (13 samples sent)
(32438|32481) pub36  93% (15 samples sent)
(32438|32481) pub36  100% (16 samples sent)
(32438|32481) pub36->wait_match() before write for 0103000c.297a35f2.7eb6f1e5.00000002(4c505a3f)
(32438|32464) pub19  43% (7 samples sent)
(32438|32464) pub19  50% (8 samples sent)
(32438|32464) pub19  62% (10 samples sent)
(32438|32464) pub19  75% (12 samples sent)
(32438|32464) pub19  81% (13 samples sent)
(32438|32499) pub53  writer id: 0103000c.297a35f2.7eb6f1ee.00000002(3b806b2e)
(32438|32499) pub53->started
(32438|32499) pub53  12% (2 samples sent)
(32438|32499) pub53  25% (4 samples sent)
(32438|32499) pub53  31% (5 samples sent)
(32438|32499) pub53  43% (7 samples sent)
(32438|32499) pub53  50% (8 samples sent)
(32438|32499) pub53  62% (10 samples sent)
(32438|32499) pub53  75% (12 samples sent)
(32438|32499) pub53  81% (13 samples sent)
(32438|32499) pub53  93% (15 samples sent)
(32438|32499) pub53  100% (16 samples sent)
(32438|32499) pub53->wait_match() before write for 0103000c.297a35f2.7eb6f1ee.00000002(3b806b2e)
(32438|32501) pub54->transport cfg_54
(32438|32489) pub44  writer id: 0103000c.297a35f2.7eb6f1ed.00000002(7c2011fe)
(32438|32489) pub44->started
(32438|32489) pub44  12% (2 samples sent)
(32438|32489) pub44  25% (4 samples sent)
(32438|32489) pub44  31% (5 samples sent)
(32438|32489) pub44  43% (7 samples sent)
(32438|32489) pub44  50% (8 samples sent)
(32438|32489) pub44  62% (10 samples sent)
(32438|32489) pub44  75% (12 samples sent)
(32438|32489) pub44  81% (13 samples sent)
(32438|32489) pub44  93% (15 samples sent)
(32438|32489) pub44  100% (16 samples sent)
(32438|32489) pub44->wait_match() before write for 0103000c.297a35f2.7eb6f1ed.00000002(7c2011fe)
(32438|32508) pub62->transport cfg_62
(32438|32467) pub23  writer id: 0103000c.297a35f2.7eb6f1d9.00000002(28810fb8)
(32438|32467) pub23->started
(32438|32467) pub23  12% (2 samples sent)
(32438|32467) pub23  25% (4 samples sent)
(32438|32467) pub23  31% (5 samples sent)
(32438|32467) pub23  43% (7 samples sent)
(32438|32467) pub23  50% (8 samples sent)
(32438|32467) pub23  62% (10 samples sent)
(32438|32467) pub23  75% (12 samples sent)
(32438|32467) pub23  81% (13 samples sent)
(32438|32467) pub23  93% (15 samples sent)
(32438|32467) pub23  100% (16 samples sent)
(32438|32467) pub23->wait_match() before write for 0103000c.297a35f2.7eb6f1d9.00000002(28810fb8)
(32438|32479) pub35  writer id: 0103000c.297a35f2.7eb6f1e3.00000002(c310af9f)
(32438|32479) pub35->started
(32438|32479) pub35  12% (2 samples sent)
(32438|32479) pub35  25% (4 samples sent)
(32438|32479) pub35  31% (5 samples sent)
(32438|32479) pub35  43% (7 samples sent)
(32438|32479) pub35  50% (8 samples sent)
(32438|32479) pub35  62% (10 samples sent)
(32438|32479) pub35  75% (12 samples sent)
(32438|32479) pub35  81% (13 samples sent)
(32438|32479) pub35  93% (15 samples sent)
(32438|32479) pub35  100% (16 samples sent)
(32438|32479) pub35->wait_match() before write for 0103000c.297a35f2.7eb6f1e3.00000002(c310af9f)
(32438|32498) pub52  writer id: 0103000c.297a35f2.7eb6f1f6.00000002(6b10b76d)
(32438|32498) pub52->started
(32438|32498) pub52  12% (2 samples sent)
(32438|32498) pub52  25% (4 samples sent)
(32438|32498) pub52  31% (5 samples sent)
(32438|32498) pub52  43% (7 samples sent)
(32438|32498) pub52  50% (8 samples sent)
(32438|32498) pub52  62% (10 samples sent)
(32438|32498) pub52  75% (12 samples sent)
(32438|32498) pub52  81% (13 samples sent)
(32438|32498) pub52  93% (15 samples sent)
(32438|32498) pub52  100% (16 samples sent)
(32438|32498) pub52->wait_match() before write for 0103000c.297a35f2.7eb6f1f6.00000002(6b10b76d)
(32438|32477) pub33  writer id: 0103000c.297a35f2.7eb6f1e6.00000002(0bf020ef)
(32438|32477) pub33->started
(32438|32484) pub38  81% (13 samples sent)
(32438|32484) pub38  93% (15 samples sent)
(32438|32484) pub38  100% (16 samples sent)
(32438|32484) pub38->wait_match() before write for 0103000c.297a35f2.7eb6f1e8.00000002(b4c09e8e)
(32438|32494) pub48  writer id: 0103000c.297a35f2.7eb6f1f3.00000002(a3f0381d)
(32438|32494) pub48->started
(32438|32494) pub48  12% (2 samples sent)
(32438|32494) pub48  25% (4 samples sent)
(32438|32494) pub48  31% (5 samples sent)
(32438|32494) pub48  43% (7 samples sent)
(32438|32494) pub48  50% (8 samples sent)
(32438|32472) pub28  writer id: 0103000c.297a35f2.7eb6f1de.00000002(9aa1d3a8)
(32438|32472) pub28->started
(32438|32472) pub28  12% (2 samples sent)
(32438|32472) pub28  25% (4 samples sent)
(32438|32472) pub28  31% (5 samples sent)
(32438|32472) pub28  43% (7 samples sent)
(32438|32472) pub28  50% (8 samples sent)
(32438|32472) pub28  62% (10 samples sent)
(32438|32472) pub28  75% (12 samples sent)
(32438|32472) pub28  81% (13 samples sent)
(32438|32472) pub28  93% (15 samples sent)
(32438|32472) pub28  100% (16 samples sent)
(32438|32472) pub28->wait_match() before write for 0103000c.297a35f2.7eb6f1de.00000002(9aa1d3a8)
(32438|32497) pub51  writer id: 0103000c.297a35f2.7eb6f1f5.00000002(2cb0cdbd)
(32438|32497) pub51->started
(32438|32497) pub51  12% (2 samples sent)
(32438|32497) pub51  25% (4 samples sent)
(32438|32497) pub51  31% (5 samples sent)
(32438|32497) pub51  43% (7 samples sent)
(32438|32497) pub51  50% (8 samples sent)
(32438|32497) pub51  62% (10 samples sent)
(32438|32497) pub51  75% (12 samples sent)
(32438|32497) pub51  81% (13 samples sent)
(32438|32497) pub51  93% (15 samples sent)
(32438|32497) pub51  100% (16 samples sent)
(32438|32497) pub51->wait_match() before write for 0103000c.297a35f2.7eb6f1f5.00000002(2cb0cdbd)
(32438|32470) pub27  writer id: 0103000c.297a35f2.7eb6f1da.00000002(6f217568)
(32438|32470) pub27->started
(32438|32470) pub27  12% (2 samples sent)
(32438|32470) pub27  25% (4 samples sent)
(32438|32470) pub27  31% (5 samples sent)
(32438|32470) pub27  43% (7 samples sent)
(32438|32470) pub27  50% (8 samples sent)
(32438|32470) pub27  62% (10 samples sent)
(32438|32470) pub27  75% (12 samples sent)
(32438|32470) pub27  81% (13 samples sent)
(32438|32470) pub27  93% (15 samples sent)
(32438|32470) pub27  100% (16 samples sent)
(32438|32470) pub27->wait_match() before write for 0103000c.297a35f2.7eb6f1da.00000002(6f217568)
(32438|32488) pub43  writer id: 0103000c.297a35f2.7eb6f1eb.00000002(f360e45e)
(32438|32488) pub43->started
(32438|32488) pub43  12% (2 samples sent)
(32438|32488) pub43  25% (4 samples sent)
(32438|32488) pub43  31% (5 samples sent)
(32438|32488) pub43  43% (7 samples sent)
(32438|32488) pub43  50% (8 samples sent)
(32438|32488) pub43  62% (10 samples sent)
(32438|32488) pub43  75% (12 samples sent)
(32438|32488) pub43  81% (13 samples sent)
(32438|32488) pub43  93% (15 samples sent)
(32438|32488) pub43  100% (16 samples sent)
(32438|32488) pub43->wait_match() before write for 0103000c.297a35f2.7eb6f1eb.00000002(f360e45e)
(32438|32466) pub22  12% (2 samples sent)
(32438|32466) pub22  25% (4 samples sent)
(32438|32466) pub22  31% (5 samples sent)
(32438|32466) pub22  43% (7 samples sent)
(32438|32466) pub22  50% (8 samples sent)
(32438|32466) pub22  62% (10 samples sent)
(32438|32466) pub22  75% (12 samples sent)
(32438|32466) pub22  81% (13 samples sent)
(32438|32466) pub22  93% (15 samples sent)
(32438|32466) pub22  100% (16 samples sent)
(32438|32466) pub22->wait_match() before write for 0103000c.297a35f2.7eb6f1db.00000002(52415cd8)
(32438|32506) pub60  writer id: 0103000c.297a35f2.7eb6f1fd.00000002(1cc0867c)
(32438|32506) pub60->started
(32438|32506) pub60  12% (2 samples sent)
(32438|32506) pub60  25% (4 samples sent)
(32438|32506) pub60  31% (5 samples sent)
(32438|32506) pub60  43% (7 samples sent)
(32438|32506) pub60  50% (8 samples sent)
(32438|32506) pub60  62% (10 samples sent)
(32438|32506) pub60  75% (12 samples sent)
(32438|32506) pub60  81% (13 samples sent)
(32438|32506) pub60  93% (15 samples sent)
(32438|32506) pub60  100% (16 samples sent)
(32438|32506) pub60->wait_match() before write for 0103000c.297a35f2.7eb6f1fd.00000002(1cc0867c)
(32438|32469) pub25  writer id: 0103000c.297a35f2.7eb6f1e0.00000002(84b0d54f)
(32438|32469) pub25->started
(32438|32469) pub25  12% (2 samples sent)
(32438|32469) pub25  25% (4 samples sent)
(32438|32469) pub25  31% (5 samples sent)
(32438|32469) pub25  43% (7 samples sent)
(32438|32469) pub25  50% (8 samples sent)
(32438|32469) pub25  62% (10 samples sent)
(32438|32469) pub25  75% (12 samples sent)
(32438|32469) pub25  81% (13 samples sent)
(32438|32469) pub25  93% (15 samples sent)
(32438|32469) pub25  100% (16 samples sent)
(32438|32469) pub25->wait_match() before write for 0103000c.297a35f2.7eb6f1e0.00000002(84b0d54f)
(32438|32468) pub24  writer id: 0103000c.297a35f2.7eb6f1d5.00000002(ed71e2b9)
(32438|32468) pub24->started
(32438|32468) pub24  12% (2 samples sent)
(32438|32468) pub24  25% (4 samples sent)
(32438|32474) pub30  writer id: 0103000c.297a35f2.7eb6f1dc.00000002(e06180c8)
(32438|32474) pub30->started
(32438|32474) pub30  12% (2 samples sent)
(32438|32474) pub30  25% (4 samples sent)
(32438|32474) pub30  31% (5 samples sent)
(32438|32474) pub30  43% (7 samples sent)
(32438|32474) pub30  50% (8 samples sent)
(32438|32474) pub30  62% (10 samples sent)
(32438|32474) pub30  75% (12 samples sent)
(32438|32474) pub30  81% (13 samples sent)
(32438|32474) pub30  93% (15 samples sent)
(32438|32474) pub30  100% (16 samples sent)
(32438|32474) pub30->wait_match() before write for 0103000c.297a35f2.7eb6f1dc.00000002(e06180c8)
(32438|32464) pub19  93% (15 samples sent)
(32438|32464) pub19  100% (16 samples sent)
(32438|32464) pub19->wait_match() before write for 0103000c.297a35f2.7eb6f1d6.00000002(aad19869)
(32438|32475) pub31  writer id: 0103000c.297a35f2.7eb6f1e1.00000002(b9d0fcff)
(32438|32475) pub31->started
(32438|32478) pub34  writer id: 0103000c.297a35f2.7eb6f1e4.00000002(7130738f)
(32438|32478) pub34->started
(32438|32478) pub34  12% (2 samples sent)
(32438|32478) pub34  25% (4 samples sent)
(32438|32478) pub34  31% (5 samples sent)
(32438|32478) pub34  43% (7 samples sent)
(32438|32478) pub34  50% (8 samples sent)
(32438|32478) pub34  62% (10 samples sent)
(32438|32478) pub34  75% (12 samples sent)
(32438|32478) pub34  81% (13 samples sent)
(32438|32478) pub34  93% (15 samples sent)
(32438|32478) pub34  100% (16 samples sent)
(32438|32478) pub34->wait_match() before write for 0103000c.297a35f2.7eb6f1e4.00000002(7130738f)
(32438|32462) pub18->started
(32438|32462) pub18  12% (2 samples sent)
(32438|32462) pub18  25% (4 samples sent)
(32438|32462) pub18  31% (5 samples sent)
(32438|32462) pub18  43% (7 samples sent)
(32438|32462) pub18  50% (8 samples sent)
(32438|32462) pub18  62% (10 samples sent)
(32438|32462) pub18  75% (12 samples sent)
(32438|32462) pub18  81% (13 samples sent)
(32438|32462) pub18  93% (15 samples sent)
(32438|32462) pub18  100% (16 samples sent)
(32438|32462) pub18->wait_match() before write for 0103000c.297a35f2.7eb6f1d4.00000002(d011cb09)
(32438|32477) pub33  12% (2 samples sent)
(32438|32477) pub33  25% (4 samples sent)
(32438|32477) pub33  31% (5 samples sent)
(32438|32477) pub33  43% (7 samples sent)
(32438|32502) pub56  writer id: 0103000c.297a35f2.7eb6f1f9.00000002(e94020bc)
(32438|32502) pub56->started
(32438|32502) pub56  12% (2 samples sent)
(32438|32502) pub56  25% (4 samples sent)
(32438|32502) pub56  31% (5 samples sent)
(32438|32502) pub56  43% (7 samples sent)
(32438|32502) pub56  50% (8 samples sent)
(32438|32502) pub56  62% (10 samples sent)
(32438|32502) pub56  75% (12 samples sent)
(32438|32502) pub56  81% (13 samples sent)
(32438|32502) pub56  93% (15 samples sent)
(32438|32502) pub56  100% (16 samples sent)
(32438|32502) pub56->wait_match() before write for 0103000c.297a35f2.7eb6f1f9.00000002(e94020bc)
(32438|32504) pub58  writer id: 0103000c.297a35f2.7eb6f1fb.00000002(938073dc)
(32438|32504) pub58->started
(32438|32504) pub58  12% (2 samples sent)
(32438|32504) pub58  25% (4 samples sent)
(32438|32504) pub58  31% (5 samples sent)
(32438|32504) pub58  43% (7 samples sent)
(32438|32504) pub58  50% (8 samples sent)
(32438|32504) pub58  62% (10 samples sent)
(32438|32504) pub58  75% (12 samples sent)
(32438|32504) pub58  81% (13 samples sent)
(32438|32504) pub58  93% (15 samples sent)
(32438|32504) pub58  100% (16 samples sent)
(32438|32504) pub58->wait_match() before write for 0103000c.297a35f2.7eb6f1fb.00000002(938073dc)
(32438|32486) pub41  writer id: 0103000c.297a35f2.7eb6f1f7.00000002(56709edd)
(32438|32486) pub41->started
(32438|32486) pub41  12% (2 samples sent)
(32438|32486) pub41  25% (4 samples sent)
(32438|32486) pub41  31% (5 samples sent)
(32438|32486) pub41  43% (7 samples sent)
(32438|32486) pub41  50% (8 samples sent)
(32438|32486) pub41  62% (10 samples sent)
(32438|32486) pub41  75% (12 samples sent)
(32438|32486) pub41  81% (13 samples sent)
(32438|32486) pub41  93% (15 samples sent)
(32438|32486) pub41  100% (16 samples sent)
(32438|32486) pub41->wait_match() before write for 0103000c.297a35f2.7eb6f1f7.00000002(56709edd)
(32438|32468) pub24  31% (5 samples sent)
(32438|32468) pub24  43% (7 samples sent)
(32438|32468) pub24  50% (8 samples sent)
(32438|32468) pub24  62% (10 samples sent)
(32438|32471) pub26  writer id: 0103000c.297a35f2.7eb6f1dd.00000002(dd01a978)
(32438|32485) pub40  writer id: 0103000c.297a35f2.7eb6f1ea.00000002(ce00cdee)
(32438|32485) pub40->started
(32438|32485) pub40  12% (2 samples sent)
(32438|32485) pub40  25% (4 samples sent)
(32438|32485) pub40  31% (5 samples sent)
(32438|32485) pub40  43% (7 samples sent)
(32438|32485) pub40  50% (8 samples sent)
(32438|32485) pub40  62% (10 samples sent)
(32438|32485) pub40  75% (12 samples sent)
(32438|32485) pub40  81% (13 samples sent)
(32438|32485) pub40  93% (15 samples sent)
(32438|32485) pub40  100% (16 samples sent)
(32438|32485) pub40->wait_match() before write for 0103000c.297a35f2.7eb6f1ea.00000002(ce00cdee)
(32438|32475) pub31  12% (2 samples sent)
(32438|32475) pub31  25% (4 samples sent)
(32438|32475) pub31  31% (5 samples sent)
(32438|32475) pub31  43% (7 samples sent)
(32438|32475) pub31  50% (8 samples sent)
(32438|32475) pub31  62% (10 samples sent)
(32438|32475) pub31  75% (12 samples sent)
(32438|32475) pub31  81% (13 samples sent)
(32438|32475) pub31  93% (15 samples sent)
(32438|32475) pub31  100% (16 samples sent)
(32438|32475) pub31->wait_match() before write for 0103000c.297a35f2.7eb6f1e1.00000002(b9d0fcff)
(32438|32493) pub46  writer id: 0103000c.297a35f2.7eb6f201.00000002(1790498e)
(32438|32482) pub37  writer id: 0103000c.297a35f2.7eb6f1e9.00000002(89a0b73e)
(32438|32482) pub37->started
(32438|32482) pub37  12% (2 samples sent)
(32438|32482) pub37  25% (4 samples sent)
(32438|32482) pub37  31% (5 samples sent)
(32438|32482) pub37  43% (7 samples sent)
(32438|32482) pub37  50% (8 samples sent)
(32438|32482) pub37  62% (10 samples sent)
(32438|32482) pub37  75% (12 samples sent)
(32438|32482) pub37  81% (13 samples sent)
(32438|32482) pub37  93% (15 samples sent)
(32438|32482) pub37  100% (16 samples sent)
(32438|32482) pub37->wait_match() before write for 0103000c.297a35f2.7eb6f1e9.00000002(89a0b73e)
(32438|32496) pub50  writer id: 0103000c.297a35f2.7eb6f1f4.00000002(11d0e40d)
(32438|32496) pub50->started
(32438|32496) pub50  12% (2 samples sent)
(32438|32496) pub50  25% (4 samples sent)
(32438|32496) pub50  31% (5 samples sent)
(32438|32496) pub50  43% (7 samples sent)
(32438|32496) pub50  50% (8 samples sent)
(32438|32496) pub50  62% (10 samples sent)
(32438|32496) pub50  75% (12 samples sent)
(32438|32496) pub50  81% (13 samples sent)
(32438|32496) pub50  93% (15 samples sent)
(32438|32496) pub50  100% (16 samples sent)
(32438|32496) pub50->wait_match() before write for 0103000c.297a35f2.7eb6f1f4.00000002(11d0e40d)
(32438|32494) pub48  62% (10 samples sent)
(32438|32494) pub48  75% (12 samples sent)
(32438|32494) pub48  81% (13 samples sent)
(32438|32494) pub48  93% (15 samples sent)
(32438|32494) pub48  100% (16 samples sent)
(32438|32494) pub48->wait_match() before write for 0103000c.297a35f2.7eb6f1f3.00000002(a3f0381d)
(32438|32477) pub33  50% (8 samples sent)
(32438|32477) pub33  62% (10 samples sent)
(32438|32477) pub33  75% (12 samples sent)
(32438|32477) pub33  81% (13 samples sent)
(32438|32477) pub33  93% (15 samples sent)
(32438|32477) pub33  100% (16 samples sent)
(32438|32477) pub33->wait_match() before write for 0103000c.297a35f2.7eb6f1e6.00000002(0bf020ef)
(32438|32505) pub59  writer id: 0103000c.297a35f2.7eb6f1ef.00000002(06e0429e)
(32438|32505) pub59->started
(32438|32505) pub59  12% (2 samples sent)
(32438|32505) pub59  25% (4 samples sent)
(32438|32505) pub59  31% (5 samples sent)
(32438|32505) pub59  43% (7 samples sent)
(32438|32505) pub59  50% (8 samples sent)
(32438|32505) pub59  62% (10 samples sent)
(32438|32505) pub59  75% (12 samples sent)
(32438|32505) pub59  81% (13 samples sent)
(32438|32505) pub59  93% (15 samples sent)
(32438|32505) pub59  100% (16 samples sent)
(32438|32505) pub59->wait_match() before write for 0103000c.297a35f2.7eb6f1ef.00000002(06e0429e)
(32438|32487) pub42  writer id: 0103000c.297a35f2.7eb6f1ec.00000002(4140384e)
(32438|32487) pub42->started
(32438|32487) pub42  12% (2 samples sent)
(32438|32487) pub42  25% (4 samples sent)
(32438|32487) pub42  31% (5 samples sent)
(32438|32487) pub42  43% (7 samples sent)
(32438|32487) pub42  50% (8 samples sent)
(32438|32487) pub42  62% (10 samples sent)
(32438|32487) pub42  75% (12 samples sent)
(32438|32487) pub42  81% (13 samples sent)
(32438|32487) pub42  93% (15 samples sent)
(32438|32487) pub42  100% (16 samples sent)
(32438|32487) pub42->wait_match() before write for 0103000c.297a35f2.7eb6f1ec.00000002(4140384e)
(32438|32471) pub26->started
(32438|32471) pub26  12% (2 samples sent)
(32438|32471) pub26  25% (4 samples sent)
(32438|32471) pub26  31% (5 samples sent)
(32438|32492) pub47  writer id: 0103000c.297a35f2.7eb6f1f1.00000002(d9306b7d)
(32438|32493) pub46->started
(32438|32493) pub46  12% (2 samples sent)
(32438|32493) pub46  25% (4 samples sent)
(32438|32493) pub46  31% (5 samples sent)
(32438|32493) pub46  43% (7 samples sent)
(32438|32493) pub46  50% (8 samples sent)
(32438|32493) pub46  62% (10 samples sent)
(32438|32493) pub46  75% (12 samples sent)
(32438|32493) pub46  81% (13 samples sent)
(32438|32493) pub46  93% (15 samples sent)
(32438|32493) pub46  100% (16 samples sent)
(32438|32493) pub46->wait_match() before write for 0103000c.297a35f2.7eb6f201.00000002(1790498e)
(32438|32510) pub63  writer id: 0103000c.297a35f2.7eb6f1ff.00000002(6600d51c)
(32438|32510) pub63->started
(32438|32510) pub63  12% (2 samples sent)
(32438|32510) pub63  25% (4 samples sent)
(32438|32510) pub63  31% (5 samples sent)
(32438|32510) pub63  43% (7 samples sent)
(32438|32510) pub63  50% (8 samples sent)
(32438|32510) pub63  62% (10 samples sent)
(32438|32510) pub63  75% (12 samples sent)
(32438|32510) pub63  81% (13 samples sent)
(32438|32510) pub63  93% (15 samples sent)
(32438|32510) pub63  100% (16 samples sent)
(32438|32510) pub63->wait_match() before write for 0103000c.297a35f2.7eb6f1ff.00000002(6600d51c)
(32438|32483) pub39  writer id: 0103000c.297a35f2.7eb6f1e7.00000002(3690095f)
(32438|32483) pub39->started
(32438|32495) pub49  writer id: 0103000c.297a35f2.7eb6f1f2.00000002(9e9011ad)
(32438|32495) pub49->started
(32438|32495) pub49  12% (2 samples sent)
(32438|32495) pub49  25% (4 samples sent)
(32438|32495) pub49  31% (5 samples sent)
(32438|32495) pub49  43% (7 samples sent)
(32438|32495) pub49  50% (8 samples sent)
(32438|32495) pub49  62% (10 samples sent)
(32438|32495) pub49  75% (12 samples sent)
(32438|32495) pub49  81% (13 samples sent)
(32438|32495) pub49  93% (15 samples sent)
(32438|32495) pub49  100% (16 samples sent)
(32438|32495) pub49->wait_match() before write for 0103000c.297a35f2.7eb6f1f2.00000002(9e9011ad)
(32438|32471) pub26  43% (7 samples sent)
(32438|32471) pub26  50% (8 samples sent)
(32438|32471) pub26  62% (10 samples sent)
(32438|32471) pub26  75% (12 samples sent)
(32438|32471) pub26  81% (13 samples sent)
(32438|32471) pub26  93% (15 samples sent)
(32438|32471) pub26  100% (16 samples sent)
(32438|32471) pub26->wait_match() before write for 0103000c.297a35f2.7eb6f1dd.00000002(dd01a978)
(32438|32492) pub47->started
(32438|32503) pub57  writer id: 0103000c.297a35f2.7eb6f1fa.00000002(aee05a6c)
(32438|32503) pub57->started
(32438|32492) pub47  12% (2 samples sent)
(32438|32503) pub57  12% (2 samples sent)
(32438|32503) pub57  25% (4 samples sent)
(32438|32503) pub57  31% (5 samples sent)
(32438|32503) pub57  43% (7 samples sent)
(32438|32503) pub57  50% (8 samples sent)
(32438|32503) pub57  62% (10 samples sent)
(32438|32503) pub57  75% (12 samples sent)
(32438|32503) pub57  81% (13 samples sent)
(32438|32503) pub57  93% (15 samples sent)
(32438|32503) pub57  100% (16 samples sent)
(32438|32503) pub57->wait_match() before write for 0103000c.297a35f2.7eb6f1fa.00000002(aee05a6c)
(32438|32501) pub54  writer id: 0103000c.297a35f2.7eb6f1f8.00000002(d420090c)
(32438|32501) pub54->started
(32438|32501) pub54  12% (2 samples sent)
(32438|32501) pub54  25% (4 samples sent)
(32438|32501) pub54  31% (5 samples sent)
(32438|32501) pub54  43% (7 samples sent)
(32438|32501) pub54  50% (8 samples sent)
(32438|32501) pub54  62% (10 samples sent)
(32438|32501) pub54  75% (12 samples sent)
(32438|32501) pub54  81% (13 samples sent)
(32438|32501) pub54  93% (15 samples sent)
(32438|32501) pub54  100% (16 samples sent)
(32438|32501) pub54->wait_match() before write for 0103000c.297a35f2.7eb6f1f8.00000002(d420090c)
(32438|32491) pub45  writer id: 0103000c.297a35f2.7eb6f1f0.00000002(e45042cd)
(32438|32491) pub45->started
(32438|32491) pub45  12% (2 samples sent)
(32438|32491) pub45  25% (4 samples sent)
(32438|32491) pub45  31% (5 samples sent)
(32438|32491) pub45  43% (7 samples sent)
(32438|32491) pub45  50% (8 samples sent)
(32438|32491) pub45  62% (10 samples sent)
(32438|32491) pub45  75% (12 samples sent)
(32438|32491) pub45  81% (13 samples sent)
(32438|32491) pub45  93% (15 samples sent)
(32438|32491) pub45  100% (16 samples sent)
(32438|32491) pub45->wait_match() before write for 0103000c.297a35f2.7eb6f1f0.00000002(e45042cd)
(32438|32468) pub24  75% (12 samples sent)
(32438|32468) pub24  81% (13 samples sent)
(32438|32468) pub24  93% (15 samples sent)
(32438|32468) pub24  100% (16 samples sent)
(32438|32468) pub24->wait_match() before write for 0103000c.297a35f2.7eb6f1d5.00000002(ed71e2b9)
(32438|32492) pub47  25% (4 samples sent)
(32438|32492) pub47  31% (5 samples sent)
(32438|32492) pub47  43% (7 samples sent)
(32438|32492) pub47  50% (8 samples sent)
(32438|32492) pub47  62% (10 samples sent)
(32438|32492) pub47  75% (12 samples sent)
(32438|32492) pub47  81% (13 samples sent)
(32438|32492) pub47  93% (15 samples sent)
(32438|32492) pub47  100% (16 samples sent)
(32438|32492) pub47->wait_match() before write for 0103000c.297a35f2.7eb6f1f1.00000002(d9306b7d)
(32438|32508) pub62  writer id: 0103000c.297a35f2.7eb6f200.00000002(2af0603e)
(32438|32508) pub62->started
(32438|32508) pub62  12% (2 samples sent)
(32438|32508) pub62  25% (4 samples sent)
(32438|32508) pub62  31% (5 samples sent)
(32438|32508) pub62  43% (7 samples sent)
(32438|32508) pub62  50% (8 samples sent)
(32438|32508) pub62  62% (10 samples sent)
(32438|32508) pub62  75% (12 samples sent)
(32438|32508) pub62  81% (13 samples sent)
(32438|32508) pub62  93% (15 samples sent)
(32438|32508) pub62  100% (16 samples sent)
(32438|32508) pub62->wait_match() before write for 0103000c.297a35f2.7eb6f200.00000002(2af0603e)
(32438|32483) pub39  12% (2 samples sent)
(32438|32483) pub39  25% (4 samples sent)
(32438|32483) pub39  31% (5 samples sent)
(32438|32483) pub39  43% (7 samples sent)
(32438|32483) pub39  50% (8 samples sent)
(32438|32483) pub39  62% (10 samples sent)
(32438|32483) pub39  75% (12 samples sent)
(32438|32483) pub39  81% (13 samples sent)
(32438|32483) pub39  93% (15 samples sent)
(32438|32483) pub39  100% (16 samples sent)
(32438|32483) pub39->wait_match() before write for 0103000c.297a35f2.7eb6f1e7.00000002(3690095f)
(32438|32500) pub55  writer id: 0103000c.297a35f2.7eb6f1fc.00000002(21a0afcc)
(32438|32500) pub55->started
(32438|32500) pub55  12% (2 samples sent)
(32438|32500) pub55  25% (4 samples sent)
(32438|32500) pub55  31% (5 samples sent)
(32438|32500) pub55  43% (7 samples sent)
(32438|32500) pub55  50% (8 samples sent)
(32438|32500) pub55  62% (10 samples sent)
(32438|32500) pub55  75% (12 samples sent)
(32438|32500) pub55  81% (13 samples sent)
(32438|32500) pub55  93% (15 samples sent)
(32438|32500) pub55  100% (16 samples sent)
(32438|32500) pub55->wait_match() before write for 0103000c.297a35f2.7eb6f1fc.00000002(21a0afcc)
(32438|32448) pub6<-match found! before write for 0103000c.297a35f2.7eb6f1c8.00000002(7501b18a)
(32438|32448) pub6  waiting for acks
(32438|32448) pub6  waiting for acks returned
(32438|32448) pub6<-delete_contained_entities
(32438|32448) pub6<-delete_participant
(32438|32456) pub12<-match found! before write for 0103000c.297a35f2.7eb6f1ce.00000002(fa41442a)
(32438|32456) pub12  waiting for acks
(32438|32497) pub51<-match found! before write for 0103000c.297a35f2.7eb6f1f5.00000002(2cb0cdbd)
(32438|32497) pub51  waiting for acks
(32438|32479) pub35<-match found! before write for 0103000c.297a35f2.7eb6f1e3.00000002(c310af9f)
(32438|32479) pub35  waiting for acks
(32438|32456) pub12  waiting for acks returned
(32438|32456) pub12<-delete_contained_entities
(32438|32475) pub31<-match found! before write for 0103000c.297a35f2.7eb6f1e1.00000002(b9d0fcff)
(32438|32475) pub31  waiting for acks
(32438|32456) pub12<-delete_participant
(32438|32465) pub20<-match found! before write for 0103000c.297a35f2.7eb6f1d7.00000002(97b1b1d9)
(32438|32465) pub20  waiting for acks
(32438|32489) pub44<-match found! before write for 0103000c.297a35f2.7eb6f1ed.00000002(7c2011fe)
(32438|32489) pub44  waiting for acks
(32438|32489) pub44  waiting for acks returned
(32438|32489) pub44<-delete_contained_entities
(32438|32489) pub44<-delete_participant
(32438|32495) pub49<-match found! before write for 0103000c.297a35f2.7eb6f1f2.00000002(9e9011ad)
(32438|32495) pub49  waiting for acks
(32438|32495) pub49  waiting for acks returned
(32438|32495) pub49<-delete_contained_entities
(32438|32483) pub39<-match found! before write for 0103000c.297a35f2.7eb6f1e7.00000002(3690095f)
(32438|32483) pub39  waiting for acks
(32438|32495) pub49<-delete_participant
(32438|32483) pub39  waiting for acks returned
(32438|32483) pub39<-delete_contained_entities
(32438|32451) pub5<-match found! before write for 0103000c.297a35f2.7eb6f1c6.00000002(ca310feb)
(32438|32451) pub5  waiting for acks
(32438|32508) pub62<-match found! before write for 0103000c.297a35f2.7eb6f200.00000002(2af0603e)
(32438|32508) pub62  waiting for acks
(32438|32483) pub39<-delete_participant
(32438|32491) pub45<-match found! before write for 0103000c.297a35f2.7eb6f1f0.00000002(e45042cd)
(32438|32491) pub45  waiting for acks
(32438|32508) pub62  waiting for acks returned
(32438|32508) pub62<-delete_contained_entities
(32438|32497) pub51  waiting for acks returned
(32438|32497) pub51<-delete_contained_entities
(32438|32455) pub11<-match found! before write for 0103000c.297a35f2.7eb6f1cd.00000002(bde13efa)
(32438|32455) pub11  waiting for acks
(32438|32478) pub34<-match found! before write for 0103000c.297a35f2.7eb6f1e4.00000002(7130738f)
(32438|32478) pub34  waiting for acks
(32438|32508) pub62<-delete_participant
(32438|32478) pub34  waiting for acks returned
(32438|32478) pub34<-delete_contained_entities
(32438|32497) pub51<-delete_participant
(32438|32453) pub10<-match found! before write for 0103000c.297a35f2.7eb6f1cc.00000002(8081174a)
(32438|32453) pub10  waiting for acks
(32438|32492) pub47<-match found! before write for 0103000c.297a35f2.7eb6f1f1.00000002(d9306b7d)
(32438|32492) pub47  waiting for acks
(32438|32479) pub35  waiting for acks returned
(32438|32479) pub35<-delete_contained_entities
(32438|32478) pub34<-delete_participant
(32438|32463) pub21<-match found! before write for 0103000c.297a35f2.7eb6f1d8.00000002(15e12608)
(32438|32463) pub21  waiting for acks
(32438|32479) pub35<-delete_participant
(32438|32475) pub31  waiting for acks returned
(32438|32475) pub31<-delete_contained_entities
(32438|32465) pub20  waiting for acks returned
(32438|32465) pub20<-delete_contained_entities
(32438|32481) pub36<-match found! before write for 0103000c.297a35f2.7eb6f1e5.00000002(4c505a3f)
(32438|32481) pub36  waiting for acks
(32438|32465) pub20<-delete_participant
(32438|32463) pub21  waiting for acks returned
(32438|32463) pub21<-delete_contained_entities
(32438|32475) pub31<-delete_participant
(32438|32493) pub46<-match found! before write for 0103000c.297a35f2.7eb6f201.00000002(1790498e)
(32438|32493) pub46  waiting for acks
(32438|32464) pub19<-match found! before write for 0103000c.297a35f2.7eb6f1d6.00000002(aad19869)
(32438|32464) pub19  waiting for acks
(32438|32500) pub55<-match found! before write for 0103000c.297a35f2.7eb6f1fc.00000002(21a0afcc)
(32438|32500) pub55  waiting for acks
(32438|32464) pub19  waiting for acks returned
(32438|32464) pub19<-delete_contained_entities
(32438|32463) pub21<-delete_participant
(32438|32510) pub63<-match found! before write for 0103000c.297a35f2.7eb6f1ff.00000002(6600d51c)
(32438|32510) pub63  waiting for acks
(32438|32510) pub63  waiting for acks returned
(32438|32510) pub63<-delete_contained_entities
(32438|32464) pub19<-delete_participant
(32438|32505) pub59<-match found! before write for 0103000c.297a35f2.7eb6f1ef.00000002(06e0429e)
(32438|32505) pub59  waiting for acks
(32438|32457) pub13<-match found! before write for 0103000c.297a35f2.7eb6f1cf.00000002(c7216d9a)
(32438|32457) pub13  waiting for acks
(32438|32468) pub24<-match found! before write for 0103000c.297a35f2.7eb6f1d5.00000002(ed71e2b9)
(32438|32468) pub24  waiting for acks
(32438|32510) pub63<-delete_participant
(32438|32505) pub59  waiting for acks returned
(32438|32505) pub59<-delete_contained_entities
(32438|32482) pub37<-match found! before write for 0103000c.297a35f2.7eb6f1e9.00000002(89a0b73e)
(32438|32482) pub37  waiting for acks
(32438|32505) pub59<-delete_participant
(32438|32482) pub37  waiting for acks returned
(32438|32482) pub37<-delete_contained_entities
(32438|32449) pub7<-match found! before write for 0103000c.297a35f2.7eb6f1c9.00000002(4861983a)
(32438|32449) pub7  waiting for acks
(32438|32493) pub46  waiting for acks returned
(32438|32493) pub46<-delete_contained_entities
(32438|32451) pub5  waiting for acks returned
(32438|32451) pub5<-delete_contained_entities
(32438|32501) pub54<-match found! before write for 0103000c.297a35f2.7eb6f1f8.00000002(d420090c)
(32438|32501) pub54  waiting for acks
(32438|32482) pub37<-delete_participant
(32438|32493) pub46<-delete_participant
(32438|32501) pub54  waiting for acks returned
(32438|32501) pub54<-delete_contained_entities
(32438|32451) pub5<-delete_participant
(32438|32491) pub45  waiting for acks returned
(32438|32491) pub45<-delete_contained_entities
(32438|32501) pub54<-delete_participant
(32438|32494) pub48<-match found! before write for 0103000c.297a35f2.7eb6f1f3.00000002(a3f0381d)
(32438|32494) pub48  waiting for acks
(32438|32492) pub47  waiting for acks returned
(32438|32492) pub47<-delete_contained_entities
(32438|32491) pub45<-delete_participant
(32438|32500) pub55  waiting for acks returned
(32438|32500) pub55<-delete_contained_entities
(32438|32504) pub58<-match found! before write for 0103000c.297a35f2.7eb6f1fb.00000002(938073dc)
(32438|32504) pub58  waiting for acks
(32438|32492) pub47<-delete_participant
(32438|32453) pub10  waiting for acks returned
(32438|32453) pub10<-delete_contained_entities
(32438|32500) pub55<-delete_participant
(32438|32504) pub58  waiting for acks returned
(32438|32504) pub58<-delete_contained_entities
(32438|32453) pub10<-delete_participant
(32438|32487) pub42<-match found! before write for 0103000c.297a35f2.7eb6f1ec.00000002(4140384e)
(32438|32487) pub42  waiting for acks
(32438|32504) pub58<-delete_participant
(32438|32444) pub0<-match found! before write for 0103000c.297a35f2.7eb6f1c2.00000002(3fb1a92b)
(32438|32444) pub0  waiting for acks
(32438|32502) pub56<-match found! before write for 0103000c.297a35f2.7eb6f1f9.00000002(e94020bc)
(32438|32502) pub56  waiting for acks
(32438|32450) pub3<-match found! before write for 0103000c.297a35f2.7eb6f1c5.00000002(8d91753b)
(32438|32450) pub3  waiting for acks
(32438|32467) pub23<-match found! before write for 0103000c.297a35f2.7eb6f1d9.00000002(28810fb8)
(32438|32467) pub23  waiting for acks
(32438|32481) pub36  waiting for acks returned
(32438|32481) pub36<-delete_contained_entities
(32438|32459) pub15<-match found! before write for 0103000c.297a35f2.7eb6f1d1.00000002(18f14479)
(32438|32459) pub15  waiting for acks
(32438|32458) pub14<-match found! before write for 0103000c.297a35f2.7eb6f1d0.00000002(25916dc9)
(32438|32458) pub14  waiting for acks
(32438|32481) pub36<-delete_participant
(32438|32452) pub8<-match found! before write for 0103000c.297a35f2.7eb6f1ca.00000002(0fc1e2ea)
(32438|32452) pub8  waiting for acks
(32438|32444) pub0  waiting for acks returned
(32438|32444) pub0<-delete_contained_entities
(32438|32457) pub13  waiting for acks returned
(32438|32457) pub13<-delete_contained_entities
(32438|32470) pub27<-match found! before write for 0103000c.297a35f2.7eb6f1da.00000002(6f217568)
(32438|32470) pub27  waiting for acks
(32438|32458) pub14  waiting for acks returned
(32438|32458) pub14<-delete_contained_entities
(32438|32488) pub43<-match found! before write for 0103000c.297a35f2.7eb6f1eb.00000002(f360e45e)
(32438|32488) pub43  waiting for acks
(32438|32503) pub57<-match found! before write for 0103000c.297a35f2.7eb6f1fa.00000002(aee05a6c)
(32438|32503) pub57  waiting for acks
(32438|32486) pub41<-match found! before write for 0103000c.297a35f2.7eb6f1f7.00000002(56709edd)
(32438|32486) pub41  waiting for acks
(32438|32452) pub8  waiting for acks returned
(32438|32452) pub8<-delete_contained_entities
(32438|32460) pub17<-match found! before write for 0103000c.297a35f2.7eb6f1d3.00000002(62311719)
(32438|32460) pub17  waiting for acks
(32438|32462) pub18<-match found! before write for 0103000c.297a35f2.7eb6f1d4.00000002(d011cb09)
(32438|32462) pub18  waiting for acks
(32438|32449) pub7  waiting for acks returned
(32438|32449) pub7<-delete_contained_entities
(32438|32444) pub0<-delete_participant
(32438|32503) pub57  waiting for acks returned
(32438|32503) pub57<-delete_contained_entities
(32438|32494) pub48  waiting for acks returned
(32438|32494) pub48<-delete_contained_entities
(32438|32457) pub13<-delete_participant
(32438|32476) pub32<-match found! before write for 0103000c.297a35f2.7eb6f1e2.00000002(fe70862f)
(32438|32476) pub32  waiting for acks
(32438|32466) pub22<-match found! before write for 0103000c.297a35f2.7eb6f1db.00000002(52415cd8)
(32438|32466) pub22  waiting for acks
(32438|32458) pub14<-delete_participant
(32438|32506) pub60<-match found! before write for 0103000c.297a35f2.7eb6f1fd.00000002(1cc0867c)
(32438|32506) pub60  waiting for acks
(32438|32502) pub56  waiting for acks returned
(32438|32502) pub56<-delete_contained_entities
(32438|32452) pub8<-delete_participant
(32438|32473) pub29<-match found! before write for 0103000c.297a35f2.7eb6f1df.00000002(a7c1fa18)
(32438|32473) pub29  waiting for acks
(32438|32468) pub24  waiting for acks returned
(32438|32468) pub24<-delete_contained_entities
(32438|32476) pub32  waiting for acks returned
(32438|32476) pub32<-delete_contained_entities
(32438|32499) pub53<-match found! before write for 0103000c.297a35f2.7eb6f1ee.00000002(3b806b2e)
(32438|32499) pub53  waiting for acks
(32438|32449) pub7<-delete_participant
(32438|32461) pub16<-match found! before write for 0103000c.297a35f2.7eb6f1d2.00000002(5f513ea9)
(32438|32461) pub16  waiting for acks
(32438|32507) pub61<-match found! before write for 0103000c.297a35f2.7eb6f1fe.00000002(5b60fcac)
(32438|32507) pub61  waiting for acks
(32438|32503) pub57<-delete_participant
(32438|32446) pub2<-match found! before write for 0103000c.297a35f2.7eb6f1c4.00000002(b0f15c8b)
(32438|32446) pub2  waiting for acks
(32438|32494) pub48<-delete_participant
(32438|32455) pub11  waiting for acks returned
(32438|32455) pub11<-delete_contained_entities
(32438|32487) pub42  waiting for acks returned
(32438|32487) pub42<-delete_contained_entities
(32438|32502) pub56<-delete_participant
(32438|32445) pub1<-match found! before write for 0103000c.297a35f2.7eb6f1c3.00000002(02d1809b)
(32438|32445) pub1  waiting for acks
(32438|32476) pub32<-delete_participant
(32438|32477) pub33<-match found! before write for 0103000c.297a35f2.7eb6f1e6.00000002(0bf020ef)
(32438|32477) pub33  waiting for acks
(32438|32470) pub27  waiting for acks returned
(32438|32470) pub27<-delete_contained_entities
(32438|32446) pub2  waiting for acks returned
(32438|32446) pub2<-delete_contained_entities
(32438|32469) pub25<-match found! before write for 0103000c.297a35f2.7eb6f1e0.00000002(84b0d54f)
(32438|32469) pub25  waiting for acks
(32438|32468) pub24<-delete_participant
(32438|32459) pub15  waiting for acks returned
(32438|32459) pub15<-delete_contained_entities
(32438|32447) pub4<-match found! before write for 0103000c.297a35f2.7eb6f1c7.00000002(f751265b)
(32438|32447) pub4  waiting for acks
(32438|32488) pub43  waiting for acks returned
(32438|32488) pub43<-delete_contained_entities
(32438|32472) pub28<-match found! before write for 0103000c.297a35f2.7eb6f1de.00000002(9aa1d3a8)
(32438|32472) pub28  waiting for acks
(32438|32467) pub23  waiting for acks returned
(32438|32467) pub23<-delete_contained_entities
(32438|32474) pub30<-match found! before write for 0103000c.297a35f2.7eb6f1dc.00000002(e06180c8)
(32438|32474) pub30  waiting for acks
(32438|32454) pub9<-match found! before write for 0103000c.297a35f2.7eb6f1cb.00000002(32a1cb5a)
(32438|32454) pub9  waiting for acks
(32438|32455) pub11<-delete_participant
(32438|32471) pub26<-match found! before write for 0103000c.297a35f2.7eb6f1dd.00000002(dd01a978)
(32438|32471) pub26  waiting for acks
(32438|32506) pub60  waiting for acks returned
(32438|32506) pub60<-delete_contained_entities
(32438|32487) pub42<-delete_participant
(32438|32450) pub3  waiting for acks returned
(32438|32450) pub3<-delete_contained_entities
(32438|32485) pub40<-match found! before write for 0103000c.297a35f2.7eb6f1ea.00000002(ce00cdee)
(32438|32485) pub40  waiting for acks
(32438|32469) pub25  waiting for acks returned
(32438|32469) pub25<-delete_contained_entities
(32438|32460) pub17  waiting for acks returned
(32438|32460) pub17<-delete_contained_entities
(32438|32462) pub18  waiting for acks returned
(32438|32462) pub18<-delete_contained_entities
(32438|32477) pub33  waiting for acks returned
(32438|32477) pub33<-delete_contained_entities
(32438|32447) pub4  waiting for acks returned
(32438|32447) pub4<-delete_contained_entities
(32438|32499) pub53  waiting for acks returned
(32438|32499) pub53<-delete_contained_entities
(32438|32470) pub27<-delete_participant
(32438|32454) pub9  waiting for acks returned
(32438|32454) pub9<-delete_contained_entities
(32438|32445) pub1  waiting for acks returned
(32438|32445) pub1<-delete_contained_entities
(32438|32496) pub50<-match found! before write for 0103000c.297a35f2.7eb6f1f4.00000002(11d0e40d)
(32438|32496) pub50  waiting for acks
(32438|32486) pub41  waiting for acks returned
(32438|32486) pub41<-delete_contained_entities
(32438|32484) pub38<-match found! before write for 0103000c.297a35f2.7eb6f1e8.00000002(b4c09e8e)
(32438|32484) pub38  waiting for acks
(32438|32446) pub2<-delete_participant
(32438|32507) pub61  waiting for acks returned
(32438|32507) pub61<-delete_contained_entities
(32438|32498) pub52<-match found! before write for 0103000c.297a35f2.7eb6f1f6.00000002(6b10b76d)
(32438|32498) pub52  waiting for acks
(32438|32459) pub15<-delete_participant
(32438|32488) pub43<-delete_participant
(32438|32466) pub22  waiting for acks returned
(32438|32466) pub22<-delete_contained_entities
(32438|32467) pub23<-delete_participant
(32438|32485) pub40  waiting for acks returned
(32438|32485) pub40<-delete_contained_entities
(32438|32461) pub16  waiting for acks returned
(32438|32461) pub16<-delete_contained_entities
(32438|32473) pub29  waiting for acks returned
(32438|32473) pub29<-delete_contained_entities
(32438|32443) sub condition_.notify_all
(32438|32438) sub condition_.wait returned
(32438|32438) sub check_received
(32438|32438) sub check_received returns 0
(32438|32438) <- PublisherService::end
(32438|32506) pub60<-delete_participant
(32438|32472) pub28  waiting for acks returned
(32438|32472) pub28<-delete_contained_entities
(32438|32450) pub3<-delete_participant
(32438|32469) pub25<-delete_participant
(32438|32474) pub30  waiting for acks returned
(32438|32474) pub30<-delete_contained_entities
(32438|32460) pub17<-delete_participant
(32438|32462) pub18<-delete_participant
(32438|32477) pub33<-delete_participant
(32438|32496) pub50  waiting for acks returned
(32438|32496) pub50<-delete_contained_entities
(32438|32484) pub38  waiting for acks returned
(32438|32484) pub38<-delete_contained_entities
(32438|32471) pub26  waiting for acks returned
(32438|32471) pub26<-delete_contained_entities
(32438|32498) pub52  waiting for acks returned
(32438|32498) pub52<-delete_contained_entities
(32438|32447) pub4<-delete_participant
(32438|32499) pub53<-delete_participant
(32438|32454) pub9<-delete_participant
(32438|32445) pub1<-delete_participant
(32438|32486) pub41<-delete_participant
(32438|32507) pub61<-delete_participant
(32438|32466) pub22<-delete_participant
(32438|32485) pub40<-delete_participant
(32438|32461) pub16<-delete_participant
(32438|32473) pub29<-delete_participant
(32438|32472) pub28<-delete_participant
(32438|32474) pub30<-delete_participant
(32438|32496) pub50<-delete_participant
(32438|32484) pub38<-delete_participant
(32438|32471) pub26<-delete_participant
(32438|32498) pub52<-delete_participant
(32438|32438) <- PublisherService::~PublisherService
(32438|32438) <- Subscriber delete_contained_entities
(32438|32438) <- Subscriber delete_participant
(32438|32438) <- Subscriber::~Subscriber
(32438|32438) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl aggressive rtps durable Time:91s Result:0

==============================================================================

tests/DCPS/DPFactoryQos/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 323
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DPFactoryQos/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub.log -DCPSPendingTimeout 3 
pub PID: 332 started at 2023-04-21 22:34:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DPFactoryQos/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub.log -DCPSPendingTimeout 3 
sub PID: 333 started at 2023-04-21 22:34:02
(323|323) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/DPFactoryQos/run_test.pl Time:9s Result:0

==============================================================================

tests/DCPS/DPFactoryQos/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DPFactoryQos/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub.log -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
pub PID: 351 started at 2023-04-21 22:34:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DPFactoryQos/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub.log -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
sub PID: 352 started at 2023-04-21 22:34:11
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
test PASSED.

auto_run_tests_finished: tests/DCPS/DPFactoryQos/run_test.pl rtps_disc Time:8s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 366
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_inforepo_tcp.ini  -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 373 started at 2023-04-21 22:34:19
2023-04-21 22:34:19.649@LM_NOTICE@(373|373) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(366|366) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-21 22:34:19.712@LM_WARNING@(373|373) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-21 22:34:19.890@LM_DEBUG@(373|373) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-21 22:34:19.890@LM_DEBUG@(373|373) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 01030000.4dc5cdf7.00000002.00000102(df9faede)
2023-04-21 22:34:19.890@LM_DEBUG@(373|373) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-21 22:34:19.890@LM_DEBUG@(373|373) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 01030000.4dc5cdf7.00000003.00000102(e2ff876e)
2023-04-21 22:34:19.890@LM_DEBUG@(373|386) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-21 22:34:19.891@LM_DEBUG@(373|373) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-21 22:34:19.891@LM_DEBUG@(373|373) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 01030000.4dc5cdf7.00000002.00000202(f4b2fd1d)
2023-04-21 22:34:19.891@LM_DEBUG@(373|373) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-21 22:34:19.891@LM_DEBUG@(373|373) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 01030000.4dc5cdf7.00000002.00000302(eda9cc5c)
2023-04-21 22:34:19.891@LM_DEBUG@(373|373) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-21 22:34:19.891@LM_DEBUG@(373|387) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-21 22:34:19.891@LM_DEBUG@(373|387) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:34:19.891@LM_DEBUG@(373|389) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-21 22:34:19.891@LM_DEBUG@(373|389) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:34:19.891@LM_INFO@(373|385) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 39 (01030000.4dc5cdf7.00000002.00000102(df9faede))
2023-04-21 22:34:19.891@LM_DEBUG@(373|388) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-21 22:34:19.892@LM_DEBUG@(373|386) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:34:19.892@LM_INFO@(373|385) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 48 (01030000.4dc5cdf7.00000002.00000302(eda9cc5c))
2023-04-21 22:34:19.893@LM_INFO@(373|385) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-21 22:34:19.893@LM_INFO@(373|385) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.4dc5cdf7.00000002.00000202(f4b2fd1d))
2023-04-21 22:34:19.894@LM_DEBUG@(373|388) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:34:19.894@LM_INFO@(373|385) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-21 22:34:21.457@LM_INFO@(373|385) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 42 (01030000.4dc5cdf7.00000003.00000102(e2ff876e))
2023-04-21 22:34:21.891@LM_DEBUG@(373|387) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:34:21.892@LM_DEBUG@(373|389) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:34:21.892@LM_DEBUG@(373|386) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:34:21.893@LM_INFO@(373|385) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-21 22:34:21.895@LM_DEBUG@(373|388) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:34:21.895@LM_INFO@(373|385) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-21 22:34:23.892@LM_DEBUG@(373|387) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:34:23.893@LM_DEBUG@(373|389) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:34:23.894@LM_DEBUG@(373|386) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:34:23.894@LM_INFO@(373|385) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-21 22:34:23.895@LM_DEBUG@(373|388) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:34:23.896@LM_INFO@(373|385) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-21 22:34:25.892@LM_DEBUG@(373|387) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:34:25.893@LM_DEBUG@(373|389) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:34:25.895@LM_DEBUG@(373|386) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:34:25.895@LM_DEBUG@(373|388) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:34:25.896@LM_INFO@(373|385) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-21 22:34:25.896@LM_INFO@(373|385) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-21 22:34:27.892@LM_DEBUG@(373|387) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:34:27.893@LM_DEBUG@(373|389) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:34:27.896@LM_DEBUG@(373|386) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:34:27.896@LM_DEBUG@(373|388) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:34:27.897@LM_INFO@(373|385) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-21 22:34:27.898@LM_INFO@(373|385) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-21 22:34:29.892@LM_DEBUG@(373|387) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:34:29.894@LM_DEBUG@(373|389) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:34:29.897@LM_DEBUG@(373|386) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:34:29.897@LM_DEBUG@(373|388) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:34:29.898@LM_INFO@(373|385) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-21 22:34:29.899@LM_INFO@(373|385) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-21 22:34:31.893@LM_DEBUG@(373|387) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:34:31.894@LM_DEBUG@(373|389) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:34:31.898@LM_DEBUG@(373|386) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:34:31.898@LM_DEBUG@(373|388) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:34:31.899@LM_INFO@(373|385) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-21 22:34:31.900@LM_INFO@(373|385) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-21 22:34:33.893@LM_DEBUG@(373|387) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:34:33.895@LM_DEBUG@(373|389) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:34:33.899@LM_DEBUG@(373|386) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:34:33.900@LM_DEBUG@(373|388) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:34:33.900@LM_INFO@(373|385) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-21 22:34:33.900@LM_INFO@(373|385) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-21 22:34:35.893@LM_DEBUG@(373|387) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:34:35.895@LM_DEBUG@(373|389) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:34:35.900@LM_DEBUG@(373|386) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:34:35.900@LM_DEBUG@(373|388) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:34:35.901@LM_INFO@(373|385) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-21 22:34:35.901@LM_INFO@(373|385) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-21 22:34:37.894@LM_DEBUG@(373|387) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:34:37.896@LM_DEBUG@(373|389) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:34:37.901@LM_DEBUG@(373|386) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:34:37.901@LM_DEBUG@(373|388) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:34:37.902@LM_INFO@(373|385) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-21 22:34:37.903@LM_INFO@(373|385) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-21 22:34:39.894@LM_DEBUG@(373|387) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-21 22:34:39.896@LM_DEBUG@(373|389) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-21 22:34:39.902@LM_DEBUG@(373|388) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-21 22:34:39.903@LM_DEBUG@(373|386) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-21 22:34:39.903@LM_DEBUG@(373|373) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-21 22:34:39.903@LM_DEBUG@(373|373) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-21 22:34:39.903@LM_DEBUG@(373|373) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-21 22:34:39.903@LM_DEBUG@(373|373) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-21 22:34:39.903@LM_DEBUG@(373|373) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-21 22:34:39.903@LM_DEBUG@(373|373) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-21 22:34:39.903@LM_DEBUG@(373|373) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-21 22:34:39.903@LM_INFO@(373|373) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-21 22:34:41.096@LM_INFO@(373|374) DataWriterListenerImpl::on_liveliness_lost 0x13c5870 1
2023-04-21 22:34:41.096@LM_INFO@(373|374)    total_count=1 total_count_change=1
2023-04-21 22:34:41.102@LM_INFO@(373|374) DataWriterListenerImpl::on_liveliness_lost 0x132cdc0 1
2023-04-21 22:34:41.102@LM_INFO@(373|374)    total_count=1 total_count_change=1
2023-04-21 22:34:41.102@LM_INFO@(373|374) DataWriterListenerImpl::on_liveliness_lost 0x13babb0 1
2023-04-21 22:34:41.102@LM_INFO@(373|374)    total_count=1 total_count_change=1
2023-04-21 22:34:42.259@LM_INFO@(373|374) DataWriterListenerImpl::on_liveliness_lost 0x1375310 1
2023-04-21 22:34:42.259@LM_INFO@(373|374)    total_count=1 total_count_change=1
2023-04-21 22:34:42.897@LM_INFO@(373|374) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 48 (01030000.4dc5cdf7.00000002.00000302(eda9cc5c))
2023-04-21 22:34:42.902@LM_INFO@(373|374) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 45 (01030000.4dc5cdf7.00000002.00000202(f4b2fd1d))
2023-04-21 22:34:42.903@LM_INFO@(373|374) instance is unregistered
2023-04-21 22:34:42.903@LM_INFO@(373|374) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 39 (01030000.4dc5cdf7.00000002.00000102(df9faede))
2023-04-21 22:34:44.060@LM_INFO@(373|374) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-21 22:34:44.060@LM_INFO@(373|374) DataReaderListenerImpl::on_liveliness_changed #8
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 42 (01030000.4dc5cdf7.00000003.00000102(e2ff876e))
2023-04-21 22:34:44.060@LM_INFO@(373|373) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-21 22:34:44.060@LM_INFO@subscriber got 20 of 20 messages, and 8 of 8 callbacks
2023-04-21 22:34:44.061@LM_INFO@(373|385) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 39 (01030000.4dc5cdf7.00000002.00000102(df9faede))
2023-04-21 22:34:44.061@LM_INFO@(373|385) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 45 (01030000.4dc5cdf7.00000002.00000202(f4b2fd1d))
2023-04-21 22:34:44.064@LM_INFO@(373|375) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 1
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 39 (01030000.4dc5cdf7.00000002.00000102(df9faede))
2023-04-21 22:34:44.065@LM_INFO@(373|375) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 0
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.4dc5cdf7.00000002.00000202(f4b2fd1d))
2023-04-21 22:34:44.067@LM_INFO@(373|375) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 48 (01030000.4dc5cdf7.00000002.00000302(eda9cc5c))
2023-04-21 22:34:44.081@LM_INFO@(373|375) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 42 (01030000.4dc5cdf7.00000003.00000102(e2ff876e))
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl Time:25s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl lost #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 399
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_inforepo_tcp.ini -l -n 4 -t 10 -c 8 -N 4 -C 16 -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 408 started at 2023-04-21 22:34:44
2023-04-21 22:34:44.491@LM_NOTICE@(408|408) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(399|399) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-21 22:34:44.555@LM_WARNING@(408|408) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-21 22:34:44.735@LM_DEBUG@(408|408) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-21 22:34:44.735@LM_DEBUG@(408|408) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 01030000.4827d9fb.00000002.00000102(f014112e)
2023-04-21 22:34:44.736@LM_DEBUG@(408|408) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-21 22:34:44.736@LM_DEBUG@(408|408) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 01030000.4827d9fb.00000003.00000102(cd74389e)
2023-04-21 22:34:44.736@LM_DEBUG@(408|408) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-21 22:34:44.736@LM_DEBUG@(408|408) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 01030000.4827d9fb.00000002.00000202(db3942ed)
2023-04-21 22:34:44.736@LM_DEBUG@(408|421) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-21 22:34:44.736@LM_DEBUG@(408|408) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-21 22:34:44.736@LM_DEBUG@(408|408) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 01030000.4827d9fb.00000002.00000302(c22273ac)
2023-04-21 22:34:44.736@LM_DEBUG@(408|408) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-21 22:34:44.736@LM_DEBUG@(408|422) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-21 22:34:44.736@LM_DEBUG@(408|422) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:34:44.736@LM_DEBUG@(408|424) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-21 22:34:44.737@LM_DEBUG@(408|424) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:34:44.737@LM_DEBUG@(408|421) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:34:44.737@LM_INFO@(408|420) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 39 (01030000.4827d9fb.00000002.00000102(f014112e))
2023-04-21 22:34:44.737@LM_INFO@(408|420) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 48 (01030000.4827d9fb.00000002.00000302(c22273ac))
2023-04-21 22:34:44.738@LM_INFO@(408|420) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-21 22:34:44.738@LM_DEBUG@(408|423) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-21 22:34:44.739@LM_INFO@(408|420) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.4827d9fb.00000002.00000202(db3942ed))
2023-04-21 22:34:44.739@LM_DEBUG@(408|423) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:34:44.740@LM_INFO@(408|420) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-21 22:34:46.299@LM_INFO@(408|420) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 42 (01030000.4827d9fb.00000003.00000102(cd74389e))
2023-04-21 22:34:47.937@LM_INFO@(408|409) DataWriterListenerImpl::on_liveliness_lost 0xfdd850 1
2023-04-21 22:34:47.937@LM_INFO@(408|409)    total_count=1 total_count_change=1
2023-04-21 22:34:47.937@LM_INFO@(408|409) DataWriterListenerImpl::on_liveliness_lost 0xf44dc0 1
2023-04-21 22:34:47.937@LM_INFO@(408|409)    total_count=1 total_count_change=1
2023-04-21 22:34:47.939@LM_INFO@(408|409) DataWriterListenerImpl::on_liveliness_lost 0xfd2bb0 1
2023-04-21 22:34:47.939@LM_INFO@(408|409)    total_count=1 total_count_change=1
2023-04-21 22:34:49.498@LM_INFO@(408|409) DataWriterListenerImpl::on_liveliness_lost 0xf8d310 1
2023-04-21 22:34:49.498@LM_INFO@(408|409)    total_count=1 total_count_change=1
2023-04-21 22:34:49.738@LM_INFO@(408|409) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 39 (01030000.4827d9fb.00000002.00000102(f014112e))
2023-04-21 22:34:49.738@LM_INFO@(408|409) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 48 (01030000.4827d9fb.00000002.00000302(c22273ac))
2023-04-21 22:34:49.740@LM_INFO@(408|409) instance is unregistered
2023-04-21 22:34:49.741@LM_INFO@(408|409) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 45 (01030000.4827d9fb.00000002.00000202(db3942ed))
2023-04-21 22:34:51.300@LM_INFO@(408|409) DataReaderListenerImpl::on_liveliness_changed #8
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 42 (01030000.4827d9fb.00000003.00000102(cd74389e))
2023-04-21 22:35:14.737@LM_DEBUG@(408|422) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:35:14.737@LM_DEBUG@(408|421) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:35:14.738@LM_DEBUG@(408|424) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:35:14.738@LM_INFO@(408|420) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 39 (01030000.4827d9fb.00000002.00000102(f014112e))
2023-04-21 22:35:14.738@LM_INFO@(408|420) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-21 22:35:14.738@LM_INFO@(408|420) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 48 (01030000.4827d9fb.00000002.00000302(c22273ac))
2023-04-21 22:35:14.740@LM_DEBUG@(408|423) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:35:14.740@LM_INFO@(408|420) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 3
  not_alive_count = 1
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 45 (01030000.4827d9fb.00000002.00000202(db3942ed))
2023-04-21 22:35:14.740@LM_INFO@(408|420) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-21 22:35:15.099@LM_INFO@(408|420) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 42 (01030000.4827d9fb.00000003.00000102(cd74389e))
2023-04-21 22:35:17.939@LM_INFO@(408|409) DataWriterListenerImpl::on_liveliness_lost 0xf44dc0 2
2023-04-21 22:35:17.939@LM_INFO@(408|409)    total_count=2 total_count_change=1
2023-04-21 22:35:17.939@LM_INFO@(408|409) DataWriterListenerImpl::on_liveliness_lost 0xfdd850 2
2023-04-21 22:35:17.939@LM_INFO@(408|409)    total_count=2 total_count_change=1
2023-04-21 22:35:17.940@LM_INFO@(408|409) DataWriterListenerImpl::on_liveliness_lost 0xfd2bb0 2
2023-04-21 22:35:17.940@LM_INFO@(408|409)    total_count=2 total_count_change=1
2023-04-21 22:35:18.299@LM_INFO@(408|409) DataWriterListenerImpl::on_liveliness_lost 0xf8d310 2
2023-04-21 22:35:18.299@LM_INFO@(408|409)    total_count=2 total_count_change=1
2023-04-21 22:35:19.738@LM_INFO@(408|409) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 39 (01030000.4827d9fb.00000002.00000102(f014112e))
2023-04-21 22:35:19.739@LM_INFO@(408|409) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 48 (01030000.4827d9fb.00000002.00000302(c22273ac))
2023-04-21 22:35:19.759@LM_INFO@(408|409) instance is unregistered
2023-04-21 22:35:19.759@LM_INFO@(408|409) DataReaderListenerImpl::on_liveliness_changed #15
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 45 (01030000.4827d9fb.00000002.00000202(db3942ed))
2023-04-21 22:35:20.100@LM_INFO@(408|409) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-21 22:35:20.100@LM_INFO@(408|409) DataReaderListenerImpl::on_liveliness_changed #16
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 42 (01030000.4827d9fb.00000003.00000102(cd74389e))
2023-04-21 22:35:24.737@LM_DEBUG@(408|422) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-21 22:35:24.738@LM_DEBUG@(408|424) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-21 22:35:24.739@LM_DEBUG@(408|421) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-21 22:35:24.741@LM_DEBUG@(408|423) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-21 22:35:24.760@LM_DEBUG@(408|408) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-21 22:35:24.760@LM_DEBUG@(408|408) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-21 22:35:24.760@LM_DEBUG@(408|408) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-21 22:35:24.760@LM_DEBUG@(408|408) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-21 22:35:24.760@LM_DEBUG@(408|408) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-21 22:35:24.760@LM_DEBUG@(408|408) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-21 22:35:24.760@LM_DEBUG@(408|408) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-21 22:35:24.760@LM_INFO@(408|408) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-21 22:35:24.760@LM_INFO@(408|408) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-21 22:35:24.760@LM_INFO@subscriber got 4 of 4 messages, and 16 of 16 callbacks
2023-04-21 22:35:24.761@LM_INFO@(408|420) DataReaderListenerImpl::on_liveliness_changed #17
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 39 (01030000.4827d9fb.00000002.00000102(f014112e))
2023-04-21 22:35:24.761@LM_INFO@(408|420) DataReaderListenerImpl::on_liveliness_changed #18
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 45 (01030000.4827d9fb.00000002.00000202(db3942ed))
2023-04-21 22:35:24.763@LM_INFO@(408|410) DataReaderListenerImpl::on_liveliness_changed #19
  alive_count = 1
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 39 (01030000.4827d9fb.00000002.00000102(f014112e))
2023-04-21 22:35:24.764@LM_INFO@(408|410) DataReaderListenerImpl::on_liveliness_changed #20
  alive_count = 0
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.4827d9fb.00000002.00000202(db3942ed))
2023-04-21 22:35:24.765@LM_INFO@(408|410) DataReaderListenerImpl::on_liveliness_changed #21
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 48 (01030000.4827d9fb.00000002.00000302(c22273ac))
2023-04-21 22:35:24.774@LM_INFO@(408|410) DataReaderListenerImpl::on_liveliness_changed #22
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 42 (01030000.4827d9fb.00000003.00000102(cd74389e))
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl lost Time:40s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 429
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_inforepo_rtps.ini  -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 436 started at 2023-04-21 22:35:25
2023-04-21 22:35:25.139@LM_NOTICE@(436|436) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(429|429) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-21 22:35:25.182@LM_WARNING@(436|436) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-21 22:35:25.313@LM_DEBUG@(436|436) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-21 22:35:25.313@LM_DEBUG@(436|436) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 01030000.611ef26b.00000002.00000102(5b833fc7)
2023-04-21 22:35:25.313@LM_DEBUG@(436|436) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-21 22:35:25.313@LM_DEBUG@(436|436) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 01030000.611ef26b.00000003.00000102(66e31677)
2023-04-21 22:35:25.313@LM_DEBUG@(436|449) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-21 22:35:25.313@LM_DEBUG@(436|436) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-21 22:35:25.313@LM_DEBUG@(436|436) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 01030000.611ef26b.00000002.00000202(70ae6c04)
2023-04-21 22:35:25.313@LM_DEBUG@(436|436) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-21 22:35:25.313@LM_DEBUG@(436|436) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 01030000.611ef26b.00000002.00000302(69b55d45)
2023-04-21 22:35:25.313@LM_DEBUG@(436|436) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-21 22:35:25.313@LM_DEBUG@(436|450) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-21 22:35:25.313@LM_DEBUG@(436|451) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-21 22:35:25.313@LM_DEBUG@(436|450) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:35:25.314@LM_DEBUG@(436|452) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-21 22:35:25.314@LM_DEBUG@(436|452) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:35:25.314@LM_DEBUG@(436|451) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:35:25.314@LM_INFO@(436|448) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.611ef26b.00000002.00000202(70ae6c04))
2023-04-21 22:35:25.314@LM_DEBUG@(436|449) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:35:25.315@LM_INFO@(436|448) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-21 22:35:25.315@LM_INFO@(436|448) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 39 (01030000.611ef26b.00000002.00000102(5b833fc7))
2023-04-21 22:35:25.315@LM_INFO@(436|448) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-21 22:35:25.324@LM_INFO@(436|448) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 48 (01030000.611ef26b.00000002.00000302(69b55d45))
2023-04-21 22:35:26.894@LM_INFO@(436|448) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 42 (01030000.611ef26b.00000003.00000102(66e31677))
2023-04-21 22:35:27.314@LM_DEBUG@(436|450) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:35:27.314@LM_DEBUG@(436|452) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:35:27.314@LM_DEBUG@(436|451) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:35:27.315@LM_DEBUG@(436|449) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:35:27.315@LM_INFO@(436|448) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-21 22:35:27.316@LM_INFO@(436|448) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-21 22:35:29.314@LM_DEBUG@(436|450) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:35:29.314@LM_DEBUG@(436|452) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:35:29.315@LM_DEBUG@(436|451) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:35:29.315@LM_DEBUG@(436|449) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:35:29.316@LM_INFO@(436|448) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-21 22:35:29.316@LM_INFO@(436|448) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-21 22:35:31.314@LM_DEBUG@(436|450) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:35:31.314@LM_DEBUG@(436|452) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:35:31.316@LM_DEBUG@(436|451) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:35:31.316@LM_DEBUG@(436|449) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:35:31.317@LM_INFO@(436|448) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-21 22:35:31.317@LM_INFO@(436|448) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-21 22:35:33.315@LM_DEBUG@(436|450) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:35:33.315@LM_DEBUG@(436|452) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:35:33.316@LM_DEBUG@(436|451) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:35:33.316@LM_DEBUG@(436|449) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:35:33.317@LM_INFO@(436|448) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-21 22:35:33.317@LM_INFO@(436|448) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-21 22:35:35.315@LM_DEBUG@(436|450) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:35:35.315@LM_DEBUG@(436|452) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:35:35.317@LM_DEBUG@(436|449) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:35:35.317@LM_DEBUG@(436|451) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:35:35.318@LM_INFO@(436|448) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-21 22:35:35.318@LM_INFO@(436|448) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-21 22:35:37.315@LM_DEBUG@(436|450) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:35:37.315@LM_DEBUG@(436|452) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:35:37.317@LM_DEBUG@(436|449) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:35:37.318@LM_DEBUG@(436|451) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:35:37.318@LM_INFO@(436|448) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-21 22:35:37.319@LM_INFO@(436|448) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-21 22:35:39.315@LM_DEBUG@(436|450) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:35:39.316@LM_DEBUG@(436|452) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:35:39.318@LM_DEBUG@(436|449) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:35:39.318@LM_DEBUG@(436|451) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:35:39.319@LM_INFO@(436|448) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-21 22:35:39.319@LM_INFO@(436|448) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-21 22:35:41.316@LM_DEBUG@(436|450) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:35:41.316@LM_DEBUG@(436|452) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:35:41.319@LM_DEBUG@(436|449) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:35:41.319@LM_DEBUG@(436|451) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:35:41.319@LM_INFO@(436|448) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-21 22:35:41.320@LM_INFO@(436|448) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-21 22:35:43.316@LM_DEBUG@(436|450) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:35:43.316@LM_DEBUG@(436|452) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:35:43.319@LM_DEBUG@(436|449) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:35:43.319@LM_DEBUG@(436|451) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:35:43.320@LM_INFO@(436|448) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-21 22:35:43.321@LM_INFO@(436|448) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-21 22:35:45.316@LM_DEBUG@(436|450) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-21 22:35:45.317@LM_DEBUG@(436|452) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-21 22:35:45.320@LM_DEBUG@(436|451) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-21 22:35:45.321@LM_DEBUG@(436|449) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-21 22:35:45.321@LM_DEBUG@(436|436) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-21 22:35:45.321@LM_DEBUG@(436|436) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-21 22:35:45.321@LM_DEBUG@(436|436) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-21 22:35:45.321@LM_DEBUG@(436|436) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-21 22:35:45.321@LM_DEBUG@(436|436) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-21 22:35:45.321@LM_DEBUG@(436|436) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-21 22:35:45.321@LM_DEBUG@(436|436) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-21 22:35:45.321@LM_INFO@(436|436) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-21 22:35:46.517@LM_INFO@(436|437) DataWriterListenerImpl::on_liveliness_lost 0x1b8b580 1
2023-04-21 22:35:46.517@LM_INFO@(436|437)    total_count=1 total_count_change=1
2023-04-21 22:35:46.519@LM_INFO@(436|437) DataWriterListenerImpl::on_liveliness_lost 0x1b4b1a0 1
2023-04-21 22:35:46.519@LM_INFO@(436|437)    total_count=1 total_count_change=1
2023-04-21 22:35:46.519@LM_INFO@(436|437) DataWriterListenerImpl::on_liveliness_lost 0x1b80190 1
2023-04-21 22:35:46.519@LM_INFO@(436|437)    total_count=1 total_count_change=1
2023-04-21 22:35:47.686@LM_INFO@(436|437) DataWriterListenerImpl::on_liveliness_lost 0x1b66bd0 1
2023-04-21 22:35:47.686@LM_INFO@(436|437)    total_count=1 total_count_change=1
2023-04-21 22:35:48.320@LM_INFO@(436|437) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 45 (01030000.611ef26b.00000002.00000202(70ae6c04))
2023-04-21 22:35:48.346@LM_INFO@(436|437) instance is unregistered
2023-04-21 22:35:48.346@LM_INFO@(436|437) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 39 (01030000.611ef26b.00000002.00000102(5b833fc7))
2023-04-21 22:35:48.346@LM_INFO@(436|437) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 48 (01030000.611ef26b.00000002.00000302(69b55d45))
2023-04-21 22:35:49.497@LM_INFO@(436|437) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-21 22:35:49.497@LM_INFO@(436|436) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-21 22:35:49.497@LM_INFO@subscriber got 20 of 20 messages, and 8 of 8 callbacks
2023-04-21 22:35:49.498@LM_INFO@(436|448) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 39 (01030000.611ef26b.00000002.00000102(5b833fc7))
2023-04-21 22:35:49.498@LM_INFO@(436|437) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 42 (01030000.611ef26b.00000003.00000102(66e31677))
2023-04-21 22:35:49.499@LM_INFO@(436|448) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 45 (01030000.611ef26b.00000002.00000202(70ae6c04))
2023-04-21 22:35:50.521@LM_INFO@(436|438) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 1
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 39 (01030000.611ef26b.00000002.00000102(5b833fc7))
2023-04-21 22:35:50.523@LM_INFO@(436|438) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 0
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.611ef26b.00000002.00000202(70ae6c04))
2023-04-21 22:35:50.524@LM_INFO@(436|438) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 48 (01030000.611ef26b.00000002.00000302(69b55d45))
2023-04-21 22:35:50.533@LM_INFO@(436|438) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 42 (01030000.611ef26b.00000003.00000102(66e31677))
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl rtps Time:26s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl rtps lost #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 464
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_inforepo_rtps.ini -l -n 4 -t 10 -c 8 -N 4 -C 16 -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 473 started at 2023-04-21 22:35:50
2023-04-21 22:35:50.849@LM_NOTICE@(473|473) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(464|464) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-21 22:35:50.893@LM_WARNING@(473|473) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-21 22:35:51.031@LM_DEBUG@(473|473) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-21 22:35:51.031@LM_DEBUG@(473|473) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 01030000.48d0f2f7.00000002.00000102(016568f2)
2023-04-21 22:35:51.031@LM_DEBUG@(473|473) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-21 22:35:51.031@LM_DEBUG@(473|473) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 01030000.48d0f2f7.00000003.00000102(3c054142)
2023-04-21 22:35:51.031@LM_DEBUG@(473|486) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-21 22:35:51.031@LM_DEBUG@(473|473) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-21 22:35:51.031@LM_DEBUG@(473|473) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 01030000.48d0f2f7.00000002.00000202(2a483b31)
2023-04-21 22:35:51.031@LM_DEBUG@(473|473) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-21 22:35:51.032@LM_DEBUG@(473|473) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 01030000.48d0f2f7.00000002.00000302(33530a70)
2023-04-21 22:35:51.032@LM_DEBUG@(473|473) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-21 22:35:51.032@LM_DEBUG@(473|487) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-21 22:35:51.032@LM_DEBUG@(473|487) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:35:51.032@LM_DEBUG@(473|489) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-21 22:35:51.032@LM_DEBUG@(473|489) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:35:51.033@LM_INFO@(473|485) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 39 (01030000.48d0f2f7.00000002.00000102(016568f2))
2023-04-21 22:35:51.033@LM_DEBUG@(473|488) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-21 22:35:51.033@LM_DEBUG@(473|488) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:35:51.034@LM_INFO@(473|485) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.48d0f2f7.00000002.00000202(2a483b31))
2023-04-21 22:35:51.033@LM_DEBUG@(473|486) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:35:51.034@LM_INFO@(473|485) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-21 22:35:51.035@LM_INFO@(473|485) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-21 22:35:51.044@LM_INFO@(473|485) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 48 (01030000.48d0f2f7.00000002.00000302(33530a70))
2023-04-21 22:35:52.607@LM_INFO@(473|485) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 42 (01030000.48d0f2f7.00000003.00000102(3c054142))
2023-04-21 22:35:54.233@LM_INFO@(473|474) DataWriterListenerImpl::on_liveliness_lost 0x25af520 1
2023-04-21 22:35:54.233@LM_INFO@(473|474)    total_count=1 total_count_change=1
2023-04-21 22:35:54.234@LM_INFO@(473|474) DataWriterListenerImpl::on_liveliness_lost 0x25a4130 1
2023-04-21 22:35:54.234@LM_INFO@(473|474)    total_count=1 total_count_change=1
2023-04-21 22:35:54.234@LM_INFO@(473|474) DataWriterListenerImpl::on_liveliness_lost 0x256f140 1
2023-04-21 22:35:54.234@LM_INFO@(473|474)    total_count=1 total_count_change=1
2023-04-21 22:35:55.797@LM_INFO@(473|474) DataWriterListenerImpl::on_liveliness_lost 0x258ab70 1
2023-04-21 22:35:55.797@LM_INFO@(473|474)    total_count=1 total_count_change=1
2023-04-21 22:35:56.034@LM_INFO@(473|474) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 45 (01030000.48d0f2f7.00000002.00000202(2a483b31))
2023-04-21 22:35:56.035@LM_INFO@(473|474) instance is unregistered
2023-04-21 22:35:56.035@LM_INFO@(473|474) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 39 (01030000.48d0f2f7.00000002.00000102(016568f2))
2023-04-21 22:35:56.045@LM_INFO@(473|474) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 48 (01030000.48d0f2f7.00000002.00000302(33530a70))
2023-04-21 22:35:57.607@LM_INFO@(473|474) DataReaderListenerImpl::on_liveliness_changed #8
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 42 (01030000.48d0f2f7.00000003.00000102(3c054142))
2023-04-21 22:36:21.033@LM_DEBUG@(473|487) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:36:21.034@LM_DEBUG@(473|488) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:36:21.035@LM_DEBUG@(473|486) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:36:21.035@LM_DEBUG@(473|489) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:36:21.035@LM_INFO@(473|485) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 45 (01030000.48d0f2f7.00000002.00000202(2a483b31))
2023-04-21 22:36:21.035@LM_INFO@(473|485) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-21 22:36:21.036@LM_INFO@(473|485) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 39 (01030000.48d0f2f7.00000002.00000102(016568f2))
2023-04-21 22:36:21.036@LM_INFO@(473|485) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-21 22:36:21.046@LM_INFO@(473|485) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 3
  not_alive_count = 1
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 48 (01030000.48d0f2f7.00000002.00000302(33530a70))
2023-04-21 22:36:21.408@LM_INFO@(473|485) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 42 (01030000.48d0f2f7.00000003.00000102(3c054142))
2023-04-21 22:36:24.236@LM_INFO@(473|474) DataWriterListenerImpl::on_liveliness_lost 0x25a4130 2
2023-04-21 22:36:24.236@LM_INFO@(473|474)    total_count=2 total_count_change=1
2023-04-21 22:36:24.236@LM_INFO@(473|474) DataWriterListenerImpl::on_liveliness_lost 0x256f140 2
2023-04-21 22:36:24.236@LM_INFO@(473|474)    total_count=2 total_count_change=1
2023-04-21 22:36:24.236@LM_INFO@(473|474) DataWriterListenerImpl::on_liveliness_lost 0x25af520 2
2023-04-21 22:36:24.236@LM_INFO@(473|474)    total_count=2 total_count_change=1
2023-04-21 22:36:24.597@LM_INFO@(473|474) DataWriterListenerImpl::on_liveliness_lost 0x258ab70 2
2023-04-21 22:36:24.597@LM_INFO@(473|474)    total_count=2 total_count_change=1
2023-04-21 22:36:26.036@LM_INFO@(473|474) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 45 (01030000.48d0f2f7.00000002.00000202(2a483b31))
2023-04-21 22:36:26.036@LM_INFO@(473|474) instance is unregistered
2023-04-21 22:36:26.056@LM_INFO@(473|474) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 39 (01030000.48d0f2f7.00000002.00000102(016568f2))
2023-04-21 22:36:26.065@LM_INFO@(473|474) DataReaderListenerImpl::on_liveliness_changed #15
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 48 (01030000.48d0f2f7.00000002.00000302(33530a70))
2023-04-21 22:36:26.408@LM_INFO@(473|474) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-21 22:36:26.408@LM_INFO@(473|474) DataReaderListenerImpl::on_liveliness_changed #16
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 42 (01030000.48d0f2f7.00000003.00000102(3c054142))
2023-04-21 22:36:31.033@LM_DEBUG@(473|487) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-21 22:36:31.035@LM_DEBUG@(473|488) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-21 22:36:31.035@LM_DEBUG@(473|486) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-21 22:36:31.035@LM_DEBUG@(473|489) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-21 22:36:31.035@LM_DEBUG@(473|473) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-21 22:36:31.035@LM_DEBUG@(473|473) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-21 22:36:31.036@LM_DEBUG@(473|473) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-21 22:36:31.036@LM_DEBUG@(473|473) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-21 22:36:31.036@LM_DEBUG@(473|473) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-21 22:36:31.036@LM_DEBUG@(473|473) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-21 22:36:31.036@LM_DEBUG@(473|473) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-21 22:36:31.036@LM_INFO@(473|473) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-21 22:36:31.036@LM_INFO@(473|473) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-21 22:36:31.036@LM_INFO@subscriber got 4 of 4 messages, and 16 of 16 callbacks
2023-04-21 22:36:31.037@LM_INFO@(473|485) DataReaderListenerImpl::on_liveliness_changed #17
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 39 (01030000.48d0f2f7.00000002.00000102(016568f2))
2023-04-21 22:36:31.037@LM_INFO@(473|485) DataReaderListenerImpl::on_liveliness_changed #18
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 45 (01030000.48d0f2f7.00000002.00000202(2a483b31))
2023-04-21 22:36:32.060@LM_INFO@(473|475) DataReaderListenerImpl::on_liveliness_changed #19
  alive_count = 1
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 39 (01030000.48d0f2f7.00000002.00000102(016568f2))
2023-04-21 22:36:32.062@LM_INFO@(473|475) DataReaderListenerImpl::on_liveliness_changed #20
  alive_count = 0
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.48d0f2f7.00000002.00000202(2a483b31))
2023-04-21 22:36:32.063@LM_INFO@(473|475) DataReaderListenerImpl::on_liveliness_changed #21
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 48 (01030000.48d0f2f7.00000002.00000302(33530a70))
2023-04-21 22:36:32.074@LM_INFO@(473|475) DataReaderListenerImpl::on_liveliness_changed #22
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 42 (01030000.48d0f2f7.00000003.00000102(3c054142))
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl rtps lost Time:42s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_rtps_tcp.ini  -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 493 started at 2023-04-21 22:36:32
2023-04-21 22:36:32.375@LM_NOTICE@(493|493) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
2023-04-21 22:36:32.434@LM_WARNING@(493|493) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-21 22:36:32.753@LM_DEBUG@(493|493) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-21 22:36:32.753@LM_DEBUG@(493|493) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 0103000c.297a35f2.01ed1852.00000002(8b5efa00)
2023-04-21 22:36:32.753@LM_DEBUG@(493|493) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-21 22:36:32.753@LM_DEBUG@(493|493) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 0103000c.297a35f2.01ed1853.00000002(b63ed3b0)
2023-04-21 22:36:32.753@LM_DEBUG@(493|507) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-21 22:36:32.753@LM_DEBUG@(493|493) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-21 22:36:32.753@LM_DEBUG@(493|493) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 0103000c.297a35f2.01ed1852.01000002(33e29d65)
2023-04-21 22:36:32.753@LM_DEBUG@(493|493) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-21 22:36:32.754@LM_DEBUG@(493|493) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 0103000c.297a35f2.01ed1852.02000002(2157328b)
2023-04-21 22:36:32.754@LM_DEBUG@(493|493) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-21 22:36:32.754@LM_DEBUG@(493|510) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-21 22:36:32.754@LM_DEBUG@(493|510) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:36:32.754@LM_DEBUG@(493|508) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-21 22:36:32.754@LM_INFO@(493|506) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 24 (0103000c.297a35f2.01ed1852.00000002(8b5efa00))
2023-04-21 22:36:32.754@LM_DEBUG@(493|508) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:36:32.754@LM_DEBUG@(493|509) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-21 22:36:32.754@LM_DEBUG@(493|507) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:36:32.755@LM_INFO@(493|506) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 26 (0103000c.297a35f2.01ed1852.01000002(33e29d65))
2023-04-21 22:36:32.755@LM_INFO@(493|506) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 28 (0103000c.297a35f2.01ed1852.02000002(2157328b))
2023-04-21 22:36:32.755@LM_DEBUG@(493|509) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:36:32.756@LM_INFO@(493|506) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-21 22:36:32.756@LM_INFO@(493|506) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-21 22:36:34.041@LM_INFO@(493|500) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 20 (0103000c.297a35f2.01ed1853.00000002(b63ed3b0))
2023-04-21 22:36:34.754@LM_DEBUG@(493|508) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:36:34.755@LM_DEBUG@(493|510) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:36:34.756@LM_DEBUG@(493|507) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:36:34.756@LM_DEBUG@(493|509) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:36:34.756@LM_INFO@(493|506) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-21 22:36:34.757@LM_INFO@(493|506) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-21 22:36:36.755@LM_DEBUG@(493|508) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:36:36.756@LM_DEBUG@(493|510) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:36:36.756@LM_DEBUG@(493|507) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:36:36.757@LM_INFO@(493|506) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-21 22:36:36.757@LM_DEBUG@(493|509) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:36:36.757@LM_INFO@(493|506) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-21 22:36:38.755@LM_DEBUG@(493|508) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:36:38.756@LM_DEBUG@(493|510) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:36:38.757@LM_DEBUG@(493|507) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:36:38.757@LM_INFO@(493|506) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-21 22:36:38.757@LM_DEBUG@(493|509) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:36:38.758@LM_INFO@(493|506) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-21 22:36:40.755@LM_DEBUG@(493|508) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:36:40.757@LM_DEBUG@(493|510) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:36:40.757@LM_DEBUG@(493|507) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:36:40.758@LM_INFO@(493|506) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-21 22:36:40.758@LM_DEBUG@(493|509) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:36:40.758@LM_INFO@(493|506) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-21 22:36:42.755@LM_DEBUG@(493|508) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:36:42.757@LM_DEBUG@(493|510) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:36:42.758@LM_DEBUG@(493|507) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:36:42.758@LM_INFO@(493|506) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-21 22:36:42.758@LM_DEBUG@(493|509) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:36:42.759@LM_INFO@(493|506) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-21 22:36:44.755@LM_DEBUG@(493|508) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:36:44.758@LM_DEBUG@(493|510) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:36:44.758@LM_DEBUG@(493|507) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:36:44.758@LM_INFO@(493|506) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-21 22:36:44.758@LM_DEBUG@(493|509) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:36:44.759@LM_INFO@(493|506) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-21 22:36:46.756@LM_DEBUG@(493|508) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:36:46.758@LM_DEBUG@(493|510) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:36:46.758@LM_DEBUG@(493|507) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:36:46.759@LM_INFO@(493|506) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-21 22:36:46.759@LM_DEBUG@(493|509) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:36:46.759@LM_INFO@(493|506) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-21 22:36:48.756@LM_DEBUG@(493|508) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:36:48.758@LM_DEBUG@(493|510) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:36:48.759@LM_DEBUG@(493|507) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:36:48.759@LM_INFO@(493|506) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-21 22:36:48.759@LM_DEBUG@(493|509) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:36:48.760@LM_INFO@(493|506) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-21 22:36:50.756@LM_DEBUG@(493|508) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:36:50.759@LM_DEBUG@(493|510) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:36:50.759@LM_DEBUG@(493|507) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:36:50.760@LM_INFO@(493|506) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-21 22:36:50.760@LM_DEBUG@(493|509) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:36:50.760@LM_INFO@(493|506) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-21 22:36:52.756@LM_DEBUG@(493|508) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-21 22:36:52.759@LM_DEBUG@(493|510) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-21 22:36:52.760@LM_DEBUG@(493|507) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-21 22:36:52.760@LM_DEBUG@(493|493) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-21 22:36:52.760@LM_DEBUG@(493|493) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-21 22:36:52.760@LM_DEBUG@(493|493) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-21 22:36:52.760@LM_DEBUG@(493|493) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-21 22:36:52.760@LM_DEBUG@(493|509) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-21 22:36:52.760@LM_DEBUG@(493|493) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-21 22:36:52.760@LM_DEBUG@(493|493) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-21 22:36:52.761@LM_DEBUG@(493|493) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-21 22:36:52.761@LM_INFO@(493|493) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-21 22:36:53.960@LM_INFO@(493|494) DataWriterListenerImpl::on_liveliness_lost 0x28013b0 1
2023-04-21 22:36:53.960@LM_INFO@(493|494)    total_count=1 total_count_change=1
2023-04-21 22:36:53.960@LM_INFO@(493|494) DataWriterListenerImpl::on_liveliness_lost 0x27587c0 1
2023-04-21 22:36:53.960@LM_INFO@(493|494)    total_count=1 total_count_change=1
2023-04-21 22:36:53.960@LM_INFO@(493|494) DataWriterListenerImpl::on_liveliness_lost 0x27f60d0 1
2023-04-21 22:36:53.960@LM_INFO@(493|494)    total_count=1 total_count_change=1
2023-04-21 22:36:54.842@LM_INFO@(493|494) DataWriterListenerImpl::on_liveliness_lost 0x27b0280 1
2023-04-21 22:36:54.842@LM_INFO@(493|494)    total_count=1 total_count_change=1
2023-04-21 22:36:56.635@LM_INFO@(493|494) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 24 (0103000c.297a35f2.01ed1852.00000002(8b5efa00))
2023-04-21 22:36:56.635@LM_INFO@(493|494) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 26 (0103000c.297a35f2.01ed1852.01000002(33e29d65))
2023-04-21 22:36:56.635@LM_INFO@(493|494) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 28 (0103000c.297a35f2.01ed1852.02000002(2157328b))
2023-04-21 22:36:56.649@LM_INFO@(493|494) instance is unregistered
2023-04-21 22:36:56.649@LM_INFO@(493|494) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-21 22:36:56.649@LM_INFO@(493|493) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-21 22:36:56.649@LM_INFO@subscriber got 20 of 20 messages, and 8 of 8 callbacks
2023-04-21 22:36:56.650@LM_INFO@(493|494) DataReaderListenerImpl::on_liveliness_changed #8
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 20 (0103000c.297a35f2.01ed1853.00000002(b63ed3b0))
2023-04-21 22:36:56.650@LM_INFO@(493|506) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 24 (0103000c.297a35f2.01ed1852.00000002(8b5efa00))
2023-04-21 22:36:56.650@LM_INFO@(493|506) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 26 (0103000c.297a35f2.01ed1852.01000002(33e29d65))
2023-04-21 22:36:56.651@LM_INFO@(493|499) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 1
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 24 (0103000c.297a35f2.01ed1852.00000002(8b5efa00))
2023-04-21 22:36:56.652@LM_INFO@(493|499) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 0
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 26 (0103000c.297a35f2.01ed1852.01000002(33e29d65))
2023-04-21 22:36:56.652@LM_INFO@(493|499) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 28 (0103000c.297a35f2.01ed1852.02000002(2157328b))
2023-04-21 22:36:56.659@LM_INFO@(493|499) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 20 (0103000c.297a35f2.01ed1853.00000002(b63ed3b0))
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco Time:24s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco lost #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_rtps_tcp.ini -l -n 4 -t 10 -c 8 -N 4 -C 16 -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 526 started at 2023-04-21 22:36:56
2023-04-21 22:36:56.762@LM_NOTICE@(526|526) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
2023-04-21 22:36:56.797@LM_WARNING@(526|526) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-21 22:36:57.123@LM_DEBUG@(526|526) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-21 22:36:57.123@LM_DEBUG@(526|526) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 0103000c.297a35f2.020e319e.00000002(3bdf104d)
2023-04-21 22:36:57.123@LM_DEBUG@(526|526) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-21 22:36:57.124@LM_DEBUG@(526|526) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 0103000c.297a35f2.020e319f.00000002(06bf39fd)
2023-04-21 22:36:57.124@LM_DEBUG@(526|540) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-21 22:36:57.124@LM_DEBUG@(526|526) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-21 22:36:57.124@LM_DEBUG@(526|526) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 0103000c.297a35f2.020e319e.01000002(83637728)
2023-04-21 22:36:57.124@LM_DEBUG@(526|526) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-21 22:36:57.124@LM_DEBUG@(526|526) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 0103000c.297a35f2.020e319e.02000002(91d6d8c6)
2023-04-21 22:36:57.124@LM_DEBUG@(526|526) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-21 22:36:57.124@LM_DEBUG@(526|540) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:36:57.124@LM_INFO@(526|539) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 25 (0103000c.297a35f2.020e319e.00000002(3bdf104d))
2023-04-21 22:36:57.124@LM_DEBUG@(526|541) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-21 22:36:57.124@LM_DEBUG@(526|541) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:36:57.124@LM_DEBUG@(526|543) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-21 22:36:57.124@LM_DEBUG@(526|543) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:36:57.125@LM_DEBUG@(526|542) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-21 22:36:57.125@LM_DEBUG@(526|542) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:36:57.125@LM_INFO@(526|539) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-21 22:36:57.125@LM_INFO@(526|539) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 28 (0103000c.297a35f2.020e319e.02000002(91d6d8c6))
2023-04-21 22:36:57.125@LM_INFO@(526|539) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 27 (0103000c.297a35f2.020e319e.01000002(83637728))
2023-04-21 22:36:57.126@LM_INFO@(526|539) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-21 22:36:58.402@LM_INFO@(526|533) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 22 (0103000c.297a35f2.020e319f.00000002(06bf39fd))
2023-04-21 22:37:00.325@LM_INFO@(526|527) DataWriterListenerImpl::on_liveliness_lost 0x1f5d750 1
2023-04-21 22:37:00.325@LM_INFO@(526|527)    total_count=1 total_count_change=1
2023-04-21 22:37:00.325@LM_INFO@(526|527) DataWriterListenerImpl::on_liveliness_lost 0x2006750 1
2023-04-21 22:37:00.325@LM_INFO@(526|527)    total_count=1 total_count_change=1
2023-04-21 22:37:00.325@LM_INFO@(526|527) DataWriterListenerImpl::on_liveliness_lost 0x1ffb470 1
2023-04-21 22:37:00.325@LM_INFO@(526|527)    total_count=1 total_count_change=1
2023-04-21 22:37:01.602@LM_INFO@(526|527) DataWriterListenerImpl::on_liveliness_lost 0x1fb5620 1
2023-04-21 22:37:01.602@LM_INFO@(526|527)    total_count=1 total_count_change=1
2023-04-21 22:37:03.392@LM_INFO@(526|527) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 25 (0103000c.297a35f2.020e319e.00000002(3bdf104d))
2023-04-21 22:37:03.392@LM_INFO@(526|527) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 27 (0103000c.297a35f2.020e319e.01000002(83637728))
2023-04-21 22:37:03.392@LM_INFO@(526|527) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 28 (0103000c.297a35f2.020e319e.02000002(91d6d8c6))
2023-04-21 22:37:03.403@LM_INFO@(526|527) instance is unregistered
2023-04-21 22:37:03.403@LM_INFO@(526|527) DataReaderListenerImpl::on_liveliness_changed #8
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 22 (0103000c.297a35f2.020e319f.00000002(06bf39fd))
2023-04-21 22:37:27.125@LM_DEBUG@(526|540) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:37:27.125@LM_DEBUG@(526|541) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:37:27.125@LM_DEBUG@(526|543) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:37:27.125@LM_INFO@(526|539) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 25 (0103000c.297a35f2.020e319e.00000002(3bdf104d))
2023-04-21 22:37:27.126@LM_DEBUG@(526|542) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:37:27.126@LM_INFO@(526|539) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-21 22:37:27.142@LM_INFO@(526|539) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 28 (0103000c.297a35f2.020e319e.02000002(91d6d8c6))
2023-04-21 22:37:27.142@LM_INFO@(526|539) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 3
  not_alive_count = 1
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 27 (0103000c.297a35f2.020e319e.01000002(83637728))
2023-04-21 22:37:27.142@LM_INFO@(526|539) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-21 22:37:27.206@LM_INFO@(526|533) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 22 (0103000c.297a35f2.020e319f.00000002(06bf39fd))
2023-04-21 22:37:30.327@LM_INFO@(526|527) DataWriterListenerImpl::on_liveliness_lost 0x1f5d750 2
2023-04-21 22:37:30.327@LM_INFO@(526|527)    total_count=2 total_count_change=1
2023-04-21 22:37:30.327@LM_INFO@(526|527) DataWriterListenerImpl::on_liveliness_lost 0x2006750 2
2023-04-21 22:37:30.327@LM_INFO@(526|527)    total_count=2 total_count_change=1
2023-04-21 22:37:30.341@LM_INFO@(526|527) DataWriterListenerImpl::on_liveliness_lost 0x1ffb470 2
2023-04-21 22:37:30.341@LM_INFO@(526|527)    total_count=2 total_count_change=1
2023-04-21 22:37:30.402@LM_INFO@(526|527) DataWriterListenerImpl::on_liveliness_lost 0x1fb5620 2
2023-04-21 22:37:30.402@LM_INFO@(526|527)    total_count=2 total_count_change=1
2023-04-21 22:37:32.206@LM_INFO@(526|527) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 25 (0103000c.297a35f2.020e319e.00000002(3bdf104d))
2023-04-21 22:37:32.206@LM_INFO@(526|527) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 27 (0103000c.297a35f2.020e319e.01000002(83637728))
2023-04-21 22:37:32.224@LM_INFO@(526|527) DataReaderListenerImpl::on_liveliness_changed #15
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 28 (0103000c.297a35f2.020e319e.02000002(91d6d8c6))
2023-04-21 22:37:32.225@LM_INFO@(526|527) instance is unregistered
2023-04-21 22:37:32.225@LM_INFO@(526|527) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-21 22:37:32.225@LM_INFO@(526|527) DataReaderListenerImpl::on_liveliness_changed #16
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 22 (0103000c.297a35f2.020e319f.00000002(06bf39fd))
2023-04-21 22:37:37.126@LM_DEBUG@(526|541) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-21 22:37:37.126@LM_DEBUG@(526|543) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-21 22:37:37.126@LM_DEBUG@(526|540) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-21 22:37:37.126@LM_DEBUG@(526|526) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-21 22:37:37.126@LM_DEBUG@(526|526) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-21 22:37:37.126@LM_DEBUG@(526|526) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-21 22:37:37.126@LM_DEBUG@(526|526) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-21 22:37:37.142@LM_DEBUG@(526|542) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-21 22:37:37.142@LM_DEBUG@(526|526) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-21 22:37:37.142@LM_DEBUG@(526|526) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-21 22:37:37.142@LM_DEBUG@(526|526) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-21 22:37:37.142@LM_INFO@(526|526) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-21 22:37:37.142@LM_INFO@(526|526) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-21 22:37:37.143@LM_INFO@subscriber got 4 of 4 messages, and 16 of 16 callbacks
2023-04-21 22:37:37.145@LM_INFO@(526|532) DataReaderListenerImpl::on_liveliness_changed #17
  alive_count = 0
  not_alive_count = 3
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 25 (0103000c.297a35f2.020e319e.00000002(3bdf104d))
2023-04-21 22:37:37.146@LM_INFO@(526|532) DataReaderListenerImpl::on_liveliness_changed #18
  alive_count = 0
  not_alive_count = 2
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 27 (0103000c.297a35f2.020e319e.01000002(83637728))
2023-04-21 22:37:37.147@LM_INFO@(526|532) DataReaderListenerImpl::on_liveliness_changed #19
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 28 (0103000c.297a35f2.020e319e.02000002(91d6d8c6))
2023-04-21 22:37:37.159@LM_INFO@(526|532) DataReaderListenerImpl::on_liveliness_changed #20
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 22 (0103000c.297a35f2.020e319f.00000002(06bf39fd))
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco lost Time:41s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_rtps_rtps.ini  -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 547 started at 2023-04-21 22:37:37
2023-04-21 22:37:37.373@LM_NOTICE@(547|547) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
2023-04-21 22:37:37.756@LM_DEBUG@(547|547) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-21 22:37:37.757@LM_DEBUG@(547|547) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 0103000c.297a35f2.02230b66.00000002(48107746)
2023-04-21 22:37:37.757@LM_DEBUG@(547|547) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-21 22:37:37.757@LM_DEBUG@(547|547) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 0103000c.297a35f2.02230b67.00000002(75705ef6)
2023-04-21 22:37:37.757@LM_DEBUG@(547|561) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-21 22:37:37.757@LM_DEBUG@(547|547) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-21 22:37:37.757@LM_DEBUG@(547|547) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 0103000c.297a35f2.02230b66.01000002(f0ac1023)
2023-04-21 22:37:37.757@LM_DEBUG@(547|547) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-21 22:37:37.757@LM_DEBUG@(547|547) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 0103000c.297a35f2.02230b66.02000002(e219bfcd)
2023-04-21 22:37:37.757@LM_DEBUG@(547|547) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-21 22:37:37.758@LM_DEBUG@(547|564) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-21 22:37:37.758@LM_DEBUG@(547|564) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:37:37.757@LM_DEBUG@(547|562) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-21 22:37:37.758@LM_DEBUG@(547|562) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:37:37.758@LM_INFO@(547|560) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 23 (0103000c.297a35f2.02230b66.00000002(48107746))
2023-04-21 22:37:37.758@LM_DEBUG@(547|563) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-21 22:37:37.759@LM_DEBUG@(547|563) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:37:37.759@LM_INFO@(547|560) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 25 (0103000c.297a35f2.02230b66.01000002(f0ac1023))
2023-04-21 22:37:37.759@LM_DEBUG@(547|561) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:37:37.760@LM_INFO@(547|560) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-21 22:37:37.760@LM_INFO@(547|560) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-21 22:37:37.769@LM_INFO@(547|560) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 27 (0103000c.297a35f2.02230b66.02000002(e219bfcd))
2023-04-21 22:37:39.030@LM_INFO@(547|554) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 20 (0103000c.297a35f2.02230b67.00000002(75705ef6))
2023-04-21 22:37:39.758@LM_DEBUG@(547|562) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:37:39.758@LM_DEBUG@(547|564) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:37:39.759@LM_DEBUG@(547|563) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:37:39.760@LM_DEBUG@(547|561) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:37:39.760@LM_INFO@(547|560) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-21 22:37:39.761@LM_INFO@(547|560) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-21 22:37:41.758@LM_DEBUG@(547|562) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:37:41.759@LM_DEBUG@(547|564) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:37:41.760@LM_DEBUG@(547|563) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:37:41.761@LM_DEBUG@(547|561) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:37:41.761@LM_INFO@(547|560) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-21 22:37:41.762@LM_INFO@(547|560) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-21 22:37:43.759@LM_DEBUG@(547|562) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:37:43.759@LM_DEBUG@(547|564) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:37:43.761@LM_DEBUG@(547|563) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:37:43.761@LM_DEBUG@(547|561) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:37:43.762@LM_INFO@(547|560) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-21 22:37:43.762@LM_INFO@(547|560) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-21 22:37:45.759@LM_DEBUG@(547|562) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:37:45.760@LM_DEBUG@(547|564) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:37:45.761@LM_DEBUG@(547|563) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:37:45.762@LM_INFO@(547|560) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-21 22:37:45.762@LM_DEBUG@(547|561) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:37:45.763@LM_INFO@(547|560) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-21 22:37:47.759@LM_DEBUG@(547|562) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:37:47.760@LM_DEBUG@(547|564) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:37:47.762@LM_DEBUG@(547|563) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:37:47.763@LM_INFO@(547|560) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-21 22:37:47.763@LM_DEBUG@(547|561) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:37:47.764@LM_INFO@(547|560) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-21 22:37:49.759@LM_DEBUG@(547|562) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:37:49.761@LM_DEBUG@(547|564) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:37:49.763@LM_DEBUG@(547|563) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:37:49.764@LM_DEBUG@(547|561) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:37:49.764@LM_INFO@(547|560) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-21 22:37:49.765@LM_INFO@(547|560) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-21 22:37:51.760@LM_DEBUG@(547|562) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:37:51.761@LM_DEBUG@(547|564) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:37:51.763@LM_DEBUG@(547|563) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:37:51.764@LM_DEBUG@(547|561) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:37:51.765@LM_INFO@(547|560) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-21 22:37:51.765@LM_INFO@(547|560) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-21 22:37:53.760@LM_DEBUG@(547|562) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:37:53.762@LM_DEBUG@(547|564) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:37:53.764@LM_DEBUG@(547|563) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:37:53.765@LM_DEBUG@(547|561) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:37:53.765@LM_INFO@(547|560) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-21 22:37:53.766@LM_INFO@(547|560) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-21 22:37:55.760@LM_DEBUG@(547|562) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:37:55.762@LM_DEBUG@(547|564) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:37:55.765@LM_DEBUG@(547|563) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:37:55.765@LM_DEBUG@(547|561) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:37:55.766@LM_INFO@(547|560) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-21 22:37:55.766@LM_INFO@(547|560) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-21 22:37:57.760@LM_DEBUG@(547|562) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-21 22:37:57.762@LM_DEBUG@(547|564) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-21 22:37:57.766@LM_DEBUG@(547|563) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-21 22:37:57.766@LM_DEBUG@(547|561) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-21 22:37:57.766@LM_DEBUG@(547|547) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-21 22:37:57.766@LM_DEBUG@(547|547) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-21 22:37:57.766@LM_DEBUG@(547|547) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-21 22:37:57.766@LM_DEBUG@(547|547) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-21 22:37:57.766@LM_DEBUG@(547|547) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-21 22:37:57.766@LM_DEBUG@(547|547) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-21 22:37:57.766@LM_DEBUG@(547|547) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-21 22:37:57.766@LM_INFO@(547|547) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-21 22:37:58.963@LM_INFO@(547|548) DataWriterListenerImpl::on_liveliness_lost 0x26d7f70 1
2023-04-21 22:37:58.963@LM_INFO@(547|548)    total_count=1 total_count_change=1
2023-04-21 22:37:58.965@LM_INFO@(547|548) DataWriterListenerImpl::on_liveliness_lost 0x26ccbd0 1
2023-04-21 22:37:58.965@LM_INFO@(547|548)    total_count=1 total_count_change=1
2023-04-21 22:37:58.965@LM_INFO@(547|548) DataWriterListenerImpl::on_liveliness_lost 0x2695770 1
2023-04-21 22:37:58.966@LM_INFO@(547|548)    total_count=1 total_count_change=1
2023-04-21 22:37:59.831@LM_INFO@(547|548) DataWriterListenerImpl::on_liveliness_lost 0x26b2d00 1
2023-04-21 22:37:59.831@LM_INFO@(547|548)    total_count=1 total_count_change=1
2023-04-21 22:38:01.635@LM_INFO@(547|548) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 23 (0103000c.297a35f2.02230b66.00000002(48107746))
2023-04-21 22:38:01.635@LM_INFO@(547|548) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 25 (0103000c.297a35f2.02230b66.01000002(f0ac1023))
2023-04-21 22:38:01.635@LM_INFO@(547|548) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 27 (0103000c.297a35f2.02230b66.02000002(e219bfcd))
2023-04-21 22:38:01.636@LM_INFO@(547|548) instance is unregistered
2023-04-21 22:38:01.636@LM_INFO@(547|548) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-21 22:38:01.637@LM_INFO@(547|547) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-21 22:38:01.637@LM_INFO@subscriber got 20 of 20 messages, and 8 of 8 callbacks
2023-04-21 22:38:01.637@LM_INFO@(547|560) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 23 (0103000c.297a35f2.02230b66.00000002(48107746))
2023-04-21 22:38:01.637@LM_INFO@(547|548) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 20 (0103000c.297a35f2.02230b67.00000002(75705ef6))
2023-04-21 22:38:01.637@LM_INFO@(547|560) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 25 (0103000c.297a35f2.02230b66.01000002(f0ac1023))
2023-04-21 22:38:02.661@LM_INFO@(547|553) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 1
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 23 (0103000c.297a35f2.02230b66.00000002(48107746))
2023-04-21 22:38:02.662@LM_INFO@(547|553) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 0
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 25 (0103000c.297a35f2.02230b66.01000002(f0ac1023))
2023-04-21 22:38:02.662@LM_INFO@(547|553) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 27 (0103000c.297a35f2.02230b66.02000002(e219bfcd))
2023-04-21 22:38:02.673@LM_INFO@(547|553) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 20 (0103000c.297a35f2.02230b67.00000002(75705ef6))
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco rtps Time:25s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco rtps lost #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_rtps_rtps.ini -l -n 4 -t 10 -c 8 -N 4 -C 16 -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 577 started at 2023-04-21 22:38:02
2023-04-21 22:38:02.929@LM_NOTICE@(577|577) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
2023-04-21 22:38:03.312@LM_DEBUG@(577|577) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-21 22:38:03.312@LM_DEBUG@(577|577) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 0103000c.297a35f2.0241b198.00000002(186cf4a5)
2023-04-21 22:38:03.312@LM_DEBUG@(577|577) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-21 22:38:03.312@LM_DEBUG@(577|591) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-21 22:38:03.312@LM_DEBUG@(577|577) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 0103000c.297a35f2.0241b199.00000002(250cdd15)
2023-04-21 22:38:03.312@LM_DEBUG@(577|577) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-21 22:38:03.312@LM_DEBUG@(577|577) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 0103000c.297a35f2.0241b198.01000002(a0d093c0)
2023-04-21 22:38:03.312@LM_DEBUG@(577|577) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-21 22:38:03.313@LM_DEBUG@(577|577) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 0103000c.297a35f2.0241b198.02000002(b2653c2e)
2023-04-21 22:38:03.313@LM_DEBUG@(577|577) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-21 22:38:03.313@LM_DEBUG@(577|594) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-21 22:38:03.313@LM_DEBUG@(577|594) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:38:03.313@LM_DEBUG@(577|592) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-21 22:38:03.313@LM_DEBUG@(577|592) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:38:03.313@LM_INFO@(577|590) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 24 (0103000c.297a35f2.0241b198.00000002(186cf4a5))
2023-04-21 22:38:03.313@LM_DEBUG@(577|593) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-21 22:38:03.314@LM_DEBUG@(577|591) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:38:03.314@LM_INFO@(577|590) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 27 (0103000c.297a35f2.0241b198.01000002(a0d093c0))
2023-04-21 22:38:03.314@LM_DEBUG@(577|593) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:38:03.315@LM_INFO@(577|590) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-21 22:38:03.316@LM_INFO@(577|590) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-21 22:38:03.324@LM_INFO@(577|590) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 28 (0103000c.297a35f2.0241b198.02000002(b2653c2e))
2023-04-21 22:38:04.583@LM_INFO@(577|584) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 21 (0103000c.297a35f2.0241b199.00000002(250cdd15))
2023-04-21 22:38:06.513@LM_INFO@(577|578) DataWriterListenerImpl::on_liveliness_lost 0x182bbe0 1
2023-04-21 22:38:06.514@LM_INFO@(577|578)    total_count=1 total_count_change=1
2023-04-21 22:38:06.514@LM_INFO@(577|578) DataWriterListenerImpl::on_liveliness_lost 0x17e9a40 1
2023-04-21 22:38:06.514@LM_INFO@(577|578)    total_count=1 total_count_change=1
2023-04-21 22:38:06.515@LM_INFO@(577|578) DataWriterListenerImpl::on_liveliness_lost 0x1820880 1
2023-04-21 22:38:06.515@LM_INFO@(577|578)    total_count=1 total_count_change=1
2023-04-21 22:38:07.782@LM_INFO@(577|578) DataWriterListenerImpl::on_liveliness_lost 0x18069a0 1
2023-04-21 22:38:07.782@LM_INFO@(577|578)    total_count=1 total_count_change=1
2023-04-21 22:38:09.576@LM_INFO@(577|578) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 24 (0103000c.297a35f2.0241b198.00000002(186cf4a5))
2023-04-21 22:38:09.576@LM_INFO@(577|578) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 27 (0103000c.297a35f2.0241b198.01000002(a0d093c0))
2023-04-21 22:38:09.576@LM_INFO@(577|578) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 28 (0103000c.297a35f2.0241b198.02000002(b2653c2e))
2023-04-21 22:38:09.584@LM_INFO@(577|578) instance is unregistered
2023-04-21 22:38:09.584@LM_INFO@(577|578) DataReaderListenerImpl::on_liveliness_changed #8
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 21 (0103000c.297a35f2.0241b199.00000002(250cdd15))
2023-04-21 22:38:33.313@LM_DEBUG@(577|592) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-21 22:38:33.314@LM_DEBUG@(577|594) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-21 22:38:33.316@LM_DEBUG@(577|591) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-21 22:38:33.317@LM_DEBUG@(577|593) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-21 22:38:33.317@LM_INFO@(577|590) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 24 (0103000c.297a35f2.0241b198.00000002(186cf4a5))
2023-04-21 22:38:33.317@LM_INFO@(577|590) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-21 22:38:33.317@LM_INFO@(577|590) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 27 (0103000c.297a35f2.0241b198.01000002(a0d093c0))
2023-04-21 22:38:33.317@LM_INFO@(577|590) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-21 22:38:33.324@LM_INFO@(577|590) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 3
  not_alive_count = 1
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 28 (0103000c.297a35f2.0241b198.02000002(b2653c2e))
2023-04-21 22:38:33.387@LM_INFO@(577|584) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 21 (0103000c.297a35f2.0241b199.00000002(250cdd15))
2023-04-21 22:38:36.516@LM_INFO@(577|578) DataWriterListenerImpl::on_liveliness_lost 0x182bbe0 2
2023-04-21 22:38:36.516@LM_INFO@(577|578)    total_count=2 total_count_change=1
2023-04-21 22:38:36.516@LM_INFO@(577|578) DataWriterListenerImpl::on_liveliness_lost 0x17e9a40 2
2023-04-21 22:38:36.516@LM_INFO@(577|578)    total_count=2 total_count_change=1
2023-04-21 22:38:36.517@LM_INFO@(577|578) DataWriterListenerImpl::on_liveliness_lost 0x1820880 2
2023-04-21 22:38:36.517@LM_INFO@(577|578)    total_count=2 total_count_change=1
2023-04-21 22:38:36.582@LM_INFO@(577|578) DataWriterListenerImpl::on_liveliness_lost 0x18069a0 2
2023-04-21 22:38:36.582@LM_INFO@(577|578)    total_count=2 total_count_change=1
2023-04-21 22:38:38.387@LM_INFO@(577|578) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 24 (0103000c.297a35f2.0241b198.00000002(186cf4a5))
2023-04-21 22:38:38.387@LM_INFO@(577|578) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 27 (0103000c.297a35f2.0241b198.01000002(a0d093c0))
2023-04-21 22:38:38.387@LM_INFO@(577|578) DataReaderListenerImpl::on_liveliness_changed #15
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 28 (0103000c.297a35f2.0241b198.02000002(b2653c2e))
2023-04-21 22:38:38.388@LM_INFO@(577|578) instance is unregistered
2023-04-21 22:38:38.388@LM_INFO@(577|578) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-21 22:38:38.388@LM_INFO@(577|578) DataReaderListenerImpl::on_liveliness_changed #16
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 21 (0103000c.297a35f2.0241b199.00000002(250cdd15))
2023-04-21 22:38:43.314@LM_DEBUG@(577|592) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-21 22:38:43.314@LM_DEBUG@(577|594) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-21 22:38:43.317@LM_DEBUG@(577|591) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-21 22:38:43.317@LM_DEBUG@(577|593) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-21 22:38:43.317@LM_DEBUG@(577|577) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-21 22:38:43.317@LM_DEBUG@(577|577) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-21 22:38:43.317@LM_DEBUG@(577|577) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-21 22:38:43.317@LM_DEBUG@(577|577) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-21 22:38:43.317@LM_DEBUG@(577|577) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-21 22:38:43.317@LM_DEBUG@(577|577) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-21 22:38:43.317@LM_DEBUG@(577|577) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-21 22:38:43.317@LM_INFO@(577|577) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-21 22:38:43.318@LM_INFO@(577|577) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-21 22:38:43.318@LM_INFO@subscriber got 4 of 4 messages, and 16 of 16 callbacks
2023-04-21 22:38:43.319@LM_INFO@(577|590) DataReaderListenerImpl::on_liveliness_changed #17
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 24 (0103000c.297a35f2.0241b198.00000002(186cf4a5))
2023-04-21 22:38:43.319@LM_INFO@(577|590) DataReaderListenerImpl::on_liveliness_changed #18
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 27 (0103000c.297a35f2.0241b198.01000002(a0d093c0))
2023-04-21 22:38:44.342@LM_INFO@(577|583) DataReaderListenerImpl::on_liveliness_changed #19
  alive_count = 1
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 24 (0103000c.297a35f2.0241b198.00000002(186cf4a5))
2023-04-21 22:38:44.352@LM_INFO@(577|583) DataReaderListenerImpl::on_liveliness_changed #20
  alive_count = 0
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 27 (0103000c.297a35f2.0241b198.01000002(a0d093c0))
2023-04-21 22:38:44.353@LM_INFO@(577|583) DataReaderListenerImpl::on_liveliness_changed #21
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 28 (0103000c.297a35f2.0241b198.02000002(b2653c2e))
2023-04-21 22:38:44.357@LM_INFO@(577|583) DataReaderListenerImpl::on_liveliness_changed #22
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 21 (0103000c.297a35f2.0241b199.00000002(250cdd15))
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco rtps lost Time:42s Result:0

==============================================================================

tests/DCPS/Serializer_wstring/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -NOBITS -DCPSPendingTimeout 3 
InfoRepo PID: 604
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Serializer_wstring/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub.log -DCPSBit 0  -DCPSPendingTimeout 3 
pub PID: 611 started at 2023-04-21 22:38:44
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Serializer_wstring/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub.log -DCPSBit 0  -DCPSPendingTimeout 3 
sub PID: 612 started at 2023-04-21 22:38:44
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
Message: subject_id = 99
         count      = 0
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 1
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 2
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 3
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 4
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 5
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 6
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 7
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 8
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 9
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Serializer_wstring/run_test.pl Time:4s Result:0

==============================================================================

tests/DCPS/SharedTransport/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -o repo.ior 
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/test -DCPSPendingTimeout 3 -DCPSConfigFile tcp.ini 
(633|633) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(626|626) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(633|633) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
TestCase.cpp:49: INFO: TestCase::init_datawriter
TestCase.cpp:62: INFO: TestCase::init_datareader
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 0
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 1
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 2
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 3
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 4
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 5
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 6
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 7
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 8
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 9
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 10
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 11
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 12
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 13
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 14
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 15
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 16
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 17
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 18
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 19
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 20
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 21
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 22
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 23
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 24
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 25
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 26
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 27
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 28
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 29
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 30
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 31
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 32
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 33
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 34
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 35
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 36
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 37
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 38
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 39
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 40
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 41
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 42
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 43
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 44
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 45
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 46
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 47
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 48
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 49
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 50
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 51
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 52
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 53
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 54
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 55
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 56
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 57
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 58
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 59
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 60
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 61
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 62
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 63
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 64
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 65
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 66
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 67
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 68
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 69
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 70
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 71
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 72
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 73
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 74
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 75
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 76
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 77
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 78
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 79
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 80
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 81
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 82
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 83
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 84
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 85
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 86
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 87
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 88
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 89
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 90
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 91
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 92
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 93
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 94
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 95
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 96
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 97
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 98
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 99
         message = Testing!
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/SharedTransport/run_test.pl Time:4s Result:0

==============================================================================

tests/DCPS/SharedTransport/run_test.pl rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/test -DCPSPendingTimeout 3 -DCPSConfigFile rtps.ini 
(644|644) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
TestCase.cpp:49: INFO: TestCase::init_datawriter
TestCase.cpp:62: INFO: TestCase::init_datareader
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 0
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 1
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 2
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 3
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 4
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 5
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 6
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 7
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 8
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 9
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 10
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 11
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 12
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 13
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 14
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 15
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 16
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 17
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 18
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 19
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 20
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 21
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 22
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 23
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 24
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 25
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 26
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 27
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 28
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 29
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 30
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 31
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 32
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 33
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 34
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 35
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 36
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 37
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 38
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 39
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 40
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 41
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 42
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 43
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 44
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 45
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 46
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 47
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 48
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 49
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 50
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 51
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 52
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 53
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 54
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 55
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 56
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 57
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 58
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 59
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 60
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 61
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 62
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 63
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 64
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 65
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 66
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 67
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 68
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 69
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 70
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 71
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 72
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 73
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 74
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 75
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 76
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 77
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 78
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 79
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 80
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 81
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 82
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 83
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 84
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 85
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 86
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 87
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 88
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 89
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 90
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 91
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 92
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 93
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 94
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 95
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 96
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 97
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 98
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 99
         message = Testing!
test PASSED.

auto_run_tests_finished: tests/DCPS/SharedTransport/run_test.pl rtps Time:4s Result:0

==============================================================================

tests/DCPS/SharedTransport/run_test.pl rtps 2 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/test -DCPSPendingTimeout 3 -DCPSConfigFile rtps.ini 2
(652|652) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
TestCase.cpp:49: INFO: TestCase::init_datawriter
TestCase.cpp:49: INFO: TestCase::init_datawriter
TestCase.cpp:62: INFO: TestCase::init_datareader
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 0
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 100
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 1
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 101
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 2
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 102
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 3
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 103
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 4
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 104
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 5
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 105
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 6
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 106
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 7
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 107
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 8
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 108
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 9
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 109
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 10
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 110
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 11
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 111
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 12
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 112
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 13
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 113
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 14
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 114
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 15
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 115
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 16
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 116
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 17
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 117
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 18
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 118
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 19
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 119
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 20
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 120
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 21
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 121
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 22
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 122
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 23
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 123
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 24
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 124
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 25
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 125
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 26
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 126
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 27
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 127
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 28
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 128
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 29
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 129
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 30
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 130
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 31
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 131
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 32
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 132
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 33
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 133
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 34
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 134
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 35
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 135
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 36
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 136
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 37
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 137
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 38
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 138
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 39
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 139
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 40
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 140
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 41
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 141
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 42
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 142
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 43
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 143
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 44
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 144
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 45
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 145
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 46
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 146
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 47
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 147
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 48
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 148
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 49
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 149
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 50
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 150
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 51
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 151
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 52
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 152
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 53
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 153
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 54
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 154
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 55
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 155
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 56
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 156
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 57
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 157
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 58
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 158
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 59
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 159
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 60
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 160
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 61
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 161
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 62
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 162
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 63
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 163
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 64
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 164
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 65
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 165
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 66
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 166
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 67
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 167
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 68
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 168
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 69
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 169
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 70
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 170
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 71
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 171
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 72
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 172
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 73
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 173
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 74
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 174
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 75
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 175
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 76
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 176
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 77
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 177
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 78
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 178
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 79
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 179
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 80
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 180
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 81
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 181
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 82
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 182
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 83
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 183
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 84
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 184
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 85
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 185
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 86
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 186
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 87
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 187
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 88
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 188
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 89
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 189
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 90
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 190
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 91
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 191
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 92
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 192
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 93
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 193
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 94
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 194
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 95
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 195
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 96
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 196
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 97
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 197
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 98
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 198
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 99
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 199
         message = Testing!
test PASSED.

auto_run_tests_finished: tests/DCPS/SharedTransport/run_test.pl rtps 2 Time:5s Result:0

==============================================================================

tests/DCPS/SharedTransport/run_test.pl udp #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -o repo.ior 
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/test -DCPSPendingTimeout 3 -DCPSConfigFile udp.ini 
(666|666) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(659|659) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(666|666) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
TestCase.cpp:49: INFO: TestCase::init_datawriter
TestCase.cpp:62: INFO: TestCase::init_datareader
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 0
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 1
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 2
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 3
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 4
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 5
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 6
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 7
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 8
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 9
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 10
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 11
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 12
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 13
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 14
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 15
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 16
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 17
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 18
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 19
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 20
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 21
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 22
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 23
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 24
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 25
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 26
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 27
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 28
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 29
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 30
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 31
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 32
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 33
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 34
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 35
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 36
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 37
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 38
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 39
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 40
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 41
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 42
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 43
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 44
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 45
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 46
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 47
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 48
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 49
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 50
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 51
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 52
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 53
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 54
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 55
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 56
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 57
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 58
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 59
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 60
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 61
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 62
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 63
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 64
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 65
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 66
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 67
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 68
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 69
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 70
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 71
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 72
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 73
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 74
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 75
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 76
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 77
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 78
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 79
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 80
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 81
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 82
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 83
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 84
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 85
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 86
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 87
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 88
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 89
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 90
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 91
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 92
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 93
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 94
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 95
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 96
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 97
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 98
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 99
         message = Testing!
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/SharedTransport/run_test.pl udp Time:3s Result:0

==============================================================================

tests/DCPS/SharedTransport/run_test.pl multicast #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -o repo.ior 
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/test -DCPSPendingTimeout 3 -DCPSConfigFile multicast.ini 
(683|683) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(676|676) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(683|683) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
TestCase.cpp:49: INFO: TestCase::init_datawriter
TestCase.cpp:62: INFO: TestCase::init_datareader
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 0
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 1
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 2
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 3
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 4
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 5
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 6
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 7
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 8
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 9
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 10
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 11
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 12
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 13
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 14
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 15
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 16
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 17
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 18
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 19
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 20
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 21
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 22
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 23
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 24
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 25
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 26
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 27
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 28
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 29
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 30
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 31
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 32
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 33
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 34
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 35
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 36
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 37
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 38
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 39
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 40
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 41
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 42
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 43
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 44
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 45
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 46
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 47
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 48
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 49
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 50
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 51
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 52
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 53
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 54
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 55
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 56
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 57
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 58
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 59
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 60
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 61
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 62
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 63
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 64
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 65
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 66
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 67
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 68
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 69
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 70
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 71
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 72
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 73
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 74
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 75
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 76
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 77
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 78
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 79
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 80
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 81
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 82
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 83
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 84
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 85
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 86
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 87
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 88
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 89
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 90
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 91
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 92
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 93
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 94
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 95
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 96
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 97
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 98
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 99
         message = Testing!
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/SharedTransport/run_test.pl multicast Time:4s Result:0

==============================================================================

tests/DCPS/SharedTransport/run_test.pl shmem #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -o repo.ior 
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/test -DCPSPendingTimeout 3 -DCPSConfigFile shmem.ini 
(701|701) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(701|701) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(694|694) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
TestCase.cpp:49: INFO: TestCase::init_datawriter
TestCase.cpp:62: INFO: TestCase::init_datareader
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 0
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 1
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 2
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 3
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 4
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 5
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 6
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 7
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 8
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 9
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 10
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 11
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 12
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 13
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 14
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 15
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 16
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 17
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 18
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 19
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 20
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 21
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 22
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 23
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 24
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 25
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 26
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 27
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 28
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 29
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 30
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 31
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 32
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 33
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 34
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 35
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 36
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 37
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 38
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 39
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 40
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 41
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 42
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 43
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 44
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 45
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 46
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 47
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 48
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 49
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 50
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 51
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 52
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 53
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 54
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 55
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 56
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 57
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 58
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 59
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 60
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 61
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 62
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 63
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 64
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 65
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 66
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 67
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 68
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 69
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 70
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 71
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 72
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 73
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 74
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 75
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 76
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 77
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 78
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 79
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 80
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 81
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 82
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 83
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 84
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 85
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 86
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 87
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 88
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 89
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 90
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 91
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 92
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 93
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 94
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 95
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 96
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 97
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 98
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 99
         message = Testing!
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/SharedTransport/run_test.pl shmem Time:4s Result:0

==============================================================================

tests/DCPS/SharedTransport/run_test.pl rtps_disc_tcp #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/test -DCPSPendingTimeout 3 -DCPSConfigFile rtps_disc_tcp.ini 
(712|712) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
TestCase.cpp:49: INFO: TestCase::init_datawriter
(712|712) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
TestCase.cpp:62: INFO: TestCase::init_datareader
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 0
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 1
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 2
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 3
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 4
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 5
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 6
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 7
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 8
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 9
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 10
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 11
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 12
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 13
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 14
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 15
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 16
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 17
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 18
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 19
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 20
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 21
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 22
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 23
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 24
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 25
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 26
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 27
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 28
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 29
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 30
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 31
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 32
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 33
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 34
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 35
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 36
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 37
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 38
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 39
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 40
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 41
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 42
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 43
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 44
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 45
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 46
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 47
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 48
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 49
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 50
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 51
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 52
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 53
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 54
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 55
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 56
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 57
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 58
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 59
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 60
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 61
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 62
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 63
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 64
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 65
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 66
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 67
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 68
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 69
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 70
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 71
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 72
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 73
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 74
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 75
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 76
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 77
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 78
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 79
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 80
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 81
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 82
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 83
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 84
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 85
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 86
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 87
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 88
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 89
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 90
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 91
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 92
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 93
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 94
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 95
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 96
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 97
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 98
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 99
         message = Testing!
test PASSED.

auto_run_tests_finished: tests/DCPS/SharedTransport/run_test.pl rtps_disc_tcp Time:3s Result:0

==============================================================================

tests/DCPS/ConfigFile/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigFile/ConfigFile -DCPSConfigFile test1.ini
(727|727) Service_Participant::set_repo_ior: Repo[DEFAULT_REPO] == file://repo.ior
(727|727) [repository/DEFAULT_REPO]: RepositoryIor == file://repo.ior
(727|727) NOTICE: "DCPSDefaultDiscovery" is defined in config file, with value "MyDefaultDiscovery".
(727|727) NOTICE: "DCPSBidirGIOP" is not defined in config file - using code default.
(727|727) NOTICE: "DCPSThreadStatusInterval" is not defined in config file - using code default.
(727|727) NOTICE: "ORBLogFile" is not defined in config file - using code default.
(727|727) NOTICE: "ORBVerboseLogging" is not defined in config file - using code default.
(727|727) NOTICE: "DCPSMonitor" is not defined in config file - using code default.
(727|727) NOTICE: "DCPSTypeObjectEncoding" is not defined in config file - using code default.
(727|727) NOTICE: "DCPSLogLevel" is not defined in config file - using code default.
(727|727) NOTICE: "scheduler" is not defined in config file - using code default.
(727|727) NOTICE: "scheduler_slice" is not defined in config file - using code default.
(727|727) NOTICE: Service_Participant::load_domain_ranges(): config does not have a [DomainRange] section.
(727|727) [repository/xyz]: DCPSBitTransportIPAddress == 1.2.3.4
(727|727) [repository/xyz]: DCPSBitTransportPort == 4321
(727|727) [repository/xyz]: RepositoryIor == file://repo3.ior
(727|727) [repository/MyDefaultDiscovery]: RepositoryIor == file://repo3.ior
(727|727) [repository/333]: RepositoryIor == file://repo2.ior
(727|727) [repository/333]: RepositoryKey == 333
(727|727) NOTICE: TransportRegistry::load_transport_templates(): config does not have a [transport_template] section.
(727|727) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(727|727) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(727|727) NOTICE: "max_packet_size" is not defined in config file - using code default.
(727|727) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(727|727) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(727|727) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(727|727) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(727|727) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(727|727) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(727|727) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(727|727) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(727|727) NOTICE: "local_address" is not defined in config file - using code default.
(727|727) NOTICE: "pub_address" is not defined in config file - using code default.
(727|727) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(727|727) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(727|727) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(727|727) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(727|727) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(727|727) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(727|727) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(727|727) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(727|727) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(727|727) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(727|727) NOTICE: "local_address" is defined in config file, with value "localhost:".
(727|727) NOTICE: "pub_address" is not defined in config file - using code default.
(727|727) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(727|727) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(727|727) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(727|727) NOTICE: "max_packet_size" is not defined in config file - using code default.
(727|727) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(727|727) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(727|727) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(727|727) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(727|727) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(727|727) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(727|727) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(727|727) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(727|727) NOTICE: "local_address" is not defined in config file - using code default.
(727|727) NOTICE: "pub_address" is not defined in config file - using code default.
(727|727) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(727|727) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(727|727) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(727|727) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(727|727) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(727|727) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(727|727) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(727|727) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(727|727) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(727|727) NOTICE: "max_packet_size" is not defined in config file - using code default.
(727|727) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(727|727) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(727|727) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(727|727) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(727|727) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(727|727) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(727|727) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(727|727) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(727|727) NOTICE: "local_address" is not defined in config file - using code default.
(727|727) NOTICE: "pub_address" is not defined in config file - using code default.
(727|727) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(727|727) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(727|727) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(727|727) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(727|727) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(727|727) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(727|727) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(727|727) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(727|727) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(727|727) NOTICE: "max_packet_size" is not defined in config file - using code default.
(727|727) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(727|727) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(727|727) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(727|727) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(727|727) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(727|727) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(727|727) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(727|727) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(727|727) NOTICE: "local_address" is not defined in config file - using code default.
(727|727) NOTICE: "pub_address" is not defined in config file - using code default.
(727|727) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(727|727) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(727|727) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(727|727) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(727|727) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(727|727) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(727|727) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(727|727) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(727|727) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(727|727) NOTICE: "max_packet_size" is not defined in config file - using code default.
(727|727) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(727|727) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(727|727) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(727|727) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(727|727) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(727|727) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(727|727) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(727|727) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(727|727) NOTICE: "local_address" is not defined in config file - using code default.
(727|727) NOTICE: "pub_address" is not defined in config file - using code default.
(727|727) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(727|727) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(727|727) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(727|727) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(727|727) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(727|727) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(727|727) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(727|727) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(727|727) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(727|727) NOTICE: "max_packet_size" is not defined in config file - using code default.
(727|727) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(727|727) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(727|727) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(727|727) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(727|727) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(727|727) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(727|727) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(727|727) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(727|727) NOTICE: "local_address" is not defined in config file - using code default.
(727|727) NOTICE: "pub_address" is not defined in config file - using code default.
(727|727) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(727|727) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(727|727) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(727|727) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(727|727) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(727|727) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(727|727) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(727|727) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(727|727) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(727|727) NOTICE: "max_packet_size" is not defined in config file - using code default.
(727|727) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(727|727) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(727|727) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(727|727) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(727|727) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(727|727) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(727|727) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(727|727) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(727|727) NOTICE: "default_to_ipv6" is not defined in config file - using code default.
(727|727) NOTICE: "port_offset" is not defined in config file - using code default.
(727|727) NOTICE: "group_address" is not defined in config file - using code default.
(727|727) NOTICE: "local_address" is not defined in config file - using code default.
(727|727) NOTICE: "reliable" is not defined in config file - using code default.
(727|727) NOTICE: "syn_backoff" is not defined in config file - using code default.
(727|727) NOTICE: "syn_interval" is not defined in config file - using code default.
(727|727) NOTICE: "syn_timeout" is not defined in config file - using code default.
(727|727) NOTICE: "nak_depth" is not defined in config file - using code default.
(727|727) NOTICE: "nak_interval" is not defined in config file - using code default.
(727|727) NOTICE: "nak_delay_intervals" is not defined in config file - using code default.
(727|727) NOTICE: "nak_max" is not defined in config file - using code default.
(727|727) NOTICE: "nak_timeout" is not defined in config file - using code default.
(727|727) NOTICE: "ttl" is not defined in config file - using code default.
(727|727) NOTICE: "rcv_buffer_size" is not defined in config file - using code default.
(727|727) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(727|727) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(727|727) NOTICE: "max_packet_size" is not defined in config file - using code default.
(727|727) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(727|727) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(727|727) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(727|727) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(727|727) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(727|727) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(727|727) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(727|727) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(727|727) NOTICE: "local_address" is not defined in config file - using code default.
(727|727) NOTICE: "send_buffer_size" is not defined in config file - using code default.
(727|727) NOTICE: "rcv_buffer_size" is not defined in config file - using code default.
(727|727) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(727|727) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(727|727) NOTICE: "max_packet_size" is not defined in config file - using code default.
(727|727) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(727|727) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(727|727) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(727|727) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(727|727) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(727|727) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(727|727) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(727|727) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(727|727) NOTICE: "local_address" is not defined in config file - using code default.
(727|727) NOTICE: "pub_address" is not defined in config file - using code default.
(727|727) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(727|727) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(727|727) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(727|727) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(727|727) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(727|727) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(727|727) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(727|727) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(727|727) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(727|727) NOTICE: "max_packet_size" is not defined in config file - using code default.
(727|727) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(727|727) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(727|727) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(727|727) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(727|727) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(727|727) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(727|727) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(727|727) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(727|727) NOTICE: "local_address" is not defined in config file - using code default.
(727|727) NOTICE: "pub_address" is not defined in config file - using code default.
(727|727) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(727|727) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(727|727) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(727|727) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(727|727) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(727|727) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(727|727) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(727|727) Service_Participant::set_repo_domain: Domain[ 100] = Repo[ DEFAULT_STATIC].
(727|727) Service_Participant::set_repo_domain: Domain[ 1235] = Repo[ xyz].
(727|727) [domain/1234]: DomainId == 1234
(727|727) [domain/1234]: DomainRepoKey == 333
(727|727) Service_Participant::set_repo_domain: Domain[ 1234] = Repo[ 333].
(727|727) Service_Participant::set_repo_domain: Domain[ 99] = Repo[ MyConfig].
(727|727) Service_Participant::set_repo_domain: Domain[ 98] = Repo[ MultiSendAddr].
(727|727) [domain/21]: DomainId == 21
(727|727) Service_Participant::set_repo_domain: Domain[ 21] = Repo[ DEFAULT_RTPS].
(727|727) NOTICE: StaticDiscovery::parse_topics processing [topic/TheTopic] section.
(727|727) NOTICE: StaticDiscovery::parse_datawriterqos no [datawriterqos] sections.
(727|727) NOTICE: StaticDiscovery::parse_datareaderqos no [datareaderqos] sections.
(727|727) NOTICE: StaticDiscovery::parse_publisherqos no [publisherqos] sections.
(727|727) NOTICE: StaticDiscovery::parse_subscriberqos no [subscriberqos] sections.
(727|727) NOTICE: StaticDiscovery::parse_endpoints processing [endpoint/MyWriter] section.
(727|727) DEBUG: StaticDiscovery::parse_endpoints adding entity with id 01030000.00640000.00000000.00000102(44b6056a)
(727|727) NOTICE: StaticDiscovery::parse_endpoints processing [endpoint/MyReader] section.
(727|727) DEBUG: StaticDiscovery::parse_endpoints adding entity with id 01030000.00640000.00000000.00000007(2dc7c0a4)
(727|727) NOTICE: Service_Participant::intializeScheduling() - no scheduling policy specified, not setting policy.
(727|727) Service_Participant::get_domain_participant_factory: Creating LinuxNetworkConfigMonitor
(727|727) Service_Participant::shutdown
(727|727) DomainParticipantFactoryImpl::~DomainParticipantFactoryImpl()
(727|727) Service_Participant::~Service_Participant
(727|727) Service_Participant::shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ConfigFile/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/ConfigTransports/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 730
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a process -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 737 started at 2023-04-21 22:39:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a process -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 738 started at 2023-04-21 22:39:16
(737|737) Running colocation opt process
(737|737) Topic name: Xyz::Foo
(738|738) Topic name: Xyz::Foo
(738|738) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(737|737) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(738|738) Topic name: Xyz::Foo
(737|737) Topic name: Xyz::Foo
(738|738) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(738|738) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(738|738) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(738|738) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(738|738) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(738|738) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(738|738) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(738|738) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(738|738) Writer::run_test begins.
(738|738)  22:39:16.474154 Writer::run_test starting to write pass 1
(738|738)  22:39:16.476766 Writer::run_test done writing.
(737|737) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(737|737) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(737|737) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(737|737) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(737|737) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(737|737) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(737|737) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(737|737) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(738|738) Writer::run_test finished.
(737|737) Shutting subscriber down ...
(737|737) deleting entities1
(738|738) deleting entities1
(738|738) deleting participant1
(738|738) deleting entities2
(738|738) deleting participant2
(738|738) Shutting publisher down ...
(737|737) deleting participant1
(737|737) deleting entities2
(737|737) deleting participant2
(737|737) Subscriber shutting down svc part
(738|738) Publisher shutdown complete.
(738|738) done.
(737|737) Subscriber shutdown complete
(737|737) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->1
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 759
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 766 started at 2023-04-21 22:39:17
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 767 started at 2023-04-21 22:39:17
(766|766) Running colocation opt process
(766|766) Topic name: Xyz::Foo
(767|767) Topic name: Xyz::Foo
(767|767) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(766|766) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(767|767) Topic name: Xyz::Foo
(766|766) Topic name: Xyz::Foo
(767|767) Check if 'udp1' is among supported [udp1]?
(767|767) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(767|767) Writer::run_test begins.
(767|767)  22:39:17.875250 Writer::run_test starting to write pass 1
(767|767)  22:39:17.876288 Writer::run_test done writing.
(766|766) Check if 'udp1' is among supported [udp1]?
(766|766) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(767|767) Writer::run_test finished.
(766|766) Shutting subscriber down ...
(766|766) deleting entities1
(767|767) deleting entities1
(767|767) deleting participant1
(767|767) deleting entities2
(767|767) deleting participant2
(766|766) deleting participant1
(767|767) Shutting publisher down ...
(766|766) deleting entities2
(766|766) deleting participant2
(766|766) Subscriber shutting down svc part
(767|767) Publisher shutdown complete.
(767|767) done.
(766|766) Subscriber shutdown complete
(766|766) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->2
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 793
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 800 started at 2023-04-21 22:39:19
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 801 started at 2023-04-21 22:39:19
(800|800) Running colocation opt process
(800|800) Topic name: Xyz::Foo
(801|801) Topic name: Xyz::Foo
(801|801) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(800|800) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(800|800) Topic name: Xyz::Foo
(801|801) Topic name: Xyz::Foo
(800|800) Check if 'udp1' is among supported [udp1]?
(800|800) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(801|801) Check if 'udp1' is among supported [udp1]?
(801|801) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(801|801) Writer::run_test begins.
(801|801)  22:39:19.293558 Writer::run_test starting to write pass 1
(801|801)  22:39:19.297274 Writer::run_test done writing.
(801|801) Writer::run_test finished.
(800|800) Shutting subscriber down ...
(800|800) deleting entities1
(801|801) deleting entities1
(801|801) deleting participant1
(801|801) deleting entities2
(801|801) deleting participant2
(800|800) deleting participant1
(801|801) Shutting publisher down ...
(800|800) deleting entities2
(800|800) deleting participant2
(800|800) Subscriber shutting down svc part
(801|801) Publisher shutdown complete.
(801|801) done.
(800|800) Subscriber shutdown complete
(800|800) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->3
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 826
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 833 started at 2023-04-21 22:39:20
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 834 started at 2023-04-21 22:39:20
(833|833) Running colocation opt process
(834|834) Topic name: Xyz::Foo
(833|833) Topic name: Xyz::Foo
(834|834) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(833|833) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(834|834) Topic name: Xyz::Foo
(833|833) Topic name: Xyz::Foo
(834|834) Check if 'udp1' is among supported [udp1]?
(834|834) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(834|834) Writer::run_test begins.
(834|834)  22:39:20.692666 Writer::run_test starting to write pass 1
(834|834)  22:39:20.693624 Writer::run_test done writing.
(833|833) Check if 'udp1' is among supported [udp1]?
(833|833) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(834|834) Writer::run_test finished.
(834|834) deleting entities1
(833|833) Shutting subscriber down ...
(833|833) deleting entities1
(834|834) deleting participant1
(834|834) deleting entities2
(834|834) deleting participant2
(834|834) Shutting publisher down ...
(833|833) deleting participant1
(833|833) deleting entities2
(833|833) deleting participant2
(833|833) Subscriber shutting down svc part
(834|834) Publisher shutdown complete.
(834|834) done.
(833|833) Subscriber shutdown complete
(833|833) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->4
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 859
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0   -c true -e none -a none -s none -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 866 started at 2023-04-21 22:39:21
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0   -c true -e none -a none -s none -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 867 started at 2023-04-21 22:39:21
(866|866) Running colocation opt none
(866|866) Topic name: Xyz::Foo
(867|867) Topic name: Xyz::Foo
(866|866) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(867|867) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(866|866) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0500_TCP]?
(867|867) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0500_TCP]?
(867|867) Writer::run_test begins.
(867|867)  22:39:22.094439 Writer::run_test starting to write pass 1
(867|867)  22:39:22.095358 Writer::run_test done writing.
(867|867)  22:39:23.095784 Writer::run_test starting to write pass 2
(867|867)  22:39:23.096277 Writer::run_test done writing.
(867|867)  22:39:24.120907 Writer::run_test starting to write pass 3
(867|867)  22:39:24.121417 Writer::run_test done writing.
(867|867)  22:39:25.121664 Writer::run_test starting to write pass 4
(867|867)  22:39:25.122135 Writer::run_test done writing.
(867|867)  22:39:26.122426 Writer::run_test starting to write pass 5
(867|867)  22:39:26.122881 Writer::run_test done writing.
(867|867) Writer::run_test finished.
(866|866) Shutting subscriber down ...
(866|866) deleting entities1
(867|867) deleting entities1
(867|867) deleting participant1
(867|867) Shutting publisher down ...
(866|866) deleting participant1
(866|866) Subscriber shutting down svc part
(867|867) Publisher shutdown complete.
(866|866) Subscriber shutdown complete
(867|867) done.
(866|866) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->5
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 876
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a none -s whatever_just_to_ensure_there_is_a_config_file_on_the_command_line -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 883 started at 2023-04-21 22:39:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a none -s whatever_just_to_ensure_there_is_a_config_file_on_the_command_line -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 884 started at 2023-04-21 22:39:27
(883|883) Running colocation opt none
(883|883) Topic name: Xyz::Foo
(884|884) Topic name: Xyz::Foo
(884|884) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(883|883) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(884|884) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(884|884) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(884|884) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(884|884) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(884|884) Writer::run_test begins.
(883|883) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(883|883) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(883|883) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(883|883) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(884|884)  22:39:27.520116 Writer::run_test starting to write pass 1
(884|884)  22:39:27.522766 Writer::run_test done writing.
(884|884)  22:39:28.523158 Writer::run_test starting to write pass 2
(884|884)  22:39:28.523667 Writer::run_test done writing.
(884|884)  22:39:29.523917 Writer::run_test starting to write pass 3
(884|884)  22:39:29.524494 Writer::run_test done writing.
(884|884)  22:39:30.524767 Writer::run_test starting to write pass 4
(884|884)  22:39:30.525225 Writer::run_test done writing.
(884|884)  22:39:31.525531 Writer::run_test starting to write pass 5
(884|884)  22:39:31.525970 Writer::run_test done writing.
(884|884) Writer::run_test finished.
(883|883) Shutting subscriber down ...
(883|883) deleting entities1
(884|884) deleting entities1
(884|884) deleting participant1
(883|883) deleting participant1
(884|884) Shutting publisher down ...
(883|883) Subscriber shutting down svc part
(884|884) Publisher shutdown complete.
(884|884) done.
(883|883) Subscriber shutdown complete
(883|883) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->6
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 905
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 912 started at 2023-04-21 22:39:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 913 started at 2023-04-21 22:39:32
(912|912) Running colocation opt none
(912|912) Topic name: Xyz::Foo
(913|913) Topic name: Xyz::Foo
(912|912) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(913|913) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(912|912) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(912|912) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(912|912) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(912|912) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(913|913) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(913|913) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(913|913) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(913|913) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(913|913) Writer::run_test begins.
(913|913)  22:39:32.940923 Writer::run_test starting to write pass 1
(913|913)  22:39:32.943293 Writer::run_test done writing.
(913|913)  22:39:33.943645 Writer::run_test starting to write pass 2
(913|913)  22:39:33.967700 Writer::run_test done writing.
(913|913)  22:39:34.968065 Writer::run_test starting to write pass 3
(913|913)  22:39:34.969222 Writer::run_test done writing.
(913|913)  22:39:35.969548 Writer::run_test starting to write pass 4
(913|913)  22:39:35.970680 Writer::run_test done writing.
(913|913)  22:39:36.970979 Writer::run_test starting to write pass 5
(913|913)  22:39:36.972066 Writer::run_test done writing.
(913|913) Writer::run_test finished.
(912|912) Shutting subscriber down ...
(912|912) deleting entities1
(913|913) deleting entities1
(913|913) deleting participant1
(913|913) Shutting publisher down ...
(912|912) deleting participant1
(912|912) Subscriber shutting down svc part
(913|913) Publisher shutdown complete.
(913|913) done.
(912|912) Subscriber shutdown complete
(912|912) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->7
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 934
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 941 started at 2023-04-21 22:39:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 942 started at 2023-04-21 22:39:38
(941|941) Running colocation opt none
(941|941) Topic name: Xyz::Foo
(942|942) Topic name: Xyz::Foo
(941|941) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(942|942) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(941|941) Check if 'udp1' is among supported [udp1]?
(942|942) Check if 'udp1' is among supported [udp1]?
(942|942) Writer::run_test begins.
(942|942)  22:39:38.361155 Writer::run_test starting to write pass 1
(942|942)  22:39:38.363105 Writer::run_test done writing.
(942|942)  22:39:39.363419 Writer::run_test starting to write pass 2
(942|942)  22:39:39.363910 Writer::run_test done writing.
(942|942)  22:39:40.364178 Writer::run_test starting to write pass 3
(942|942)  22:39:40.364669 Writer::run_test done writing.
(942|942)  22:39:41.365026 Writer::run_test starting to write pass 4
(942|942)  22:39:41.365488 Writer::run_test done writing.
(942|942)  22:39:42.365784 Writer::run_test starting to write pass 5
(942|942)  22:39:42.366218 Writer::run_test done writing.
(942|942) Writer::run_test finished.
(941|941) Shutting subscriber down ...
(941|941) deleting entities1
(942|942) deleting entities1
(942|942) deleting participant1
(942|942) Shutting publisher down ...
(941|941) deleting participant1
(941|941) Subscriber shutting down svc part
(942|942) Publisher shutdown complete.
(942|942) done.
(941|941) Subscriber shutdown complete
(941|941) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->8
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 959
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 966 started at 2023-04-21 22:39:43
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 967 started at 2023-04-21 22:39:43
(966|966) Running colocation opt none
(966|966) Topic name: Xyz::Foo
(967|967) Topic name: Xyz::Foo
(966|966) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(967|967) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(966|966) Check if 'udp1' is among supported [udp1]?
(967|967) Check if 'udp1' is among supported [udp1]?
(967|967) Writer::run_test begins.
(967|967)  22:39:43.777870 Writer::run_test starting to write pass 1
(967|967)  22:39:43.778893 Writer::run_test done writing.
(967|967)  22:39:44.779292 Writer::run_test starting to write pass 2
(967|967)  22:39:44.797260 Writer::run_test done writing.
(967|967)  22:39:45.797666 Writer::run_test starting to write pass 3
(967|967)  22:39:45.798146 Writer::run_test done writing.
(967|967)  22:39:46.798536 Writer::run_test starting to write pass 4
(967|967)  22:39:46.798999 Writer::run_test done writing.
(967|967)  22:39:47.799345 Writer::run_test starting to write pass 5
(967|967)  22:39:47.799962 Writer::run_test done writing.
(967|967) Writer::run_test finished.
(966|966) Shutting subscriber down ...
(966|966) deleting entities1
(967|967) deleting entities1
(967|967) deleting participant1
(967|967) Shutting publisher down ...
(966|966) deleting participant1
(966|966) Subscriber shutting down svc part
(967|967) Publisher shutdown complete.
(966|966) Subscriber shutdown complete
(967|967) done.
(966|966) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->9
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 976
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 983 started at 2023-04-21 22:39:49
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 984 started at 2023-04-21 22:39:49
(983|983) Running colocation opt none
(983|983) Topic name: Xyz::Foo
(984|984) Topic name: Xyz::Foo
(983|983) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(983|983) Check if 'udp1' is among supported [udp1]?
(984|984) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(984|984) Check if 'udp1' is among supported [udp1]?
(984|984) Writer::run_test begins.
(984|984)  22:39:49.211870 Writer::run_test starting to write pass 1
(984|984)  22:39:49.214763 Writer::run_test done writing.
(984|984)  22:39:50.215189 Writer::run_test starting to write pass 2
(984|984)  22:39:50.216885 Writer::run_test done writing.
(984|984)  22:39:51.227666 Writer::run_test starting to write pass 3
(984|984)  22:39:51.228843 Writer::run_test done writing.
(984|984)  22:39:52.229131 Writer::run_test starting to write pass 4
(984|984)  22:39:52.229595 Writer::run_test done writing.
(984|984)  22:39:53.229935 Writer::run_test starting to write pass 5
(984|984)  22:39:53.230372 Writer::run_test done writing.
(984|984) Writer::run_test finished.
(983|983) Shutting subscriber down ...
(983|983) deleting entities1
(984|984) deleting entities1
(984|984) deleting participant1
(984|984) Shutting publisher down ...
(983|983) deleting participant1
(983|983) Subscriber shutting down svc part
(984|984) Publisher shutdown complete.
(984|984) done.
(983|983) Subscriber shutdown complete
(983|983) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->10
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 993
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -f _OPENDDS_0300_UDP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 1000 started at 2023-04-21 22:39:54
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -f _OPENDDS_0300_UDP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 1001 started at 2023-04-21 22:39:54
(1000|1000) Running colocation opt none
(1000|1000) Topic name: Xyz::Foo
(1001|1001) Topic name: Xyz::Foo
(1000|1000) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1001|1001) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1000|1000) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1000|1000) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1000|1000) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1000|1000) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1001|1001) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1001|1001) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1001|1001) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1001|1001) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1001|1001) Writer::run_test begins.
(1001|1001)  22:39:54.629536 Writer::run_test starting to write pass 1
(1001|1001)  22:39:54.630912 Writer::run_test done writing.
(1001|1001)  22:39:55.631292 Writer::run_test starting to write pass 2
(1001|1001)  22:39:55.631993 Writer::run_test done writing.
(1001|1001)  22:39:56.632311 Writer::run_test starting to write pass 3
(1001|1001)  22:39:56.633019 Writer::run_test done writing.
(1001|1001)  22:39:57.633306 Writer::run_test starting to write pass 4
(1001|1001)  22:39:57.633990 Writer::run_test done writing.
(1001|1001)  22:39:58.634273 Writer::run_test starting to write pass 5
(1001|1001)  22:39:58.634739 Writer::run_test done writing.
(1001|1001) Writer::run_test finished.
(1001|1001) Check if '_OPENDDS_0300_UDP' is among negotiated [_OPENDDS_0300_UDP]?
(1000|1000) Shutting subscriber down ...
(1000|1000) deleting entities1
(1001|1001) deleting entities1
(1001|1001) deleting participant1
(1001|1001) Shutting publisher down ...
(1000|1000) deleting participant1
(1000|1000) Subscriber shutting down svc part
(1001|1001) Publisher shutdown complete.
(1001|1001) done.
(1000|1000) Subscriber shutdown complete
(1000|1000) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->11
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1022
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 1029 started at 2023-04-21 22:39:59
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 1030 started at 2023-04-21 22:39:59
(1029|1029) Running colocation opt none
(1029|1029) Topic name: Xyz::Foo
(1030|1030) Topic name: Xyz::Foo
(1029|1029) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1030|1030) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1029|1029) Check if 'udp1' is among supported [udp1]?
(1030|1030) Check if 'udp1' is among supported [udp1]?
(1030|1030) Writer::run_test begins.
(1030|1030)  22:40:00.045303 Writer::run_test starting to write pass 1
(1029|1036) Check if 'udp1' is among negotiated [udp1]?
(1030|1030)  22:40:00.048268 Writer::run_test done writing.
(1030|1030)  22:40:01.048574 Writer::run_test starting to write pass 2
(1029|1036) Check if 'udp1' is among negotiated [udp1]?
(1030|1030)  22:40:01.049769 Writer::run_test done writing.
(1030|1030)  22:40:02.077975 Writer::run_test starting to write pass 3
(1030|1030)  22:40:02.078464 Writer::run_test done writing.
(1029|1036) Check if 'udp1' is among negotiated [udp1]?
(1030|1030)  22:40:03.078814 Writer::run_test starting to write pass 4
(1030|1030)  22:40:03.079283 Writer::run_test done writing.
(1029|1036) Check if 'udp1' is among negotiated [udp1]?
(1030|1030)  22:40:04.079593 Writer::run_test starting to write pass 5
(1030|1030)  22:40:04.080042 Writer::run_test done writing.
(1029|1036) Check if 'udp1' is among negotiated [udp1]?
(1030|1030) Writer::run_test finished.
(1030|1030) Check if 'udp1' is among negotiated [udp1]?
(1029|1036) Check if 'udp1' is among negotiated [udp1]?
(1029|1029) Shutting subscriber down ...
(1029|1029) deleting entities1
(1030|1030) deleting entities1
(1030|1030) deleting participant1
(1030|1030) Shutting publisher down ...
(1029|1029) deleting participant1
(1029|1029) Subscriber shutting down svc part
(1030|1030) Publisher shutdown complete.
(1030|1030) done.
(1029|1029) Subscriber shutdown complete
(1029|1029) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->12
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1045
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 1052 started at 2023-04-21 22:40:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 1053 started at 2023-04-21 22:40:05
(1052|1052) Running colocation opt none
(1052|1052) Topic name: Xyz::Foo
(1053|1053) Topic name: Xyz::Foo
(1053|1053) Check if 'mytcp1' is among supported [mytcp1]?
(1053|1053) Writer::run_test begins.
(1052|1052) Check if 'mytcp1' is among supported [mytcp1]?
(1053|1053)  22:40:05.451231 Writer::run_test starting to write pass 1
(1053|1053)  22:40:05.451948 Writer::run_test done writing.
(1052|1060) Check if 'mytcp1' is among negotiated [mytcp1]?
(1053|1053)  22:40:06.452458 Writer::run_test starting to write pass 2
(1053|1053)  22:40:06.452802 Writer::run_test done writing.
(1052|1060) Check if 'mytcp1' is among negotiated [mytcp1]?
(1053|1053)  22:40:07.453098 Writer::run_test starting to write pass 3
(1053|1053)  22:40:07.453456 Writer::run_test done writing.
(1052|1060) Check if 'mytcp1' is among negotiated [mytcp1]?
(1053|1053)  22:40:08.453782 Writer::run_test starting to write pass 4
(1053|1053)  22:40:08.454128 Writer::run_test done writing.
(1052|1060) Check if 'mytcp1' is among negotiated [mytcp1]?
(1053|1053)  22:40:09.454388 Writer::run_test starting to write pass 5
(1053|1053)  22:40:09.454703 Writer::run_test done writing.
(1052|1060) Check if 'mytcp1' is among negotiated [mytcp1]?
(1053|1053) Writer::run_test finished.
(1053|1053) Check if 'mytcp1' is among negotiated [mytcp1]?
(1052|1060) Check if 'mytcp1' is among negotiated [mytcp1]?
(1052|1052) Shutting subscriber down ...
(1052|1052) deleting entities1
(1053|1053) deleting entities1
(1053|1053) deleting participant1
(1053|1053) Shutting publisher down ...
(1052|1052) deleting participant1
(1052|1052) Subscriber shutting down svc part
(1053|1053) Publisher shutdown complete.
(1053|1053) done.
(1052|1052) Subscriber shutdown complete
(1052|1052) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->13
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1064
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 1072 started at 2023-04-21 22:40:10
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 1073 started at 2023-04-21 22:40:10
(1072|1072) Running colocation opt none
(1072|1072) Topic name: Xyz::Foo
(1073|1073) Topic name: Xyz::Foo
(1072|1072) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1073|1073) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1072|1072) Check if 'udp1' is among supported [udp1]?
(1073|1073) Check if 'udp1' is among supported [udp1]?
(1073|1073) Writer::run_test begins.
(1073|1073)  22:40:10.789643 Writer::run_test starting to write pass 1
(1072|1079) Check if 'udp1' is among negotiated [udp1]?
(1073|1073)  22:40:10.792169 Writer::run_test done writing.
(1073|1073)  22:40:11.792504 Writer::run_test starting to write pass 2
(1072|1079) Check if 'udp1' is among negotiated [udp1]?
(1073|1073)  22:40:11.808632 Writer::run_test done writing.
(1073|1073)  22:40:12.808956 Writer::run_test starting to write pass 3
(1073|1073)  22:40:12.809421 Writer::run_test done writing.
(1072|1079) Check if 'udp1' is among negotiated [udp1]?
(1073|1073)  22:40:13.809757 Writer::run_test starting to write pass 4
(1073|1073)  22:40:13.810333 Writer::run_test done writing.
(1072|1079) Check if 'udp1' is among negotiated [udp1]?
(1073|1073)  22:40:14.810689 Writer::run_test starting to write pass 5
(1073|1073)  22:40:14.811134 Writer::run_test done writing.
(1072|1079) Check if 'udp1' is among negotiated [udp1]?
(1073|1073) Writer::run_test finished.
(1073|1073) Check if 'udp1' is among negotiated [udp1]?
(1072|1079) Check if 'udp1' is among negotiated [udp1]?
(1072|1072) Shutting subscriber down ...
(1072|1072) deleting entities1
(1073|1073) deleting entities1
(1073|1073) deleting participant1
(1073|1073) Shutting publisher down ...
(1072|1072) deleting participant1
(1072|1072) Subscriber shutting down svc part
(1073|1073) Publisher shutdown complete.
(1073|1073) done.
(1072|1072) Subscriber shutdown complete
(1072|1072) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->14
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1082
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 1089 started at 2023-04-21 22:40:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 1090 started at 2023-04-21 22:40:16
(1089|1089) Running colocation opt none
(1089|1089) Topic name: Xyz::Foo
(1090|1090) Topic name: Xyz::Foo
(1089|1089) Check if 'mytcp1' is among supported [mytcp1]?
(1090|1090) Check if 'mytcp1' is among supported [mytcp1]?
(1090|1090) Writer::run_test begins.
(1090|1090)  22:40:16.192253 Writer::run_test starting to write pass 1
(1090|1090)  22:40:16.193354 Writer::run_test done writing.
(1089|1097) Check if 'mytcp1' is among negotiated [mytcp1]?
(1090|1090)  22:40:17.193776 Writer::run_test starting to write pass 2
(1090|1090)  22:40:17.194477 Writer::run_test done writing.
(1089|1097) Check if 'mytcp1' is among negotiated [mytcp1]?
(1090|1090)  22:40:18.208991 Writer::run_test starting to write pass 3
(1090|1090)  22:40:18.209569 Writer::run_test done writing.
(1089|1097) Check if 'mytcp1' is among negotiated [mytcp1]?
(1090|1090)  22:40:19.209848 Writer::run_test starting to write pass 4
(1090|1090)  22:40:19.210136 Writer::run_test done writing.
(1089|1097) Check if 'mytcp1' is among negotiated [mytcp1]?
(1090|1090)  22:40:20.210427 Writer::run_test starting to write pass 5
(1090|1090)  22:40:20.210848 Writer::run_test done writing.
(1089|1097) Check if 'mytcp1' is among negotiated [mytcp1]?
(1090|1090) Writer::run_test finished.
(1090|1090) Check if 'mytcp1' is among negotiated [mytcp1]?
(1089|1097) Check if 'mytcp1' is among negotiated [mytcp1]?
(1089|1089) Shutting subscriber down ...
(1089|1089) deleting entities1
(1090|1090) deleting entities1
(1090|1090) deleting participant1
(1090|1090) Shutting publisher down ...
(1089|1089) deleting participant1
(1089|1089) Subscriber shutting down svc part
(1090|1090) Publisher shutdown complete.
(1090|1090) done.
(1089|1089) Subscriber shutdown complete
(1089|1089) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->15
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1105
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 1112 started at 2023-04-21 22:40:21
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 1113 started at 2023-04-21 22:40:21
(1112|1112) Running colocation opt none
(1112|1112) Topic name: Xyz::Foo
(1112|1112) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1113|1113) Topic name: Xyz::Foo
(1112|1112) Check if 'udp1' is among supported [udp1]?
(1113|1113) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1113|1113) Check if 'udp1' is among supported [udp1]?
(1113|1113) Writer::run_test begins.
(1113|1113)  22:40:21.631429 Writer::run_test starting to write pass 1
(1112|1118) Check if 'udp1' is among negotiated [udp1]?
(1113|1113)  22:40:21.633880 Writer::run_test done writing.
(1113|1113)  22:40:22.634196 Writer::run_test starting to write pass 2
(1112|1118) Check if 'udp1' is among negotiated [udp1]?
(1113|1113)  22:40:22.635511 Writer::run_test done writing.
(1113|1113)  22:40:23.635838 Writer::run_test starting to write pass 3
(1113|1113)  22:40:23.636306 Writer::run_test done writing.
(1112|1118) Check if 'udp1' is among negotiated [udp1]?
(1113|1113)  22:40:24.636631 Writer::run_test starting to write pass 4
(1113|1113)  22:40:24.637087 Writer::run_test done writing.
(1112|1118) Check if 'udp1' is among negotiated [udp1]?
(1113|1113)  22:40:25.637351 Writer::run_test starting to write pass 5
(1113|1113)  22:40:25.637835 Writer::run_test done writing.
(1112|1118) Check if 'udp1' is among negotiated [udp1]?
(1113|1113) Writer::run_test finished.
(1113|1113) Check if 'udp1' is among negotiated [udp1]?
(1112|1118) Check if 'udp1' is among negotiated [udp1]?
(1112|1112) Shutting subscriber down ...
(1112|1112) deleting entities1
(1113|1113) deleting entities1
(1113|1113) deleting participant1
(1113|1113) Shutting publisher down ...
(1112|1112) deleting participant1
(1112|1112) Subscriber shutting down svc part
(1113|1113) Publisher shutdown complete.
(1113|1113) done.
(1112|1112) Subscriber shutdown complete
(1112|1112) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->16
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1123
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 1130 started at 2023-04-21 22:40:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 1131 started at 2023-04-21 22:40:26
(1130|1130) Running colocation opt none
(1130|1130) Topic name: Xyz::Foo
(1131|1131) Topic name: Xyz::Foo
(1130|1130) Check if 'mytcp1' is among supported [mytcp1]?
(1131|1131) Check if 'mytcp1' is among supported [mytcp1]?
(1131|1131) Writer::run_test begins.
(1131|1131)  22:40:27.032953 Writer::run_test starting to write pass 1
(1131|1131)  22:40:27.033685 Writer::run_test done writing.
(1130|1137) Check if 'mytcp1' is among negotiated [mytcp1]?
(1131|1131)  22:40:28.034078 Writer::run_test starting to write pass 2
(1131|1131)  22:40:28.034402 Writer::run_test done writing.
(1130|1137) Check if 'mytcp1' is among negotiated [mytcp1]?
(1131|1131)  22:40:29.034747 Writer::run_test starting to write pass 3
(1131|1131)  22:40:29.035079 Writer::run_test done writing.
(1130|1137) Check if 'mytcp1' is among negotiated [mytcp1]?
(1131|1131)  22:40:30.035360 Writer::run_test starting to write pass 4
(1131|1131)  22:40:30.035687 Writer::run_test done writing.
(1130|1137) Check if 'mytcp1' is among negotiated [mytcp1]?
(1131|1131)  22:40:31.035997 Writer::run_test starting to write pass 5
(1131|1131)  22:40:31.036287 Writer::run_test done writing.
(1130|1137) Check if 'mytcp1' is among negotiated [mytcp1]?
(1131|1131) Writer::run_test finished.
(1131|1131) Check if 'mytcp1' is among negotiated [mytcp1]?
(1130|1137) Check if 'mytcp1' is among negotiated [mytcp1]?
(1130|1130) Shutting subscriber down ...
(1130|1130) deleting entities1
(1131|1131) deleting entities1
(1131|1131) deleting participant1
(1131|1131) Shutting publisher down ...
(1130|1130) deleting participant1
(1130|1130) Subscriber shutting down svc part
(1131|1131) Publisher shutdown complete.
(1131|1131) done.
(1130|1130) Subscriber shutdown complete
(1130|1130) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->17
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1142
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e none -a process -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 1149 started at 2023-04-21 22:40:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e none -a process -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 1150 started at 2023-04-21 22:40:32
(1149|1149) Running colocation opt process
(1142|1142) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1150|1150) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1149|1149) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1150|1150) Topic name: Xyz::Foo
(1149|1149) Topic name: Xyz::Foo
(1150|1150) Topic name: Xyz::Foo
(1150|1150) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1150|1150) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1150|1150) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1150|1150) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1150|1150) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1150|1150) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1150|1150) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1150|1150) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1150|1150) Writer::run_test begins.
(1150|1150)  22:40:32.585082 Writer::run_test starting to write pass 1
(1150|1150)  22:40:32.586294 Writer::run_test done writing.
(1149|1149) Topic name: Xyz::Foo
(1149|1149) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1149|1149) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1149|1149) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1149|1149) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1149|1149) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1149|1149) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1149|1149) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1149|1149) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1150|1150) Writer::run_test finished.
(1149|1149) Shutting subscriber down ...
(1149|1149) deleting entities1
(1150|1150) deleting entities1
(1150|1150) deleting participant1
(1149|1149) deleting participant1
(1150|1150) deleting entities2
(1150|1150) deleting participant2
(1149|1149) deleting entities2
(1150|1150) Shutting publisher down ...
(1150|1150) Publisher shutdown complete.
(1150|1150) done.
(1149|1149) deleting participant2
(1149|1149) Subscriber shutting down svc part
(1149|1149) Subscriber shutdown complete
(1149|1149) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->18
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1179
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e participant -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 1186 started at 2023-04-21 22:40:33
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e participant -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 1187 started at 2023-04-21 22:40:33
(1186|1186) Running colocation opt process
(1179|1179) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1187|1187) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1186|1186) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1187|1187) Topic name: Xyz::Foo
(1186|1186) Topic name: Xyz::Foo
(1187|1187) Topic name: Xyz::Foo
(1186|1186) Topic name: Xyz::Foo
(1187|1187) Check if 'udp1' is among supported [udp1]?
(1187|1187) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1187|1187) Writer::run_test begins.
(1187|1187)  22:40:34.168175 Writer::run_test starting to write pass 1
(1187|1187)  22:40:34.169172 Writer::run_test done writing.
(1186|1186) Check if 'udp1' is among supported [udp1]?
(1186|1186) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1187|1187) Writer::run_test finished.
(1186|1186) Shutting subscriber down ...
(1186|1186) deleting entities1
(1187|1187) deleting entities1
(1187|1187) deleting participant1
(1187|1187) deleting entities2
(1187|1187) deleting participant2
(1187|1187) Shutting publisher down ...
(1187|1187) Publisher shutdown complete.
(1187|1187) done.
(1186|1186) deleting participant1
(1186|1186) deleting entities2
(1186|1186) deleting participant2
(1186|1186) Subscriber shutting down svc part
(1186|1186) Subscriber shutdown complete
(1186|1186) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->19
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1218
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e pubsub -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 1225 started at 2023-04-21 22:40:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e pubsub -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 1226 started at 2023-04-21 22:40:35
(1225|1225) Running colocation opt process
(1218|1218) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1226|1226) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1225|1225) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1226|1226) Topic name: Xyz::Foo
(1225|1225) Topic name: Xyz::Foo
(1226|1226) Topic name: Xyz::Foo
(1226|1226) Check if 'udp1' is among supported [udp1]?
(1226|1226) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1226|1226) Writer::run_test begins.
(1226|1226)  22:40:35.744401 Writer::run_test starting to write pass 1
(1226|1226)  22:40:35.746542 Writer::run_test done writing.
(1225|1225) Topic name: Xyz::Foo
(1225|1225) Check if 'udp1' is among supported [udp1]?
(1225|1225) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1226|1226) Writer::run_test finished.
(1225|1225) Shutting subscriber down ...
(1225|1225) deleting entities1
(1226|1226) deleting entities1
(1226|1226) deleting participant1
(1226|1226) deleting entities2
(1226|1226) deleting participant2
(1226|1226) Shutting publisher down ...
(1226|1226) Publisher shutdown complete.
(1226|1226) done.
(1225|1225) deleting participant1
(1225|1225) deleting entities2
(1225|1225) deleting participant2
(1225|1225) Subscriber shutting down svc part
(1225|1225) Subscriber shutdown complete
(1225|1225) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->20
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1257
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e rw -n false -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 1264 started at 2023-04-21 22:40:37
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e rw -n false -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 1265 started at 2023-04-21 22:40:37
(1264|1264) Running colocation opt process
(1257|1257) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1264|1264) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1265|1265) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1264|1264) Topic name: Xyz::Foo
(1265|1265) Topic name: Xyz::Foo
(1264|1264) Topic name: Xyz::Foo
(1264|1264) Check if 'udp1' is among supported [udp1]?
(1264|1264) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1265|1265) Topic name: Xyz::Foo
(1265|1265) Check if 'udp1' is among supported [udp1]?
(1265|1265) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1265|1265) Writer::run_test begins.
(1265|1265)  22:40:37.386471 Writer::run_test starting to write pass 1
(1265|1265)  22:40:37.389064 Writer::run_test done writing.
(1265|1265) Writer::run_test finished.
(1264|1264) Shutting subscriber down ...
(1264|1264) deleting entities1
(1264|1264) deleting participant1
(1265|1265) deleting entities1
(1265|1265) deleting participant1
(1264|1264) deleting entities2
(1265|1265) deleting entities2
(1265|1265) deleting participant2
(1265|1265) Shutting publisher down ...
(1265|1265) Publisher shutdown complete.
(1265|1265) done.
(1264|1264) deleting participant2
(1264|1264) Subscriber shutting down svc part
(1264|1264) Subscriber shutdown complete
(1264|1264) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->21
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1304
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber    -c true -e none -a none -s none -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 1311 started at 2023-04-21 22:40:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher    -c true -e none -a none -s none -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 1312 started at 2023-04-21 22:40:38
(1311|1311) Running colocation opt none
(1304|1304) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1312|1312) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1311|1311) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1311|1311) Topic name: Xyz::Foo
(1312|1312) Topic name: Xyz::Foo
(1312|1312) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0500_TCP]?
(1312|1312) Writer::run_test begins.
(1311|1311) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0500_TCP]?
(1312|1312)  22:40:38.918068 Writer::run_test starting to write pass 1
(1312|1312)  22:40:38.919046 Writer::run_test done writing.
(1312|1312)  22:40:39.919450 Writer::run_test starting to write pass 2
(1312|1312)  22:40:39.919951 Writer::run_test done writing.
(1312|1312)  22:40:40.920274 Writer::run_test starting to write pass 3
(1312|1312)  22:40:40.920791 Writer::run_test done writing.
(1312|1312)  22:40:41.921119 Writer::run_test starting to write pass 4
(1312|1312)  22:40:41.921614 Writer::run_test done writing.
(1312|1312)  22:40:42.921861 Writer::run_test starting to write pass 5
(1312|1312)  22:40:42.922330 Writer::run_test done writing.
(1312|1312) Writer::run_test finished.
(1311|1311) Shutting subscriber down ...
(1312|1312) deleting entities1
(1311|1311) deleting entities1
(1312|1312) deleting participant1
(1312|1312) Shutting publisher down ...
(1312|1312) Publisher shutdown complete.
(1312|1312) done.
(1311|1311) deleting participant1
(1311|1311) Subscriber shutting down svc part
(1311|1311) Subscriber shutdown complete
(1311|1311) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->22
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1327
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e none -a none -s whatever_just_to_ensure_there_is_a_config_file_on_the_command_line -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 1334 started at 2023-04-21 22:40:44
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e none -a none -s whatever_just_to_ensure_there_is_a_config_file_on_the_command_line -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 1335 started at 2023-04-21 22:40:44
(1334|1334) Running colocation opt none
(1327|1327) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1334|1334) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1335|1335) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1334|1334) Topic name: Xyz::Foo
(1335|1335) Topic name: Xyz::Foo
(1334|1334) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1334|1334) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1334|1334) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1334|1334) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1335|1335) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1335|1335) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1335|1335) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1335|1335) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1335|1335) Writer::run_test begins.
(1335|1335)  22:40:44.444012 Writer::run_test starting to write pass 1
(1335|1335)  22:40:44.445170 Writer::run_test done writing.
(1335|1335)  22:40:45.446413 Writer::run_test starting to write pass 2
(1335|1335)  22:40:45.447190 Writer::run_test done writing.
(1335|1335)  22:40:46.447528 Writer::run_test starting to write pass 3
(1335|1335)  22:40:46.448440 Writer::run_test done writing.
(1335|1335)  22:40:47.448783 Writer::run_test starting to write pass 4
(1335|1335)  22:40:47.449244 Writer::run_test done writing.
(1335|1335)  22:40:48.449540 Writer::run_test starting to write pass 5
(1335|1335)  22:40:48.449997 Writer::run_test done writing.
(1335|1335) Writer::run_test finished.
(1334|1334) Shutting subscriber down ...
(1334|1334) deleting entities1
(1335|1335) deleting entities1
(1335|1335) deleting participant1
(1334|1334) deleting participant1
(1335|1335) Shutting publisher down ...
(1334|1334) Subscriber shutting down svc part
(1335|1335) Publisher shutdown complete.
(1335|1335) done.
(1334|1334) Subscriber shutdown complete
(1334|1334) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->23
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1362
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 1369 started at 2023-04-21 22:40:49
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 1370 started at 2023-04-21 22:40:49
(1369|1369) Running colocation opt none
(1362|1362) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1370|1370) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1369|1369) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1370|1370) Topic name: Xyz::Foo
(1369|1369) Topic name: Xyz::Foo
(1370|1370) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1370|1370) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1370|1370) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1370|1370) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1370|1370) Writer::run_test begins.
(1369|1369) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1369|1369) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1369|1369) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1369|1369) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1370|1370)  22:40:49.973501 Writer::run_test starting to write pass 1
(1370|1370)  22:40:49.974931 Writer::run_test done writing.
(1370|1370)  22:40:50.975302 Writer::run_test starting to write pass 2
(1370|1370)  22:40:50.975829 Writer::run_test done writing.
(1370|1370)  22:40:51.976141 Writer::run_test starting to write pass 3
(1370|1370)  22:40:51.976609 Writer::run_test done writing.
(1370|1370)  22:40:52.976978 Writer::run_test starting to write pass 4
(1370|1370)  22:40:52.977427 Writer::run_test done writing.
(1370|1370)  22:40:53.977746 Writer::run_test starting to write pass 5
(1370|1370)  22:40:53.978182 Writer::run_test done writing.
(1370|1370) Writer::run_test finished.
(1369|1369) Shutting subscriber down ...
(1369|1369) deleting entities1
(1370|1370) deleting entities1
(1370|1370) deleting participant1
(1370|1370) Shutting publisher down ...
(1370|1370) Publisher shutdown complete.
(1370|1370) done.
(1369|1369) deleting participant1
(1369|1369) Subscriber shutting down svc part
(1369|1369) Subscriber shutdown complete
(1369|1369) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->24
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1398
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 1405 started at 2023-04-21 22:40:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 1406 started at 2023-04-21 22:40:55
(1405|1405) Running colocation opt none
(1398|1398) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1406|1406) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1405|1405) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1406|1406) Topic name: Xyz::Foo
(1405|1405) Topic name: Xyz::Foo
(1405|1405) Check if 'udp1' is among supported [udp1]?
(1406|1406) Check if 'udp1' is among supported [udp1]?
(1406|1406) Writer::run_test begins.
(1406|1406)  22:40:55.477199 Writer::run_test starting to write pass 1
(1406|1406)  22:40:55.478134 Writer::run_test done writing.
(1406|1406)  22:40:56.478562 Writer::run_test starting to write pass 2
(1406|1406)  22:40:56.479036 Writer::run_test done writing.
(1406|1406)  22:40:57.479351 Writer::run_test starting to write pass 3
(1406|1406)  22:40:57.479841 Writer::run_test done writing.
(1406|1406)  22:40:58.480202 Writer::run_test starting to write pass 4
(1406|1406)  22:40:58.480680 Writer::run_test done writing.
(1406|1406)  22:40:59.480970 Writer::run_test starting to write pass 5
(1406|1406)  22:40:59.481430 Writer::run_test done writing.
(1406|1406) Writer::run_test finished.
(1405|1405) Shutting subscriber down ...
(1405|1405) deleting entities1
(1405|1405) deleting participant1
(1405|1405) Subscriber shutting down svc part
(1406|1406) deleting entities1
(1406|1406) deleting participant1
(1405|1405) Subscriber shutdown complete
(1405|1405) done.
(1406|1406) Shutting publisher down ...
(1406|1406) Publisher shutdown complete.
(1406|1406) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->25
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1421
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 1428 started at 2023-04-21 22:41:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 1429 started at 2023-04-21 22:41:00
(1428|1428) Running colocation opt none
(1421|1421) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1429|1429) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1428|1428) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1428|1428) Topic name: Xyz::Foo
(1429|1429) Topic name: Xyz::Foo
(1428|1428) Check if 'udp1' is among supported [udp1]?
(1429|1429) Check if 'udp1' is among supported [udp1]?
(1429|1429) Writer::run_test begins.
(1429|1429)  22:41:00.998127 Writer::run_test starting to write pass 1
(1429|1429)  22:41:00.998737 Writer::run_test done writing.
(1429|1429)  22:41:01.999089 Writer::run_test starting to write pass 2
(1429|1429)  22:41:01.999574 Writer::run_test done writing.
(1429|1429)  22:41:02.999898 Writer::run_test starting to write pass 3
(1429|1429)  22:41:03.000386 Writer::run_test done writing.
(1429|1429)  22:41:04.000704 Writer::run_test starting to write pass 4
(1429|1429)  22:41:04.001153 Writer::run_test done writing.
(1429|1429)  22:41:05.001477 Writer::run_test starting to write pass 5
(1429|1429)  22:41:05.001909 Writer::run_test done writing.
(1429|1429) Writer::run_test finished.
(1428|1428) Shutting subscriber down ...
(1428|1428) deleting entities1
(1428|1428) deleting participant1
(1429|1429) deleting entities1
(1429|1429) deleting participant1
(1428|1428) Subscriber shutting down svc part
(1428|1428) Subscriber shutdown complete
(1428|1428) done.
(1429|1429) Shutting publisher down ...
(1429|1429) Publisher shutdown complete.
(1429|1429) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->26
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1444
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 1451 started at 2023-04-21 22:41:06
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 1452 started at 2023-04-21 22:41:06
(1451|1451) Running colocation opt none
(1444|1444) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1451|1451) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1452|1452) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1451|1451) Topic name: Xyz::Foo
(1452|1452) Topic name: Xyz::Foo
(1451|1451) Check if 'udp1' is among supported [udp1]?
(1452|1452) Check if 'udp1' is among supported [udp1]?
(1452|1452) Writer::run_test begins.
(1452|1452)  22:41:06.512310 Writer::run_test starting to write pass 1
(1452|1452)  22:41:06.514475 Writer::run_test done writing.
(1452|1452)  22:41:07.514850 Writer::run_test starting to write pass 2
(1452|1452)  22:41:07.516065 Writer::run_test done writing.
(1452|1452)  22:41:08.516381 Writer::run_test starting to write pass 3
(1452|1452)  22:41:08.517566 Writer::run_test done writing.
(1452|1452)  22:41:09.517884 Writer::run_test starting to write pass 4
(1452|1452)  22:41:09.518341 Writer::run_test done writing.
(1452|1452)  22:41:10.518567 Writer::run_test starting to write pass 5
(1452|1452)  22:41:10.519021 Writer::run_test done writing.
(1452|1452) Writer::run_test finished.
(1451|1451) Shutting subscriber down ...
(1451|1451) deleting entities1
(1452|1452) deleting entities1
(1452|1452) deleting participant1
(1451|1451) deleting participant1
(1452|1452) Shutting publisher down ...
(1452|1452) Publisher shutdown complete.
(1452|1452) done.
(1451|1451) Subscriber shutting down svc part
(1451|1451) Subscriber shutdown complete
(1451|1451) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->27
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1467
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -f _OPENDDS_0300_UDP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 1474 started at 2023-04-21 22:41:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -f _OPENDDS_0300_UDP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 1475 started at 2023-04-21 22:41:11
(1474|1474) Running colocation opt none
(1467|1467) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1474|1474) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1475|1475) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1474|1474) Topic name: Xyz::Foo
(1475|1475) Topic name: Xyz::Foo
(1475|1475) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1475|1475) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1475|1475) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1475|1475) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1475|1475) Writer::run_test begins.
(1474|1474) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1474|1474) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1474|1474) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1474|1474) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(1475|1475)  22:41:12.075283 Writer::run_test starting to write pass 1
(1475|1475)  22:41:12.076322 Writer::run_test done writing.
(1474|1491) Check if '_OPENDDS_0300_UDP' is among negotiated [_OPENDDS_0300_UDP]?
(1475|1475)  22:41:13.076715 Writer::run_test starting to write pass 2
(1475|1475)  22:41:13.077175 Writer::run_test done writing.
(1474|1491) Check if '_OPENDDS_0300_UDP' is among negotiated [_OPENDDS_0300_UDP]?
(1475|1475)  22:41:14.077531 Writer::run_test starting to write pass 3
(1475|1475)  22:41:14.078014 Writer::run_test done writing.
(1474|1491) Check if '_OPENDDS_0300_UDP' is among negotiated [_OPENDDS_0300_UDP]?
(1475|1475)  22:41:15.078340 Writer::run_test starting to write pass 4
(1475|1475)  22:41:15.078814 Writer::run_test done writing.
(1474|1491) Check if '_OPENDDS_0300_UDP' is among negotiated [_OPENDDS_0300_UDP]?
(1475|1475)  22:41:16.079132 Writer::run_test starting to write pass 5
(1475|1475)  22:41:16.079584 Writer::run_test done writing.
(1474|1491) Check if '_OPENDDS_0300_UDP' is among negotiated [_OPENDDS_0300_UDP]?
(1475|1475) Writer::run_test finished.
(1475|1475) Check if '_OPENDDS_0300_UDP' is among negotiated [_OPENDDS_0300_UDP]?
(1474|1491) Check if '_OPENDDS_0300_UDP' is among negotiated [_OPENDDS_0300_UDP]?
(1474|1474) Shutting subscriber down ...
(1474|1474) deleting entities1
(1475|1475) deleting entities1
(1475|1475) deleting participant1
(1474|1474) deleting participant1
(1474|1474) Subscriber shutting down svc part
(1475|1475) Shutting publisher down ...
(1474|1474) Subscriber shutdown complete
(1474|1474) done.
(1475|1475) Publisher shutdown complete.
(1475|1475) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->28
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1505
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 1516 started at 2023-04-21 22:41:17
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 1517 started at 2023-04-21 22:41:17
(1516|1516) Running colocation opt none
(1505|1505) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1516|1516) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1517|1517) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1516|1516) Topic name: Xyz::Foo
(1516|1516) Check if 'udp1' is among supported [udp1]?
(1517|1517) Topic name: Xyz::Foo
(1517|1517) Check if 'udp1' is among supported [udp1]?
(1517|1517) Writer::run_test begins.
(1517|1517)  22:41:17.570183 Writer::run_test starting to write pass 1
(1517|1517)  22:41:17.571087 Writer::run_test done writing.
(1516|1529) Check if 'udp1' is among negotiated [udp1]?
(1517|1517)  22:41:18.571434 Writer::run_test starting to write pass 2
(1517|1517)  22:41:18.571904 Writer::run_test done writing.
(1516|1529) Check if 'udp1' is among negotiated [udp1]?
(1517|1517)  22:41:19.572214 Writer::run_test starting to write pass 3
(1517|1517)  22:41:19.572711 Writer::run_test done writing.
(1516|1529) Check if 'udp1' is among negotiated [udp1]?
(1517|1517)  22:41:20.573079 Writer::run_test starting to write pass 4
(1517|1517)  22:41:20.573554 Writer::run_test done writing.
(1516|1529) Check if 'udp1' is among negotiated [udp1]?
(1517|1517)  22:41:21.573850 Writer::run_test starting to write pass 5
(1517|1517)  22:41:21.574285 Writer::run_test done writing.
(1516|1529) Check if 'udp1' is among negotiated [udp1]?
(1517|1517) Writer::run_test finished.
(1517|1517) Check if 'udp1' is among negotiated [udp1]?
(1516|1529) Check if 'udp1' is among negotiated [udp1]?
(1516|1516) Shutting subscriber down ...
(1516|1516) deleting entities1
(1516|1516) deleting participant1
(1517|1517) deleting entities1
(1517|1517) deleting participant1
(1516|1516) Subscriber shutting down svc part
(1516|1516) Subscriber shutdown complete
(1516|1516) done.
(1517|1517) Shutting publisher down ...
(1517|1517) Publisher shutdown complete.
(1517|1517) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->29
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1533
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e participant -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 1540 started at 2023-04-21 22:41:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e participant -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 1541 started at 2023-04-21 22:41:22
(1540|1540) Running colocation opt none
(1533|1533) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1541|1541) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1540|1540) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1541|1541) Topic name: Xyz::Foo
(1540|1540) Topic name: Xyz::Foo
(1541|1541) Check if 'mytcp1' is among supported [mytcp1]?
(1541|1541) Writer::run_test begins.
(1540|1540) Check if 'mytcp1' is among supported [mytcp1]?
(1541|1541)  22:41:23.071252 Writer::run_test starting to write pass 1
(1541|1541)  22:41:23.071949 Writer::run_test done writing.
(1540|1556) Check if 'mytcp1' is among negotiated [mytcp1]?
(1541|1541)  22:41:24.072254 Writer::run_test starting to write pass 2
(1541|1541)  22:41:24.072577 Writer::run_test done writing.
(1540|1556) Check if 'mytcp1' is among negotiated [mytcp1]?
(1541|1541)  22:41:25.072897 Writer::run_test starting to write pass 3
(1541|1541)  22:41:25.073287 Writer::run_test done writing.
(1540|1556) Check if 'mytcp1' is among negotiated [mytcp1]?
(1541|1541)  22:41:26.073629 Writer::run_test starting to write pass 4
(1541|1541)  22:41:26.073968 Writer::run_test done writing.
(1540|1556) Check if 'mytcp1' is among negotiated [mytcp1]?
(1541|1541)  22:41:27.074282 Writer::run_test starting to write pass 5
(1541|1541)  22:41:27.074605 Writer::run_test done writing.
(1540|1556) Check if 'mytcp1' is among negotiated [mytcp1]?
(1541|1541) Writer::run_test finished.
(1541|1541) Check if 'mytcp1' is among negotiated [mytcp1]?
(1540|1556) Check if 'mytcp1' is among negotiated [mytcp1]?
(1541|1541) deleting entities1
(1541|1541) deleting participant1
(1540|1540) Shutting subscriber down ...
(1540|1540) deleting entities1
(1541|1541) Shutting publisher down ...
(1541|1541) Publisher shutdown complete.
(1541|1541) done.
(1540|1540) deleting participant1
(1540|1540) Subscriber shutting down svc part
(1540|1540) Subscriber shutdown complete
(1540|1540) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->30
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1560
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 1567 started at 2023-04-21 22:41:28
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 1568 started at 2023-04-21 22:41:28
(1567|1567) Running colocation opt none
(1560|1560) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1567|1567) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1568|1568) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1567|1567) Topic name: Xyz::Foo
(1568|1568) Topic name: Xyz::Foo
(1567|1567) Check if 'udp1' is among supported [udp1]?
(1568|1568) Check if 'udp1' is among supported [udp1]?
(1568|1568) Writer::run_test begins.
(1568|1568)  22:41:28.610763 Writer::run_test starting to write pass 1
(1568|1568)  22:41:28.611833 Writer::run_test done writing.
(1567|1581) Check if 'udp1' is among negotiated [udp1]?
(1568|1568)  22:41:29.612247 Writer::run_test starting to write pass 2
(1568|1568)  22:41:29.612746 Writer::run_test done writing.
(1567|1581) Check if 'udp1' is among negotiated [udp1]?
(1568|1568)  22:41:30.613075 Writer::run_test starting to write pass 3
(1568|1568)  22:41:30.613566 Writer::run_test done writing.
(1567|1581) Check if 'udp1' is among negotiated [udp1]?
(1568|1568)  22:41:31.613898 Writer::run_test starting to write pass 4
(1568|1568)  22:41:31.614347 Writer::run_test done writing.
(1567|1581) Check if 'udp1' is among negotiated [udp1]?
(1568|1568)  22:41:32.614643 Writer::run_test starting to write pass 5
(1568|1568)  22:41:32.615087 Writer::run_test done writing.
(1567|1581) Check if 'udp1' is among negotiated [udp1]?
(1568|1568) Writer::run_test finished.
(1568|1568) Check if 'udp1' is among negotiated [udp1]?
(1567|1581) Check if 'udp1' is among negotiated [udp1]?
(1567|1567) Shutting subscriber down ...
(1567|1567) deleting entities1
(1568|1568) deleting entities1
(1568|1568) deleting participant1
(1568|1568) Shutting publisher down ...
(1567|1567) deleting participant1
(1568|1568) Publisher shutdown complete.
(1568|1568) done.
(1567|1567) Subscriber shutting down svc part
(1567|1567) Subscriber shutdown complete
(1567|1567) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->31
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1584
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 1593 started at 2023-04-21 22:41:33
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 1594 started at 2023-04-21 22:41:33
(1593|1593) Running colocation opt none
(1584|1584) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1593|1593) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1594|1594) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1593|1593) Topic name: Xyz::Foo
(1594|1594) Topic name: Xyz::Foo
(1593|1593) Check if 'mytcp1' is among supported [mytcp1]?
(1594|1594) Check if 'mytcp1' is among supported [mytcp1]?
(1594|1594) Writer::run_test begins.
(1594|1594)  22:41:34.120124 Writer::run_test starting to write pass 1
(1594|1594)  22:41:34.120770 Writer::run_test done writing.
(1593|1607) Check if 'mytcp1' is among negotiated [mytcp1]?
(1594|1594)  22:41:35.121080 Writer::run_test starting to write pass 2
(1594|1594)  22:41:35.121425 Writer::run_test done writing.
(1593|1607) Check if 'mytcp1' is among negotiated [mytcp1]?
(1594|1594)  22:41:36.121758 Writer::run_test starting to write pass 3
(1594|1594)  22:41:36.122062 Writer::run_test done writing.
(1593|1607) Check if 'mytcp1' is among negotiated [mytcp1]?
(1594|1594)  22:41:37.122408 Writer::run_test starting to write pass 4
(1594|1594)  22:41:37.122696 Writer::run_test done writing.
(1593|1607) Check if 'mytcp1' is among negotiated [mytcp1]?
(1594|1594)  22:41:38.122982 Writer::run_test starting to write pass 5
(1594|1594)  22:41:38.123253 Writer::run_test done writing.
(1593|1607) Check if 'mytcp1' is among negotiated [mytcp1]?
(1594|1594) Writer::run_test finished.
(1594|1594) Check if 'mytcp1' is among negotiated [mytcp1]?
(1593|1607) Check if 'mytcp1' is among negotiated [mytcp1]?
(1593|1593) Shutting subscriber down ...
(1593|1593) deleting entities1
(1593|1593) deleting participant1
(1593|1593) Subscriber shutting down svc part
(1593|1593) Subscriber shutdown complete
(1593|1593) done.
(1594|1594) deleting entities1
(1594|1594) deleting participant1
(1594|1594) Shutting publisher down ...
(1594|1594) Publisher shutdown complete.
(1594|1594) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->32
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1622
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 1630 started at 2023-04-21 22:41:39
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 1631 started at 2023-04-21 22:41:39
(1630|1630) Running colocation opt none
(1622|1622) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1631|1631) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1630|1630) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1631|1631) Topic name: Xyz::Foo
(1630|1630) Topic name: Xyz::Foo
(1631|1631) Check if 'udp1' is among supported [udp1]?
(1631|1631) Writer::run_test begins.
(1630|1630) Check if 'udp1' is among supported [udp1]?
(1631|1631)  22:41:39.643681 Writer::run_test starting to write pass 1
(1631|1631)  22:41:39.644662 Writer::run_test done writing.
(1630|1645) Check if 'udp1' is among negotiated [udp1]?
(1631|1631)  22:41:40.644971 Writer::run_test starting to write pass 2
(1631|1631)  22:41:40.645443 Writer::run_test done writing.
(1630|1645) Check if 'udp1' is among negotiated [udp1]?
(1631|1631)  22:41:41.645756 Writer::run_test starting to write pass 3
(1631|1631)  22:41:41.646228 Writer::run_test done writing.
(1630|1645) Check if 'udp1' is among negotiated [udp1]?
(1631|1631)  22:41:42.646553 Writer::run_test starting to write pass 4
(1631|1631)  22:41:42.647026 Writer::run_test done writing.
(1630|1645) Check if 'udp1' is among negotiated [udp1]?
(1631|1631)  22:41:43.647351 Writer::run_test starting to write pass 5
(1631|1631)  22:41:43.647802 Writer::run_test done writing.
(1630|1645) Check if 'udp1' is among negotiated [udp1]?
(1631|1631) Writer::run_test finished.
(1631|1631) Check if 'udp1' is among negotiated [udp1]?
(1630|1645) Check if 'udp1' is among negotiated [udp1]?
(1630|1630) Shutting subscriber down ...
(1630|1630) deleting entities1
(1631|1631) deleting entities1
(1631|1631) deleting participant1
(1631|1631) Shutting publisher down ...
(1631|1631) Publisher shutdown complete.
(1631|1631) done.
(1630|1630) deleting participant1
(1630|1630) Subscriber shutting down svc part
(1630|1630) Subscriber shutdown complete
(1630|1630) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->33
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1646
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 1653 started at 2023-04-21 22:41:44
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 1654 started at 2023-04-21 22:41:44
(1653|1653) Running colocation opt none
(1646|1646) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1654|1654) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1653|1653) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1654|1654) Topic name: Xyz::Foo
(1653|1653) Topic name: Xyz::Foo
(1654|1654) Check if 'mytcp1' is among supported [mytcp1]?
(1654|1654) Writer::run_test begins.
(1653|1653) Check if 'mytcp1' is among supported [mytcp1]?
(1654|1654)  22:41:45.149566 Writer::run_test starting to write pass 1
(1654|1654)  22:41:45.150242 Writer::run_test done writing.
(1653|1672) Check if 'mytcp1' is among negotiated [mytcp1]?
(1654|1654)  22:41:46.150563 Writer::run_test starting to write pass 2
(1654|1654)  22:41:46.150973 Writer::run_test done writing.
(1653|1672) Check if 'mytcp1' is among negotiated [mytcp1]?
(1654|1654)  22:41:47.151306 Writer::run_test starting to write pass 3
(1654|1654)  22:41:47.151723 Writer::run_test done writing.
(1653|1672) Check if 'mytcp1' is among negotiated [mytcp1]?
(1654|1654)  22:41:48.152003 Writer::run_test starting to write pass 4
(1654|1654)  22:41:48.152360 Writer::run_test done writing.
(1653|1672) Check if 'mytcp1' is among negotiated [mytcp1]?
(1654|1654)  22:41:49.152660 Writer::run_test starting to write pass 5
(1654|1654)  22:41:49.152987 Writer::run_test done writing.
(1653|1672) Check if 'mytcp1' is among negotiated [mytcp1]?
(1654|1654) Writer::run_test finished.
(1654|1654) Check if 'mytcp1' is among negotiated [mytcp1]?
(1653|1672) Check if 'mytcp1' is among negotiated [mytcp1]?
(1653|1653) Shutting subscriber down ...
(1653|1653) deleting entities1
(1654|1654) deleting entities1
(1654|1654) deleting participant1
(1654|1654) Shutting publisher down ...
(1654|1654) Publisher shutdown complete.
(1654|1654) done.
(1653|1653) deleting participant1
(1653|1653) Subscriber shutting down svc part
(1653|1653) Subscriber shutdown complete
(1653|1653) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->34
status->0
failed->0
PASSED: 34

auto_run_tests_finished: tests/DCPS/ConfigTransports/run_test.pl Time:154s Result:0

==============================================================================

tests/DCPS/RtpsMessages/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsMessages/RtpsMessagesTest  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile RtpsMessagesTest.log -DCPSPendingTimeout 3 
RtpsMessagesTest PID: 1681 started at 2023-04-21 22:41:50
test PASSED.

auto_run_tests_finished: tests/DCPS/RtpsMessages/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/RtpsDiscovery/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/RtpsDiscoveryTest -DCPSConfigFile rtps_disc.ini -DCPSPendingTimeout 3 
test PID: 1683 started at 2023-04-21 22:41:50
(1683|1683) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
1683 Sub Domain Participant GUID=0103000c.297a35f2.06932d1c.000001c1(95ce8a12)
1683 Pub Domain Participant GUID=0103000c.297a35f2.06932d1d.000001c1(a8aea3a2)
1683 Read Participant BIT GUID=0103000c.297a35f2.06932d1d.000001c1(a8aea3a2) handle=3
1683 0103000c.297a35f2.06932d1c.000001c1(95ce8a12) discovered 0103000c.297a35f2.06932d1d.000001c1(a8aea3a2)
1683 0103000c.297a35f2.06932d1d.000001c1(a8aea3a2) discovered 0103000c.297a35f2.06932d1c.000001c1(95ce8a12)
1683 Read Publication BIT with key: 0103000c.297a35f2.06932d1d.00000003(c4df317f) and handle 10
	Participant's GUID=0103000c.297a35f2.06932d1d.000001c1(a8aea3a2)
	Topic: Movie Discussion List	Type: TestMsg
1683 Read Subscription BIT with key: 0103000c.297a35f2.06932d1c.00000004(67db8d6c) and handle 12
	Participant's GUID=0103000c.297a35f2.06932d1c.000001c1(95ce8a12)
	Topic: Movie Discussion List	Type: TestMsg
1683 Read Publication BIT with key: 0103000c.297a35f2.06932d1d.01000003(7c63561a) and handle 13
	Participant's GUID=0103000c.297a35f2.06932d1d.000001c1(a8aea3a2)
	Topic: Movie Discussion List	Type: TestMsg
1683 Read data sample: 42
1683 Read Participant BIT GUID=0103000c.297a35f2.06932d1d.000001c1(a8aea3a2) handle=3
1683 Read Publication BIT with key: 0103000c.297a35f2.06932d1d.01000003(7c63561a) and handle 13
	Participant's GUID=0103000c.297a35f2.06932d1d.000001c1(a8aea3a2)
	Topic: Movie Discussion List	Type: TestMsg
1683 Read Subscription BIT with key: 0103000c.297a35f2.06932d1c.00000004(67db8d6c) and handle 12
	Participant's GUID=0103000c.297a35f2.06932d1c.000001c1(95ce8a12)
	Topic: Movie Discussion List	Type: TestMsg
1683 Read Publication BIT with key: 0103000c.297a35f2.06932d1d.01000003(7c63561a) and handle 13
	Participant's GUID=0103000c.297a35f2.06932d1d.000001c1(a8aea3a2)
	Topic: Movie Discussion List	Type: TestMsg
1683 Read Subscription BIT with key: 0103000c.297a35f2.06932d1c.00000004(67db8d6c) and handle 12
	Participant's GUID=0103000c.297a35f2.06932d1c.000001c1(95ce8a12)
	Topic: Movie Discussion List	Type: TestMsg
1683 Cleaning up test
test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/RtpsDiscoveryTest -DCPSConfigFile rtps_disc_tcp.ini -DCPSPendingTimeout 3 
test PID: 1693 started at 2023-04-21 22:42:02
1693 Sub Domain Participant GUID=0103000c.297a35f2.069db54f.000001c1(4b77d07a)
1693 Pub Domain Participant GUID=0103000c.297a35f2.069db550.000001c1(a9c7d029)
1693 Read Participant BIT GUID=0103000c.297a35f2.069db550.000001c1(a9c7d029) handle=3
1693 0103000c.297a35f2.069db54f.000001c1(4b77d07a) discovered 0103000c.297a35f2.069db550.000001c1(a9c7d029)
1693 0103000c.297a35f2.069db550.000001c1(a9c7d029) discovered 0103000c.297a35f2.069db54f.000001c1(4b77d07a)
(1693|1693) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
1693 Read Publication BIT with key: 0103000c.297a35f2.069db550.00000003(c5b642f4) and handle 10
	Participant's GUID=0103000c.297a35f2.069db550.000001c1(a9c7d029)
	Topic: Movie Discussion List	Type: TestMsg
1693 Read Subscription BIT with key: 0103000c.297a35f2.069db54f.00000004(b962d704) and handle 12
	Participant's GUID=0103000c.297a35f2.069db54f.000001c1(4b77d07a)
	Topic: Movie Discussion List	Type: TestMsg
1693 Read Publication BIT with key: 0103000c.297a35f2.069db550.01000003(7d0a2591) and handle 13
	Participant's GUID=0103000c.297a35f2.069db550.000001c1(a9c7d029)
	Topic: Movie Discussion List	Type: TestMsg
1693 Read data sample: 42
1693 Read Participant BIT GUID=0103000c.297a35f2.069db550.000001c1(a9c7d029) handle=3
1693 Read Publication BIT with key: 0103000c.297a35f2.069db550.01000003(7d0a2591) and handle 13
	Participant's GUID=0103000c.297a35f2.069db550.000001c1(a9c7d029)
	Topic: Movie Discussion List	Type: TestMsg
1693 Read Subscription BIT with key: 0103000c.297a35f2.069db54f.00000004(b962d704) and handle 12
	Participant's GUID=0103000c.297a35f2.069db54f.000001c1(4b77d07a)
	Topic: Movie Discussion List	Type: TestMsg
1693 Read Publication BIT with key: 0103000c.297a35f2.069db550.01000003(7d0a2591) and handle 13
	Participant's GUID=0103000c.297a35f2.069db550.000001c1(a9c7d029)
	Topic: Movie Discussion List	Type: TestMsg
1693 Read Subscription BIT with key: 0103000c.297a35f2.069db54f.00000004(b962d704) and handle 12
	Participant's GUID=0103000c.297a35f2.069db54f.000001c1(4b77d07a)
	Topic: Movie Discussion List	Type: TestMsg
1693 Cleaning up test
test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/RtpsDiscoveryTest -DCPSConfigFile rtps_disc_group.ini -DCPSPendingTimeout 3 
test PID: 1709 started at 2023-04-21 22:42:13
(1709|1709) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
1709 Sub Domain Participant GUID=0103000c.297a35f2.06ad7bb4.000001c1(f7126ba0)
1709 Pub Domain Participant GUID=0103000c.297a35f2.06ad7bb5.000001c1(ca724210)
1709 Read Participant BIT GUID=0103000c.297a35f2.06ad7bb5.000001c1(ca724210) handle=3
1709 0103000c.297a35f2.06ad7bb4.000001c1(f7126ba0) discovered 0103000c.297a35f2.06ad7bb5.000001c1(ca724210)
1709 0103000c.297a35f2.06ad7bb5.000001c1(ca724210) discovered 0103000c.297a35f2.06ad7bb4.000001c1(f7126ba0)
1709 Read Publication BIT with key: 0103000c.297a35f2.06ad7bb5.00000003(a603d0cd) and handle 10
	Participant's GUID=0103000c.297a35f2.06ad7bb5.000001c1(ca724210)
	Topic: Movie Discussion List	Type: TestMsg
1709 Read Subscription BIT with key: 0103000c.297a35f2.06ad7bb4.00000004(05076cde) and handle 12
	Participant's GUID=0103000c.297a35f2.06ad7bb4.000001c1(f7126ba0)
	Topic: Movie Discussion List	Type: TestMsg
1709 Read Publication BIT with key: 0103000c.297a35f2.06ad7bb5.01000003(1ebfb7a8) and handle 13
	Participant's GUID=0103000c.297a35f2.06ad7bb5.000001c1(ca724210)
	Topic: Movie Discussion List	Type: TestMsg
1709 Read data sample: 42
1709 Read Participant BIT GUID=0103000c.297a35f2.06ad7bb5.000001c1(ca724210) handle=3
1709 Read Publication BIT with key: 0103000c.297a35f2.06ad7bb5.01000003(1ebfb7a8) and handle 13
	Participant's GUID=0103000c.297a35f2.06ad7bb5.000001c1(ca724210)
	Topic: Movie Discussion List	Type: TestMsg
1709 Read Subscription BIT with key: 0103000c.297a35f2.06ad7bb4.00000004(05076cde) and handle 12
	Participant's GUID=0103000c.297a35f2.06ad7bb4.000001c1(f7126ba0)
	Topic: Movie Discussion List	Type: TestMsg
1709 Read Publication BIT with key: 0103000c.297a35f2.06ad7bb5.01000003(1ebfb7a8) and handle 13
	Participant's GUID=0103000c.297a35f2.06ad7bb5.000001c1(ca724210)
	Topic: Movie Discussion List	Type: TestMsg
1709 Read Subscription BIT with key: 0103000c.297a35f2.06ad7bb4.00000004(05076cde) and handle 12
	Participant's GUID=0103000c.297a35f2.06ad7bb4.000001c1(f7126ba0)
	Topic: Movie Discussion List	Type: TestMsg
1709 Cleaning up test
test PASSED.
Running sedp discovery leak test (different user data)
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/RtpsDiscoveryTest -DCPSConfigFile rtps_disc_group.ini -DCPSPendingTimeout 3 
test1 PID: 1719 started at 2023-04-21 22:42:25
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/RtpsDiscoveryTest -DCPSConfigFile rtps_disc_group.ini -value_base 100 -DCPSPendingTimeout 3 
test2 PID: 1720 started at 2023-04-21 22:42:25
(1720|1720) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(1719|1719) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
1720 TestConfig::set base=100
1720 Sub Domain Participant GUID=0103000c.297a35f2.06b86ff8.000001c1(c7b02d0c)
1720 Pub Domain Participant GUID=0103000c.297a35f2.06b86ff9.000001c1(fad004bc)
1719 Sub Domain Participant GUID=0103000c.297a35f2.06b7bc72.000001c1(5e049b57)
1719 Pub Domain Participant GUID=0103000c.297a35f2.06b7bc73.000001c1(6364b2e7)
1720 Read Participant BIT GUID=0103000c.297a35f2.06b86ff9.000001c1(fad004bc) handle=3
1720 0103000c.297a35f2.06b86ff8.000001c1(c7b02d0c) discovered 0103000c.297a35f2.06b86ff9.000001c1(fad004bc)
1719 Read Participant BIT GUID=0103000c.297a35f2.06b7bc73.000001c1(6364b2e7) handle=3
1719 0103000c.297a35f2.06b7bc72.000001c1(5e049b57) discovered 0103000c.297a35f2.06b7bc73.000001c1(6364b2e7)
1720 0103000c.297a35f2.06b86ff9.000001c1(fad004bc) discovered 0103000c.297a35f2.06b86ff8.000001c1(c7b02d0c)
1719 0103000c.297a35f2.06b7bc73.000001c1(6364b2e7) discovered 0103000c.297a35f2.06b7bc72.000001c1(5e049b57)
1720 Read Publication BIT with key: 0103000c.297a35f2.06b86ff9.00000003(96a19661) and handle 10
	Participant's GUID=0103000c.297a35f2.06b86ff9.000001c1(fad004bc)
	Topic: Movie Discussion List	Type: TestMsg
1720 Read Subscription BIT with key: 0103000c.297a35f2.06b86ff8.00000004(35a52a72) and handle 12
	Participant's GUID=0103000c.297a35f2.06b86ff8.000001c1(c7b02d0c)
	Topic: Movie Discussion List	Type: TestMsg
1719 Read Publication BIT with key: 0103000c.297a35f2.06b7bc73.00000003(0f15203a) and handle 10
	Participant's GUID=0103000c.297a35f2.06b7bc73.000001c1(6364b2e7)
	Topic: Movie Discussion List	Type: TestMsg
1719 Read Subscription BIT with key: 0103000c.297a35f2.06b7bc72.00000004(ac119c29) and handle 12
	Participant's GUID=0103000c.297a35f2.06b7bc72.000001c1(5e049b57)
	Topic: Movie Discussion List	Type: TestMsg
1720 Read Publication BIT with key: 0103000c.297a35f2.06b86ff9.01000003(2e1df104) and handle 13
	Participant's GUID=0103000c.297a35f2.06b86ff9.000001c1(fad004bc)
	Topic: Movie Discussion List	Type: TestMsg
1720 Read data sample: 42
1719 Read Publication BIT with key: 0103000c.297a35f2.06b7bc73.01000003(b7a9475f) and handle 13
	Participant's GUID=0103000c.297a35f2.06b7bc73.000001c1(6364b2e7)
	Topic: Movie Discussion List	Type: TestMsg
1719 Read data sample: 42
1720 Read Participant BIT GUID=0103000c.297a35f2.06b86ff9.000001c1(fad004bc) handle=3
1720 Read Publication BIT with key: 0103000c.297a35f2.06b86ff9.01000003(2e1df104) and handle 13
	Participant's GUID=0103000c.297a35f2.06b86ff9.000001c1(fad004bc)
	Topic: Movie Discussion List	Type: TestMsg
1720 Read Subscription BIT with key: 0103000c.297a35f2.06b86ff8.00000004(35a52a72) and handle 12
	Participant's GUID=0103000c.297a35f2.06b86ff8.000001c1(c7b02d0c)
	Topic: Movie Discussion List	Type: TestMsg
1719 Read Participant BIT GUID=0103000c.297a35f2.06b7bc73.000001c1(6364b2e7) handle=3
1719 Read Publication BIT with key: 0103000c.297a35f2.06b7bc73.01000003(b7a9475f) and handle 13
	Participant's GUID=0103000c.297a35f2.06b7bc73.000001c1(6364b2e7)
	Topic: Movie Discussion List	Type: TestMsg
1719 Read Subscription BIT with key: 0103000c.297a35f2.06b7bc72.00000004(ac119c29) and handle 12
	Participant's GUID=0103000c.297a35f2.06b7bc72.000001c1(5e049b57)
	Topic: Movie Discussion List	Type: TestMsg
1720 Read Publication BIT with key: 0103000c.297a35f2.06b86ff9.01000003(2e1df104) and handle 13
	Participant's GUID=0103000c.297a35f2.06b86ff9.000001c1(fad004bc)
	Topic: Movie Discussion List	Type: TestMsg
1720 Read Subscription BIT with key: 0103000c.297a35f2.06b86ff8.00000004(35a52a72) and handle 12
	Participant's GUID=0103000c.297a35f2.06b86ff8.000001c1(c7b02d0c)
	Topic: Movie Discussion List	Type: TestMsg
1719 Read Publication BIT with key: 0103000c.297a35f2.06b7bc73.01000003(b7a9475f) and handle 13
	Participant's GUID=0103000c.297a35f2.06b7bc73.000001c1(6364b2e7)
	Topic: Movie Discussion List	Type: TestMsg
1719 Read Subscription BIT with key: 0103000c.297a35f2.06b7bc72.00000004(ac119c29) and handle 12
	Participant's GUID=0103000c.297a35f2.06b7bc72.000001c1(5e049b57)
	Topic: Movie Discussion List	Type: TestMsg
1720 Cleaning up test
1719 Cleaning up test
test PASSED.
Running sedp discovery leak test (same user data)
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/RtpsDiscoveryTest -DCPSConfigFile rtps_disc_group.ini -DCPSPendingTimeout 3 
test1 PID: 1745 started at 2023-04-21 22:42:37
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/RtpsDiscoveryTest -DCPSConfigFile rtps_disc_group.ini  -DCPSPendingTimeout 3 
test2 PID: 1746 started at 2023-04-21 22:42:37
(1746|1746) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(1745|1745) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
1746 Sub Domain Participant GUID=0103000c.297a35f2.06d20d05.000001c1(1dee041a)
1746 Pub Domain Participant GUID=0103000c.297a35f2.06d20d06.000001c1(5a4e7eca)
1745 Sub Domain Participant GUID=0103000c.297a35f2.06d1c371.000001c1(0bb6274c)
1745 Pub Domain Participant GUID=0103000c.297a35f2.06d1c372.000001c1(4c165d9c)
1746 Read Participant BIT GUID=0103000c.297a35f2.06d20d06.000001c1(5a4e7eca) handle=3
1746 0103000c.297a35f2.06d20d05.000001c1(1dee041a) discovered 0103000c.297a35f2.06d20d06.000001c1(5a4e7eca)
1745 Read Participant BIT GUID=0103000c.297a35f2.06d1c372.000001c1(4c165d9c) handle=3
1745 0103000c.297a35f2.06d1c371.000001c1(0bb6274c) discovered 0103000c.297a35f2.06d1c372.000001c1(4c165d9c)
1746 0103000c.297a35f2.06d20d06.000001c1(5a4e7eca) discovered 0103000c.297a35f2.06d20d05.000001c1(1dee041a)
1745 0103000c.297a35f2.06d1c372.000001c1(4c165d9c) discovered 0103000c.297a35f2.06d1c371.000001c1(0bb6274c)
1746 Read Publication BIT with key: 0103000c.297a35f2.06d20d06.00000003(363fec17) and handle 10
	Participant's GUID=0103000c.297a35f2.06d20d06.000001c1(5a4e7eca)
	Topic: Movie Discussion List	Type: TestMsg
1745 Read Publication BIT with key: 0103000c.297a35f2.06d1c372.00000003(2067cf41) and handle 10
	Participant's GUID=0103000c.297a35f2.06d1c372.000001c1(4c165d9c)
	Topic: Movie Discussion List	Type: TestMsg
1745 Read Subscription BIT with key: 0103000c.297a35f2.06d1c371.00000004(f9a32032) and handle 12
	Participant's GUID=0103000c.297a35f2.06d1c371.000001c1(0bb6274c)
	Topic: Movie Discussion List	Type: TestMsg
1746 Read Subscription BIT with key: 0103000c.297a35f2.06d20d05.00000004(effb0364) and handle 12
	Participant's GUID=0103000c.297a35f2.06d20d05.000001c1(1dee041a)
	Topic: Movie Discussion List	Type: TestMsg
1745 Read Publication BIT with key: 0103000c.297a35f2.06d1c372.01000003(98dba824) and handle 13
	Participant's GUID=0103000c.297a35f2.06d1c372.000001c1(4c165d9c)
	Topic: Movie Discussion List	Type: TestMsg
1746 Read Publication BIT with key: 0103000c.297a35f2.06d20d06.01000003(8e838b72) and handle 13
	Participant's GUID=0103000c.297a35f2.06d20d06.000001c1(5a4e7eca)
	Topic: Movie Discussion List	Type: TestMsg
1745 Read data sample: 42
1746 Read data sample: 42
1745 Read Participant BIT GUID=0103000c.297a35f2.06d1c372.000001c1(4c165d9c) handle=3
1745 Read Publication BIT with key: 0103000c.297a35f2.06d1c372.01000003(98dba824) and handle 13
	Participant's GUID=0103000c.297a35f2.06d1c372.000001c1(4c165d9c)
	Topic: Movie Discussion List	Type: TestMsg
1745 Read Subscription BIT with key: 0103000c.297a35f2.06d1c371.00000004(f9a32032) and handle 12
	Participant's GUID=0103000c.297a35f2.06d1c371.000001c1(0bb6274c)
	Topic: Movie Discussion List	Type: TestMsg
1746 Read Participant BIT GUID=0103000c.297a35f2.06d20d06.000001c1(5a4e7eca) handle=3
1746 Read Publication BIT with key: 0103000c.297a35f2.06d20d06.01000003(8e838b72) and handle 13
	Participant's GUID=0103000c.297a35f2.06d20d06.000001c1(5a4e7eca)
	Topic: Movie Discussion List	Type: TestMsg
1746 Read Subscription BIT with key: 0103000c.297a35f2.06d20d05.00000004(effb0364) and handle 12
	Participant's GUID=0103000c.297a35f2.06d20d05.000001c1(1dee041a)
	Topic: Movie Discussion List	Type: TestMsg
1745 Read Publication BIT with key: 0103000c.297a35f2.06d1c372.01000003(98dba824) and handle 13
	Participant's GUID=0103000c.297a35f2.06d1c372.000001c1(4c165d9c)
	Topic: Movie Discussion List	Type: TestMsg
1745 Read Subscription BIT with key: 0103000c.297a35f2.06d1c371.00000004(f9a32032) and handle 12
	Participant's GUID=0103000c.297a35f2.06d1c371.000001c1(0bb6274c)
	Topic: Movie Discussion List	Type: TestMsg
1746 Read Publication BIT with key: 0103000c.297a35f2.06d20d06.01000003(8e838b72) and handle 13
	Participant's GUID=0103000c.297a35f2.06d20d06.000001c1(5a4e7eca)
	Topic: Movie Discussion List	Type: TestMsg
1746 Read Subscription BIT with key: 0103000c.297a35f2.06d20d05.00000004(effb0364) and handle 12
	Participant's GUID=0103000c.297a35f2.06d20d05.000001c1(1dee041a)
	Topic: Movie Discussion List	Type: TestMsg
1745 Cleaning up test
1746 Cleaning up test
test PASSED.

auto_run_tests_finished: tests/DCPS/RtpsDiscovery/run_test.pl Time:58s Result:0

==============================================================================

tests/DCPS/MultiDiscovery/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 1775
*********************************
MultiDiscoveryTest creates 3 processes, each with a DW and DR.
DW's in each process use different forms of discovery to find/associate with the DR in their respective domain.
One DW is specified as the 'origin' and sends 10 messages to its associated DR.
Upon receipt, DR's pass the message to their process's DW which add's its id to the message's from field
and relays the messgae on until the loop is completed at the 'origin' DR
*********************************
Spawning alpha - Writer (12) in domain 12 using default discovery and Reader (13) in domain 31 using rtps discovery
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiDiscovery/MultiDiscoveryTest -DCPSConfigFile config.ini -DCPSDebugLevel 0 -origin 1 -reliable 1 -dw_static_disc 0 -dr_static_disc 0 -wdomain 12 -rdomain 31 -writer 000012 -reader 000013 -DCPSPendingTimeout 3 
alpha PID: 1782 started at 2023-04-21 22:42:49
Spawning beta - Writer (23) in domain 23 using static discovery and Reader (21) in domain 12 using default discovery
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiDiscovery/MultiDiscoveryTest -DCPSConfigFile config.ini -DCPSDebugLevel 0 -origin 0 -reliable 1 -dw_static_disc 1 -dr_static_disc 0 -wdomain 23 -rdomain 12 -dw_participant 000000000023 -writer 000023 -reader 000021 -DCPSPendingTimeout 3 
beta PID: 1783 started at 2023-04-21 22:42:49
Spawning gamma - Writer (31) in domain 31 using rtps discovery and Reader (32) in domain 23 using static discovery
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiDiscovery/MultiDiscoveryTest -DCPSConfigFile config.ini -DCPSDebugLevel 0 -origin 0 -reliable 1 -dw_static_disc 0 -dr_static_disc 1 -wdomain 31 -rdomain 23 -dr_participant 000000000032 -writer 000031 -reader 000032 -DCPSPendingTimeout 3 
gamma PID: 1784 started at 2023-04-21 22:42:49
(1782|1782) INFO: set_DCPS_debug_level: set to 0
(1783|1783) INFO: set_DCPS_debug_level: set to 0
(1783|1783) NOTICE: using DCPSDebugLevel value from command option (overrides value if it's in config file)
(1782|1782) NOTICE: using DCPSDebugLevel value from command option (overrides value if it's in config file)
(1783|1783) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(1782|1782) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(1782|1782) Service_Participant::get_domain_participant_factory: This is OpenDDS 3.25.0-dev using ACE 6.2a_p27
(1782|1782) Service_Participant::get_domain_participant_factory: log_level: debug DCPS_debug_level: 0
(1782|1782) Service_Participant::get_domain_participant_factory: machine: flea, x86_64 platform: Linux, 2.6.32-642.el6.x86_64, #1 SMP Wed Apr 13 00:51:26 EDT 2016
(1782|1782) Service_Participant::get_domain_participant_factory: compiler: g++ version 4.4.0
(1782|1782) main() - writer domain: 12
(1782|1782) main() - reader domain: 31
(1783|1783) Service_Participant::get_domain_participant_factory: This is OpenDDS 3.25.0-dev using ACE 6.2a_p27
(1783|1783) Service_Participant::get_domain_participant_factory: log_level: debug DCPS_debug_level: 0
(1783|1783) Service_Participant::get_domain_participant_factory: machine: flea, x86_64 platform: Linux, 2.6.32-642.el6.x86_64, #1 SMP Wed Apr 13 00:51:26 EDT 2016
(1783|1783) Service_Participant::get_domain_participant_factory: compiler: g++ version 4.4.0
(1784|1784) INFO: set_DCPS_debug_level: set to 0
(1784|1784) NOTICE: using DCPSDebugLevel value from command option (overrides value if it's in config file)
(1784|1784) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(1783|1783) main() - writer domain: 23
(1783|1783) main() - reader domain: 12
(1782|1788) INFO: MulticastManager::process: joined group 239.255.0.1:15282 on eth0/10.201.200.79 (0xf7a7a0 joined count 1)
(1782|1788) INFO: MulticastManager::process: joined group ff03::1:15282 on eth0/fe80::20c:29ff:fe7a:35f2 (0xf7a7a0 joined count 2)
(1782|1788) INFO: MulticastManager::process: joined group 239.255.0.1:15282 on lo/127.0.0.1 (0xf7a7a0 joined count 3)
(1782|1788) INFO: MulticastManager::process: joined group ff03::1:15282 on lo/::1 (0xf7a7a0 joined count 4)
(1782|1788) INFO: MulticastManager::process: joined group 239.255.0.1:15282 on virbr0/192.168.122.1 (0xf7a7a0 joined count 5)
(1784|1784) Service_Participant::get_domain_participant_factory: This is OpenDDS 3.25.0-dev using ACE 6.2a_p27
(1784|1784) Service_Participant::get_domain_participant_factory: log_level: debug DCPS_debug_level: 0
(1784|1784) Service_Participant::get_domain_participant_factory: machine: flea, x86_64 platform: Linux, 2.6.32-642.el6.x86_64, #1 SMP Wed Apr 13 00:51:26 EDT 2016
(1784|1784) Service_Participant::get_domain_participant_factory: compiler: g++ version 4.4.0
(1784|1784) main() - writer domain: 31
(1784|1784) main() - reader domain: 23
(1784|1784) Starting DataWriter 000031
(1784|1793) INFO: MulticastManager::process: joined group 239.255.0.1:15282 on eth0/10.201.200.79 (0x13b82d0 joined count 1)
(1784|1793) INFO: MulticastManager::process: joined group ff03::1:15282 on eth0/fe80::20c:29ff:fe7a:35f2 (0x13b82d0 joined count 2)
(1784|1793) INFO: MulticastManager::process: joined group 239.255.0.1:15282 on lo/127.0.0.1 (0x13b82d0 joined count 3)
(1784|1793) INFO: MulticastManager::process: joined group ff03::1:15282 on lo/::1 (0x13b82d0 joined count 4)
(1784|1793) INFO: MulticastManager::process: joined group 239.255.0.1:15282 on virbr0/192.168.122.1 (0x13b82d0 joined count 5)
(1784|1784) Starting DataReader 000032 using writer with id: 000031
(1775|1775) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1783|1783) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1782|1782) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(1783|1783) Starting DataWriter 000023
(1782|1782) Starting DataWriter 000012
(1783|1783) Starting DataReader 000021 using writer with id: 000023
(1782|1782) Starting DataReader 000013 using writer with id: 000012
(1782|1782) DataWriter 000012 is the 'origin' so spawn writer task
(1782|1812) WriterTask::svc - starting for writer_id: 000012
(1782|1812) DataWriter 000012 has 0 of 1 readers
(1782|1812) DataWriter 000012 has 1 of 1 readers
(1783|1809) DataReader 000021 has received message: 0 from: 000012
(1783|1809) DataWriter 000023 has 0 of 1 readers
(1782|1812) DataWriter 000012 is waiting for acknowledgments
(1783|1809) DataWriter 000023 has 1 of 1 readers
(1783|1809) DataReader 000021 has received message: 1 from: 000012
(1783|1809) DataWriter 000023 has 1 of 1 readers
(1783|1809) DataReader 000021 has received message: 2 from: 000012
(1783|1809) DataWriter 000023 has 1 of 1 readers
(1784|1799) DataReader 000032 has received message: 0 from: 000012->000023
(1784|1799) DataWriter 000031 has 0 of 1 readers
(1783|1809) DataReader 000021 has received message: 3 from: 000012
(1783|1809) DataWriter 000023 has 1 of 1 readers
(1783|1809) DataReader 000021 has received message: 4 from: 000012
(1783|1809) DataWriter 000023 has 1 of 1 readers
(1783|1809) DataReader 000021 has received message: 5 from: 000012
(1783|1809) DataWriter 000023 has 1 of 1 readers
(1783|1809) DataReader 000021 has received message: 6 from: 000012
(1783|1809) DataWriter 000023 has 1 of 1 readers
(1783|1809) DataReader 000021 has received message: 7 from: 000012
(1783|1809) DataWriter 000023 has 1 of 1 readers
(1783|1809) DataReader 000021 has received message: 8 from: 000012
(1783|1809) DataWriter 000023 has 1 of 1 readers
(1783|1809) DataReader 000021 has received message: 9 from: 000012
(1783|1809) DataWriter 000023 has 1 of 1 readers
(1783|1809) DataReader 000021 has received expected number of samples
(1783|1809) DataWriter 000023 is waiting for acknowledgments
(1784|1799) DataWriter 000031 has 1 of 1 readers
(1782|1811) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(1782|1811) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(1784|1799) DataReader 000032 has received message: 1 from: 000012->000023
(1784|1799) DataWriter 000031 has 1 of 1 readers
(1782|1811) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(1784|1799) DataReader 000032 has received message: 2 from: 000012->000023
(1784|1799) DataWriter 000031 has 1 of 1 readers
(1782|1811) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(1784|1799) DataReader 000032 has received message: 3 from: 000012->000023
(1784|1799) DataWriter 000031 has 1 of 1 readers
(1782|1811) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(1784|1799) DataReader 000032 has received message: 4 from: 000012->000023
(1784|1799) DataWriter 000031 has 1 of 1 readers
(1782|1811) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(1784|1799) DataReader 000032 has received message: 5 from: 000012->000023
(1784|1799) DataWriter 000031 has 1 of 1 readers
(1782|1811) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(1784|1799) DataReader 000032 has received message: 6 from: 000012->000023
(1784|1799) DataWriter 000031 has 1 of 1 readers
(1782|1811) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(1784|1799) DataReader 000032 has received message: 7 from: 000012->000023
(1784|1799) DataWriter 000031 has 1 of 1 readers
(1782|1811) INFO: RtpsUdpReceiveStrategy::handle_input: reallocating primary receive buffer based on reference count
(1782|1811) DataReader 000013 has received message: 0 from: 000012->000023->000031
(1782|1811) DataReader 000013 has received message: 1 from: 000012->000023->000031
(1782|1811) DataReader 000013 has received message: 2 from: 000012->000023->000031
(1782|1811) DataReader 000013 has received message: 3 from: 000012->000023->000031
(1782|1811) DataReader 000013 has received message: 4 from: 000012->000023->000031
(1782|1811) DataReader 000013 has received message: 5 from: 000012->000023->000031
(1782|1811) DataReader 000013 has received message: 6 from: 000012->000023->000031
(1782|1811) DataReader 000013 has received message: 7 from: 000012->000023->000031
(1784|1799) DataReader 000032 has received message: 8 from: 000012->000023
(1784|1799) DataWriter 000031 has 1 of 1 readers
(1782|1811) DataReader 000013 has received message: 8 from: 000012->000023->000031
(1784|1799) DataReader 000032 has received message: 9 from: 000012->000023
(1784|1799) DataWriter 000031 has 1 of 1 readers
(1784|1799) DataReader 000032 has received expected number of samples
(1784|1799) DataWriter 000031 is waiting for acknowledgments
(1782|1811) DataReader 000013 has received message: 9 from: 000012->000023->000031
(1782|1811) DataReader 000013 has received expected number of samples
(1782|1812) DataWriter 000012 is done
(1784|1784) DataReader 000032 is done
(1784|1784) DataReader 000032 Expected number of samples received
(1782|1782) DataReader 000013 is done
(1782|1782) DataReader 000013 Expected number of samples received
(1783|1783) DataReader 000021 is done
(1783|1783) DataReader 000021 Expected number of samples received
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/MultiDiscovery/run_test.pl Time:8s Result:0

==============================================================================

tests/DCPS/DomainRange/run_test.pl #

*********************************
DomainRangeTest creates a single process with 1 DW and 4 DRs.

Domains and transports are dynamically configured from the
templates in config.ini. The DW in each domain sends 10
messages to its DRs.
*********************************
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DomainRange/DomainRangeTest -DCPSConfigFile config.ini -DCPSDebugLevel 10  -domain 2 -domain 8 -domain 20 -domain 50 -domain 8 -DCPSTransportDebugLevel 1 -ORBLogFile alpha.log -DCPSPendingTimeout 3 
alpha PID: 1815 started at 2023-04-21 22:42:56
test PASSED.
*********************************
DomainRangeTest creates a single process with 1 DW and 4 DRs.

Domains and transports are dynamically configured from the
templates in config.ini. The DW in each domain sends 10
messages to its DRs.
*********************************
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DomainRange/DomainRangeTest -DCPSConfigFile config.ini -DCPSDebugLevel 10 -bind secondary_config -domain 2 -domain 8 -domain 20 -domain 50 -domain 8 -DCPSTransportDebugLevel 1 -ORBLogFile alpha.log -DCPSPendingTimeout 3 
alpha PID: 1937 started at 2023-04-21 22:43:06
test PASSED.

auto_run_tests_finished: tests/DCPS/DomainRange/run_test.pl Time:21s Result:0

==============================================================================

tests/DCPS/StaticDiscoveryReconnect/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StaticDiscoveryReconnect/StaticDiscoveryTest -DCPSConfigFile config.ini -writer -DCPSPendingTimeout 3 
writer1 PID: 2049 started at 2023-04-21 22:43:17
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StaticDiscoveryReconnect/StaticDiscoveryTest -DCPSConfigFile config.ini -reader -toggle -DCPSPendingTimeout 3 
reader1 PID: 2050 started at 2023-04-21 22:43:17
(2050|2050) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(2049|2049) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
Creating reader
Writer found reader
Deleting reader
Writer lost reader
Creating reader
Writer found reader
test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StaticDiscoveryReconnect/StaticDiscoveryTest -DCPSConfigFile config.ini -reader -DCPSPendingTimeout 3 
reader2 PID: 2067 started at 2023-04-21 22:43:50
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StaticDiscoveryReconnect/StaticDiscoveryTest -DCPSConfigFile config.ini -writer -toggle -DCPSPendingTimeout 3 
writer2 PID: 2069 started at 2023-04-21 22:43:50
(2069|2069) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(2067|2067) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
Creating writer
Reader found writer
Deleting writer
Reader lost writer
Creating writer
Reader found writer
test PASSED.

auto_run_tests_finished: tests/DCPS/StaticDiscoveryReconnect/run_test.pl Time:66s Result:0

==============================================================================

tests/transport/rtps/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps/subscriber -h 127.0.0.1 -p 11193 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 2080 started at 2023-04-21 22:44:23
STARTING MAIN IN SUBSCRIBER
***Ready written to subready.txt
***Association Data created for Publication for SimpleDataReader to init
Associating with pub...
***Simple Data Reader init:: publication completed
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps/publisher -h 127.0.0.1 -p 11193 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 2085 started at 2023-04-21 22:44:23
test PASSED.
Running with multicast...
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps/subscriber -h 127.0.0.1 -p 10362 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 2089 started at 2023-04-21 22:44:24
STARTING MAIN IN SUBSCRIBER
***Ready written to subready.txt
***Association Data created for Publication for SimpleDataReader to init
Associating with pub...
***Simple Data Reader init:: publication completed
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps/publisher -h 239.255.0.2 -p 7401 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 2093 started at 2023-04-21 22:44:24
test PASSED.

auto_run_tests_finished: tests/transport/rtps/run_test.pl Time:2s Result:0

==============================================================================

tests/transport/rtps_reliability/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_reliability/rtps_reliability  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile rtps_reliability.log -DCPSPendingTimeout 3 
rtps_reliability PID: 2101 started at 2023-04-21 22:44:25
>>> Starting test of DataReader
data_received with seq#: 1
Received submessage type: 14
recv_an() data retransmit 1
Received submessage type: 14
recv_an() no retransmission requested
Received submessage type: 14
recv_an() data retransmit 2
recv_an() gap retransmit 4
data_received with seq#: 2
data_received with seq#: 3
data_received with seq#: 5
Received submessage type: 14
recv_an() no retransmission requested
Received submessage type: 14
recv_an() no retransmission requested
recv_nackfrag() retransmit 6:2
data_received with seq#: 6
Received submessage type: 14
recv_an() no retransmission requested
Received submessage type: 14
recv_an() no retransmission requested
Received submessage type: 14
recv_an() no retransmission requested
recv_nackfrag() retransmit 7:2
Received submessage type: 14
recv_an() no retransmission requested
data_received with seq#: 7
data_received with seq#: 8
Received submessage type: 14
recv_an() no retransmission requested
>>> Starting test of DataWriter
Received submessage type: 14
recv_hb() first = 1 last = 0
Received submessage type: 14
recv_hb() first = 1 last = 0
Received submessage type: 14
recv_hb() first = 1 last = 0
Received submessage type: 14
recv_hb() first = 1 last = 0
sending with seq#: 1
sending with seq#: 2
sending with seq#: 3
Received submessage type: 9
recv_data() seq = 1
Received submessage type: 9
recv_data() seq = 2
Received submessage type: 9
recv_data() seq = 3
Received submessage type: 14
recv_hb() first = 1 last = 1
Received submessage type: 14
recv_hb() first = 1 last = 3
recv_hb() requesting retransmit of #2
SimpleDataWriter::data_delivered()
Received submessage type: 9
recv_data() seq = 2
Received submessage type: 14
recv_hb() first = 2 last = 3
Received submessage type: 14
recv_hb() first = 2 last = 3
sending with seq#: 5
recv_gap() gapStart = 4 gapListBase = 5
Received submessage type: 9
recv_data() seq = 5
Received submessage type: 14
recv_hb() first = 2 last = 3
Received submessage type: 14
recv_hb() first = 2 last = 5
SimpleDataWriter::data_delivered()
SimpleDataWriter::data_delivered()
SimpleDataWriter::data_delivered()
test PASSED.

auto_run_tests_finished: tests/transport/rtps_reliability/run_test.pl Time:15s Result:0

==============================================================================

tests/transport/spdp/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/spdp/spdp_transport  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile spdp_transport.log -DCPSPendingTimeout 3 
spdp_transport PID: 2127 started at 2023-04-21 22:44:40
(2127|2127) INFO: set_DCPS_debug_level: set to 1
(2127|2130) INFO: MulticastManager::process: joined group 239.255.0.1:7402 on eth0/10.201.200.79 (0x21abff8 joined count 1)
(2127|2130) INFO: MulticastManager::process: joined group ff03::2:7401 on eth0/fe80::20c:29ff:fe7a:35f2 (0x21abff8 joined count 2)
(2127|2130) INFO: MulticastManager::process: joined group 239.255.0.1:7402 on lo/127.0.0.1 (0x21abff8 joined count 3)
(2127|2130) INFO: MulticastManager::process: joined group ff03::2:7401 on lo/::1 (0x21abff8 joined count 4)
(2127|2130) INFO: MulticastManager::process: joined group 239.255.0.1:7402 on virbr0/192.168.122.1 (0x21abff8 joined count 5)
(2127|2130) INFO: MulticastManager::process: joined group 239.255.0.1:7532 on eth0/10.201.200.79 (0x2181580 joined count 1)
(2127|2130) INFO: MulticastManager::process: joined group ff03::1:7532 on eth0/fe80::20c:29ff:fe7a:35f2 (0x2181580 joined count 2)
(2127|2130) INFO: MulticastManager::process: joined group 239.255.0.1:7532 on lo/127.0.0.1 (0x2181580 joined count 3)
(2127|2130) INFO: MulticastManager::process: joined group ff03::1:7532 on lo/::1 (0x2181580 joined count 4)
(2127|2130) INFO: MulticastManager::process: joined group 239.255.0.1:7532 on virbr0/192.168.122.1 (0x2181580 joined count 5)
(2127|2127) spdp_transport.cpp:run_test() addr_array[0]: 127.0.0.1:12345
(2127|2127) spdp_transport.cpp:run_test() addr_array[1]: 10.201.200.79:12345
(2127|2127) spdp_transport.cpp:run_test() addr_array[2]: 192.168.122.1:12345
(2127|2127) spdp_transport.cpp:run_test() addr_array[3]: ::1:12345
(2127|2127) spdp_transport.cpp:run_test() addr_array[4]: fe80::20c:29ff:fe7a:35f2%2:12345
Basic Reset Test
seq: 1
(2127|2130) Spdp::handle_participant_data - 0103000c.297a35f2.084f0684.000001c1(603a6835) discovered 0103000c.297a35f2.084ff4ee.000001c1(ebe2bfcb) lease 5:00 from 10.201.200.79:38346 (0)
(2127|2130) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.084f0684.000300c3(95698601) remote 0103000c.297a35f2.084ff4ee.000300c4(80d5c45c)
(2127|2130) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.084f0684.000301c3(8c72b740) remote 0103000c.297a35f2.084ff4ee.000301c4(99cef51d)
(2127|2130) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.084f0684.000003c2(cb055b0d) remote 0103000c.297a35f2.084ff4ee.000003c7(30b7787c)
(2127|2130) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.084f0684.000004c2(8444cdca) remote 0103000c.297a35f2.084ff4ee.000004c7(7ff6eebb)
(2127|2130) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.084f0684.000200c2(e3acdca0) remote 0103000c.297a35f2.084ff4ee.000200c7(181effd1)
seq: 2
seq: 3
seq: 4
seq: 5
seq: 1
seq: 2
seq: 3
seq: 4
(2127|2130) Spdp::handle_participant_data - 0103000c.297a35f2.084f0684.000001c1(603a6835) discovered 0103000c.297a35f2.084ff4ee.000001c1(ebe2bfcb) lease 5:00 from 10.201.200.79:38346 (0)
(2127|2130) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.084f0684.000300c3(95698601) remote 0103000c.297a35f2.084ff4ee.000300c4(80d5c45c)
(2127|2130) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.084f0684.000301c3(8c72b740) remote 0103000c.297a35f2.084ff4ee.000301c4(99cef51d)
(2127|2130) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.084f0684.000003c2(cb055b0d) remote 0103000c.297a35f2.084ff4ee.000003c7(30b7787c)
(2127|2130) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.084f0684.000004c2(8444cdca) remote 0103000c.297a35f2.084ff4ee.000004c7(7ff6eebb)
(2127|2130) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.084f0684.000200c2(e3acdca0) remote 0103000c.297a35f2.084ff4ee.000200c7(181effd1)
seq: 5
seq: 6
seq: 7
Reset Within Limits Test
seq: 8
seq: 6
seq: 7
seq: 8
seq: 9
Duplicate Sequence Numbers Test
seq: 1
(2127|2130) Spdp::handle_participant_data - 0103000c.297a35f2.084f0684.000001c1(603a6835) discovered 0103000c.297a35f2.084ff4ee.000001c1(ebe2bfcb) lease 5:00 from 10.201.200.79:38346 (0)
(2127|2130) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.084f0684.000300c3(95698601) remote 0103000c.297a35f2.084ff4ee.000300c4(80d5c45c)
(2127|2130) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.084f0684.000301c3(8c72b740) remote 0103000c.297a35f2.084ff4ee.000301c4(99cef51d)
(2127|2130) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.084f0684.000003c2(cb055b0d) remote 0103000c.297a35f2.084ff4ee.000003c7(30b7787c)
(2127|2130) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.084f0684.000004c2(8444cdca) remote 0103000c.297a35f2.084ff4ee.000004c7(7ff6eebb)
(2127|2130) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.084f0684.000200c2(e3acdca0) remote 0103000c.297a35f2.084ff4ee.000200c7(181effd1)
  seq: 2
  seq: 3
  seq: 4
seq: 2
  seq: 3
  seq: 4
  seq: 5
seq: 3
  seq: 4
  seq: 5
  seq: 6
seq: 4
  seq: 5
  seq: 6
  seq: 7
seq: 5
  seq: 6
  seq: 7
  seq: 8
Overflow Test
  seq: 2147483647 4294967290
(2127|2130) Spdp::handle_participant_data - 0103000c.297a35f2.084f0684.000001c1(603a6835) discovered 0103000c.297a35f2.084ff4ee.000001c1(ebe2bfcb) lease 5:00 from 10.201.200.79:38346 (0)
(2127|2130) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.084f0684.000300c3(95698601) remote 0103000c.297a35f2.084ff4ee.000300c4(80d5c45c)
(2127|2130) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.084f0684.000301c3(8c72b740) remote 0103000c.297a35f2.084ff4ee.000301c4(99cef51d)
(2127|2130) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.084f0684.000003c2(cb055b0d) remote 0103000c.297a35f2.084ff4ee.000003c7(30b7787c)
(2127|2130) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.084f0684.000004c2(8444cdca) remote 0103000c.297a35f2.084ff4ee.000004c7(7ff6eebb)
(2127|2130) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.084f0684.000200c2(e3acdca0) remote 0103000c.297a35f2.084ff4ee.000200c7(181effd1)
  seq: 2147483647 4294967291
  seq: 2147483647 4294967292
  seq: 2147483647 4294967293
  seq: 2147483647 4294967294
  seq: 2147483647 4294967295
  seq: 0 0
  seq: 0 1
  seq: 0 2
  seq: 0 3
(2127|2127) WARNING: DataLink[0x21ac058]::~DataLink() - link still in use by 5 entities when deleted!
(2127|2127) Service_Participant::shutdown
(2127|2127) DomainParticipantFactoryImpl::~DomainParticipantFactoryImpl()
(2127|2127) Service_Participant::~Service_Participant
(2127|2127) Service_Participant::shutdown
test PASSED.

auto_run_tests_finished: tests/transport/spdp/run_test.pl Time:24s Result:0

==============================================================================

tests/transport/rtps_directed_write/run_test.pl #

Testing with best-effort readers...
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/subscriber -h 127.0.0.1 -p 10357 -r 0 -ORBLogFile sub_BestEffort.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
subscriber PID: 2132 started at 2023-04-21 22:45:04
Reader 01030000.01234567.76543210.11111107(3bef1e14) called associate()
Reader 01030000.01234567.76543210.22222207(0b3777a2) called associate()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/publisher -h 127.0.0.1 -p 10357 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 2136 started at 2023-04-21 22:45:04
test PASSED.

Testing with best-effort readers, multicast...
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/subscriber -h 127.0.0.1 -p 10547 -r 0 -ORBLogFile sub_BestEffort_multicast.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
subscriber PID: 2139 started at 2023-04-21 22:45:05
Reader 01030000.01234567.76543210.11111107(3bef1e14) called associate()
Reader 01030000.01234567.76543210.22222207(0b3777a2) called associate()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/publisher -h 239.255.0.2 -p 7401 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 2143 started at 2023-04-21 22:45:05
test PASSED.

Testing with reliable readers...
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/subscriber -h 127.0.0.1 -p 11215 -r 1 -ORBLogFile sub_Reliable.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
subscriber PID: 2145 started at 2023-04-21 22:45:06
Reader 01030000.01234567.76543210.11111107(3bef1e14) called associate()
Reader 01030000.01234567.76543210.22222207(0b3777a2) called associate()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/publisher -h 127.0.0.1 -p 11215 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 2150 started at 2023-04-21 22:45:06
test PASSED.

Testing with reliable readers, multicast...
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/subscriber -h 127.0.0.1 -p 11763 -r 1 -ORBLogFile sub_Reliable_multicast.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
subscriber PID: 2152 started at 2023-04-21 22:45:07
Reader 01030000.01234567.76543210.11111107(3bef1e14) called associate()
Reader 01030000.01234567.76543210.22222207(0b3777a2) called associate()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/publisher -h 239.255.0.2 -p 7401 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 2156 started at 2023-04-21 22:45:07
test PASSED.

auto_run_tests_finished: tests/transport/rtps_directed_write/run_test.pl Time:4s Result:0

==============================================================================

tests/transport/best_effort_reader/run_test.pl #

Testing best-effort readers...
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/best_effort_reader/subscriber -h 127.0.0.1 -p 11614 -ORBLogFile sub.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
subscriber PID: 2159 started at 2023-04-21 22:45:08
01030000.01234567.11111111.11111102(3bc047cf) <- 01030000.01234567.22222222.11111107(bd0cef44) associated
01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.11111107(bd0cef44) associated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.11111107(bd0cef44) associated
Reader1 associated with 3 writer(s)

01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.22222207(8dd486f2) associated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.22222207(8dd486f2) associated
Reader2 associated with 2 writer(s)

01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.33333307(2b4c5c5f) associated
Reader3 associated with 1 writer(s)

*** Ready written to subready.txt ***
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/best_effort_reader/publisher -h 127.0.0.1 -p 11614 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 2163 started at 2023-04-21 22:45:09
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.33333307(2b4c5c5f) disassociated
01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.22222207(8dd486f2) disassociated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.22222207(8dd486f2) disassociated
01030000.01234567.11111111.11111102(3bc047cf) <- 01030000.01234567.22222222.11111107(bd0cef44) disassociated
01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.11111107(bd0cef44) disassociated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.11111107(bd0cef44) disassociated
test PASSED.

Testing best-effort readers, multicast...
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/best_effort_reader/subscriber -h 127.0.0.1 -p 11928 -ORBLogFile sub_multicast.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
subscriber PID: 2165 started at 2023-04-21 22:45:10
01030000.01234567.11111111.11111102(3bc047cf) <- 01030000.01234567.22222222.11111107(bd0cef44) associated
01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.11111107(bd0cef44) associated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.11111107(bd0cef44) associated
Reader1 associated with 3 writer(s)

01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.22222207(8dd486f2) associated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.22222207(8dd486f2) associated
Reader2 associated with 2 writer(s)

01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.33333307(2b4c5c5f) associated
Reader3 associated with 1 writer(s)

*** Ready written to subready.txt ***
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/best_effort_reader/publisher -h 239.255.0.2 -p 7401 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 2169 started at 2023-04-21 22:45:10
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.33333307(2b4c5c5f) disassociated
01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.22222207(8dd486f2) disassociated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.22222207(8dd486f2) disassociated
01030000.01234567.11111111.11111102(3bc047cf) <- 01030000.01234567.22222222.11111107(bd0cef44) disassociated
01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.11111107(bd0cef44) disassociated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.11111107(bd0cef44) disassociated
test PASSED.

auto_run_tests_finished: tests/transport/best_effort_reader/run_test.pl Time:3s Result:0

==============================================================================

tests/DCPS/ManyTopicTest/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 2173
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/publisher -t all -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3  -o /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/
publisher PID: 2180 started at 2023-04-21 22:45:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/subscriber -t all -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber1.log -DCPSPendingTimeout 3  -o /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/
subscriber1 PID: 2181 started at 2023-04-21 22:45:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/subscriber -t all -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber2.log -DCPSPendingTimeout 3  -o /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/
subscriber2 PID: 2182 started at 2023-04-21 22:45:11
(2182|2182)  22:45:11.423645 subscriber main
(2180|2180)  22:45:11.423530 publisher main
(2181|2181)  22:45:11.445528 subscriber main
(2173|2173) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 749497000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 749497000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 756567000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 756567000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 765603000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 765603000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 770675000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 770675000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 780031000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 780031000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 783299000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 783299000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 802331000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 802331000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 835263000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 835263000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 868035000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 868035000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 870633000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 870633000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 882821000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 882821000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 888051000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 888051000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 894915000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 894915000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 904627000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 904627000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 917176000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 917176000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 935320000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 935320000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 938370000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 938370000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 956432000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 956432000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 958041000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 958041000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 986052000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135113 986052000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 7053000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 7053000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 8263000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 8263000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 36847000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 36847000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 74685000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 74685000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 81635000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 81635000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 86905000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 86905000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 101231000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 101231000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 109980000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 109980000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 115532000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 115532000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 131939000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 131939000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 143290000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 143290000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 148789000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 148789000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 149442000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 149442000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 150991000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 150991000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 160960000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 160960000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 173069000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 173069000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 206764000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 206764000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 221647000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 221647000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 228161000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 228161000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 240372000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135114 240372000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

foo2[0]: text = message 1, key = 2
foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 4
foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 1
foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 3
foo2[0]: text = message 2, key = 2
foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 1
foo2[0]: text = message 3, key = 2
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 4
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 3
foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 1
foo2[0]: text = message 4, key = 2
foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 1
foo2[0]: text = message 5, key = 2
foo2[0]: text = message 6, key = 2
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 4
foo2[0]: text = message 7, key = 2
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 3
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 4
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 4
foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 1
foo2[0]: text = message 8, key = 2
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 3
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 4
foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 1
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 3
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 3
foo2[0]: text = message 9, key = 2
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 3
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 3
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 4
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 3
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 4
foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 1
foo2[0]: text = message 10, key = 2
foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 1
foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 1
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 4
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 3
foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 1
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 4

*** T1 received 10 samples.

*** T2 received 10 samples.

*** T3 received 10 samples.

*** T4 received 10 samples.
  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: NOT_ALIVE_DISPOSED_INSTANCE_STATE
  source_timestamp: 1682135114 991498000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: NOT_ALIVE_DISPOSED_INSTANCE_STATE
  source_timestamp: 1682135114 991850000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: NOT_ALIVE_DISPOSED_INSTANCE_STATE
  source_timestamp: 1682135114 992058000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: NOT_ALIVE_DISPOSED_INSTANCE_STATE
  source_timestamp: 1682135114 992258000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

foo2[0]: text = message 1, key = 2
foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 4
foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 1
foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 3
foo2[0]: text = message 2, key = 2
foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 1
foo2[0]: text = message 3, key = 2
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 4
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 3
foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 1
foo2[0]: text = message 4, key = 2
foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 1
foo2[0]: text = message 5, key = 2
foo2[0]: text = message 6, key = 2
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 4
foo2[0]: text = message 7, key = 2
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 3
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 4
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 4
foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 1
foo2[0]: text = message 8, key = 2
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 3
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 4
foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 1
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 3
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 3
foo2[0]: text = message 9, key = 2
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 3
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 3
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 4
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 3
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 4
foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 1
foo2[0]: text = message 10, key = 2
foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 1
foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 1
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 4
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 3
foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 1
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 4

*** T1 received 10 samples.

*** T2 received 10 samples.

*** T3 received 10 samples.

*** T4 received 10 samples.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ManyTopicTest/run_test.pl Time:4s Result:0

==============================================================================

tests/DCPS/ManyTopicTest/run_test.pl rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/publisher -t all -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3  -o /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/
publisher PID: 2208 started at 2023-04-21 22:45:15
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/subscriber -t all -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber1.log -DCPSPendingTimeout 3  -o /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/
subscriber1 PID: 2209 started at 2023-04-21 22:45:15
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/subscriber -t all -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber2.log -DCPSPendingTimeout 3  -o /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/
subscriber2 PID: 2210 started at 2023-04-21 22:45:15
(2208|2208)  22:45:15.329245 publisher main
(2210|2210)  22:45:15.342160 subscriber main
(2209|2209)  22:45:15.343835 subscriber main
  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 703202999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 703202999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 763442999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 763442999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 764163999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 764163999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 765165999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 765165999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 771253999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 771253999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 774529999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 774529999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 787855999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 787855999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 794884999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 794884999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 798938999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 798938999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 812157999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 812157999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 825504999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 825504999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 837494999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 837494999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 844118999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 844118999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 859476999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 859476999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 867823999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 867823999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 890000999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 890000999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 900781999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 900781999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 907610999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 907610999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 938191999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 938191999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 939241999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 939241999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 960419999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 960419999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 965016999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 965016999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 972990999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 972990999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 980496999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135117 980496999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135118 18163999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135118 18163999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135118 26268999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135118 26268999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135118 45790999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135118 45790999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135118 51671999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135118 51671999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135118 75685999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135118 75685999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135118 89273999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135118 89273999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135118 96284999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135118 96284999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135118 103280999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135118 103280999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135118 106203999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135118 106203999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135118 145549999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135118 145549999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135118 151691999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135118 151691999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135118 171609999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135118 171609999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135118 177832999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135118 177832999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135118 220063999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135118 220063999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135118 228374999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135118 228374999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135118 230445999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1682135118 230445999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 4
foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 1
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 4
foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 3
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 4
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 3
foo2[0]: text = message 1, key = 2
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 4
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 3
foo2[0]: text = message 2, key = 2
foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 1
foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 1
foo2[0]: text = message 3, key = 2
foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 1
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 4
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 3
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 4
foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 1
foo2[0]: text = message 4, key = 2
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 3
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 3
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 4
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 4
foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 1
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 4
foo2[0]: text = message 5, key = 2
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 4
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 3
foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 1
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 3
foo2[0]: text = message 6, key = 2
foo2[0]: text = message 7, key = 2
foo2[0]: text = message 8, key = 2
foo2[0]: text = message 9, key = 2
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 3
foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 1
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 3
foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 1
foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 1
foo2[0]: text = message 10, key = 2

*** T1 received 10 samples.

*** T2 received 10 samples.

*** T3 received 10 samples.

*** T4 received 10 samples.
foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 4
foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 1
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 4
foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 3
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 4
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 3
foo2[0]: text = message 1, key = 2
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 4
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 3
foo2[0]: text = message 2, key = 2
foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 1
foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 1
foo2[0]: text = message 3, key = 2
foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 1
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 4
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 3
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 4
foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 1
foo2[0]: text = message 4, key = 2
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 3
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 3
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 4
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 4
foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 1
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 4
foo2[0]: text = message 5, key = 2
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 4
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 3
foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 1
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 3
foo2[0]: text = message 6, key = 2
foo2[0]: text = message 7, key = 2
foo2[0]: text = message 8, key = 2
foo2[0]: text = message 9, key = 2
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 3
foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 1
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 3
foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 1
foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 1
foo2[0]: text = message 10, key = 2

*** T1 received 10 samples.

*** T2 received 10 samples.

*** T3 received 10 samples.

*** T4 received 10 samples.
test PASSED.

auto_run_tests_finished: tests/DCPS/ManyTopicTest/run_test.pl rtps Time:4s Result:0

==============================================================================

tests/DCPS/ManyTopicMultiProcess/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 2231
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/publisher -p1 -p2 -s6 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile proc1.log -DCPSPendingTimeout 3  -T /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/
proc1 PID: 2238 started at 2023-04-21 22:45:19
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/publisher -p3 -p4 -p5 -s7 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile proc2.log -DCPSPendingTimeout 3  -T /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/
proc2 PID: 2239 started at 2023-04-21 22:45:19
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/subscriber -s1 -s2 -s3 -s4 -s5 -p6 -p7 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile proc3.log -DCPSPendingTimeout 3  -T /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/
proc3 PID: 2240 started at 2023-04-21 22:45:19
(2238|2238)  22:45:19.362358 publisher main
(2240|2240)  22:45:19.388592 subscriber main
(2239|2239)  22:45:19.393642 publisher main
(2231|2231) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
T1 foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 0.000000
	foo4[0][1]: value = -1.000000
	foo4[0][2]: value = -2.000000
	foo4[0][3]: value = -3.000000
	foo4[0][4]: value = -4.000000
	foo4[0][5]: value = -5.000000
	foo4[0][6]: value = -6.000000
	foo4[0][7]: value = -7.000000
	foo4[0][8]: value = -8.000000
	foo4[0][9]: value = -9.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 0.000000
	foo4[0][1]: value = -1.000000
	foo4[0][2]: value = -2.000000
	foo4[0][3]: value = -3.000000
	foo4[0][4]: value = -4.000000
	foo4[0][5]: value = -5.000000
	foo4[0][6]: value = -6.000000
	foo4[0][7]: value = -7.000000
	foo4[0][8]: value = -8.000000
	foo4[0][9]: value = -9.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 0.000000
	foo4[0][1]: value = -1.000000
	foo4[0][2]: value = -2.000000
	foo4[0][3]: value = -3.000000
	foo4[0][4]: value = -4.000000
	foo4[0][5]: value = -5.000000
	foo4[0][6]: value = -6.000000
	foo4[0][7]: value = -7.000000
	foo4[0][8]: value = -8.000000
	foo4[0][9]: value = -9.000000
T1 foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 1.000000
	foo4[0][1]: value = 0.000000
	foo4[0][2]: value = -1.000000
	foo4[0][3]: value = -2.000000
	foo4[0][4]: value = -3.000000
	foo4[0][5]: value = -4.000000
	foo4[0][6]: value = -5.000000
	foo4[0][7]: value = -6.000000
	foo4[0][8]: value = -7.000000
	foo4[0][9]: value = -8.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 1.000000
	foo4[0][1]: value = 0.000000
	foo4[0][2]: value = -1.000000
	foo4[0][3]: value = -2.000000
	foo4[0][4]: value = -3.000000
	foo4[0][5]: value = -4.000000
	foo4[0][6]: value = -5.000000
	foo4[0][7]: value = -6.000000
	foo4[0][8]: value = -7.000000
	foo4[0][9]: value = -8.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 1.000000
	foo4[0][1]: value = 0.000000
	foo4[0][2]: value = -1.000000
	foo4[0][3]: value = -2.000000
	foo4[0][4]: value = -3.000000
	foo4[0][5]: value = -4.000000
	foo4[0][6]: value = -5.000000
	foo4[0][7]: value = -6.000000
	foo4[0][8]: value = -7.000000
	foo4[0][9]: value = -8.000000
T1 foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 1
T3 foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 1
T5 foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 2
T4 foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 3
T2 foo4[0]: length = 10
	foo4[0][0]: value = 4.000000
	foo4[0][1]: value = 3.000000
	foo4[0][2]: value = 2.000000
	foo4[0][3]: value = 1.000000
	foo4[0][4]: value = 0.000000
	foo4[0][5]: value = -1.000000
	foo4[0][6]: value = -2.000000
	foo4[0][7]: value = -3.000000
	foo4[0][8]: value = -4.000000
	foo4[0][9]: value = -5.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 4.000000
	foo4[0][1]: value = 3.000000
	foo4[0][2]: value = 2.000000
	foo4[0][3]: value = 1.000000
	foo4[0][4]: value = 0.000000
	foo4[0][5]: value = -1.000000
	foo4[0][6]: value = -2.000000
	foo4[0][7]: value = -3.000000
	foo4[0][8]: value = -4.000000
	foo4[0][9]: value = -5.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 4.000000
	foo4[0][1]: value = 3.000000
	foo4[0][2]: value = 2.000000
	foo4[0][3]: value = 1.000000
	foo4[0][4]: value = 0.000000
	foo4[0][5]: value = -1.000000
	foo4[0][6]: value = -2.000000
	foo4[0][7]: value = -3.000000
	foo4[0][8]: value = -4.000000
	foo4[0][9]: value = -5.000000
T1 foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 1
T3 foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 1
T5 foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 2
T4 foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 3
T2 foo4[0]: length = 10
	foo4[0][0]: value = 9.000000
	foo4[0][1]: value = 8.000000
	foo4[0][2]: value = 7.000000
	foo4[0][3]: value = 6.000000
	foo4[0][4]: value = 5.000000
	foo4[0][5]: value = 4.000000
	foo4[0][6]: value = 3.000000
	foo4[0][7]: value = 2.000000
	foo4[0][8]: value = 1.000000
	foo4[0][9]: value = 0.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 9.000000
	foo4[0][1]: value = 8.000000
	foo4[0][2]: value = 7.000000
	foo4[0][3]: value = 6.000000
	foo4[0][4]: value = 5.000000
	foo4[0][5]: value = 4.000000
	foo4[0][6]: value = 3.000000
	foo4[0][7]: value = 2.000000
	foo4[0][8]: value = 1.000000
	foo4[0][9]: value = 0.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 9.000000
	foo4[0][1]: value = 8.000000
	foo4[0][2]: value = 7.000000
	foo4[0][3]: value = 6.000000
	foo4[0][4]: value = 5.000000
	foo4[0][5]: value = 4.000000
	foo4[0][6]: value = 3.000000
	foo4[0][7]: value = 2.000000
	foo4[0][8]: value = 1.000000
	foo4[0][9]: value = 0.000000
T1 foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 1
T3 foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 1
T5 foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 2
T4 foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 3
T2 foo4[0]: length = 10
	foo4[0][0]: value = 16.000000
	foo4[0][1]: value = 15.000000
	foo4[0][2]: value = 14.000000
	foo4[0][3]: value = 13.000000
	foo4[0][4]: value = 12.000000
	foo4[0][5]: value = 11.000000
	foo4[0][6]: value = 10.000000
	foo4[0][7]: value = 9.000000
	foo4[0][8]: value = 8.000000
	foo4[0][9]: value = 7.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 16.000000
	foo4[0][1]: value = 15.000000
	foo4[0][2]: value = 14.000000
	foo4[0][3]: value = 13.000000
	foo4[0][4]: value = 12.000000
	foo4[0][5]: value = 11.000000
	foo4[0][6]: value = 10.000000
	foo4[0][7]: value = 9.000000
	foo4[0][8]: value = 8.000000
	foo4[0][9]: value = 7.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 16.000000
	foo4[0][1]: value = 15.000000
	foo4[0][2]: value = 14.000000
	foo4[0][3]: value = 13.000000
	foo4[0][4]: value = 12.000000
	foo4[0][5]: value = 11.000000
	foo4[0][6]: value = 10.000000
	foo4[0][7]: value = 9.000000
	foo4[0][8]: value = 8.000000
	foo4[0][9]: value = 7.000000
T1 foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 1
T3 foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 1
T5 foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 2
T4 foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 3
T2 foo4[0]: length = 10
	foo4[0][0]: value = 25.000000
	foo4[0][1]: value = 24.000000
	foo4[0][2]: value = 23.000000
	foo4[0][3]: value = 22.000000
	foo4[0][4]: value = 21.000000
	foo4[0][5]: value = 20.000000
	foo4[0][6]: value = 19.000000
	foo4[0][7]: value = 18.000000
	foo4[0][8]: value = 17.000000
	foo4[0][9]: value = 16.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 25.000000
	foo4[0][1]: value = 24.000000
	foo4[0][2]: value = 23.000000
	foo4[0][3]: value = 22.000000
	foo4[0][4]: value = 21.000000
	foo4[0][5]: value = 20.000000
	foo4[0][6]: value = 19.000000
	foo4[0][7]: value = 18.000000
	foo4[0][8]: value = 17.000000
	foo4[0][9]: value = 16.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 25.000000
	foo4[0][1]: value = 24.000000
	foo4[0][2]: value = 23.000000
	foo4[0][3]: value = 22.000000
	foo4[0][4]: value = 21.000000
	foo4[0][5]: value = 20.000000
	foo4[0][6]: value = 19.000000
	foo4[0][7]: value = 18.000000
	foo4[0][8]: value = 17.000000
	foo4[0][9]: value = 16.000000
T1 foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 1
T3 foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 1
T5 foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 2
T4 foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 3
T2 foo4[0]: length = 10
	foo4[0][0]: value = 36.000000
	foo4[0][1]: value = 35.000000
	foo4[0][2]: value = 34.000000
	foo4[0][3]: value = 33.000000
	foo4[0][4]: value = 32.000000
	foo4[0][5]: value = 31.000000
	foo4[0][6]: value = 30.000000
	foo4[0][7]: value = 29.000000
	foo4[0][8]: value = 28.000000
	foo4[0][9]: value = 27.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 36.000000
	foo4[0][1]: value = 35.000000
	foo4[0][2]: value = 34.000000
	foo4[0][3]: value = 33.000000
	foo4[0][4]: value = 32.000000
	foo4[0][5]: value = 31.000000
	foo4[0][6]: value = 30.000000
	foo4[0][7]: value = 29.000000
	foo4[0][8]: value = 28.000000
	foo4[0][9]: value = 27.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 36.000000
	foo4[0][1]: value = 35.000000
	foo4[0][2]: value = 34.000000
	foo4[0][3]: value = 33.000000
	foo4[0][4]: value = 32.000000
	foo4[0][5]: value = 31.000000
	foo4[0][6]: value = 30.000000
	foo4[0][7]: value = 29.000000
	foo4[0][8]: value = 28.000000
	foo4[0][9]: value = 27.000000
T1 foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 1
T3 foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 1
T5 foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 2
T4 foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 3
T2 foo4[0]: length = 10
	foo4[0][0]: value = 49.000000
	foo4[0][1]: value = 48.000000
	foo4[0][2]: value = 47.000000
	foo4[0][3]: value = 46.000000
	foo4[0][4]: value = 45.000000
	foo4[0][5]: value = 44.000000
	foo4[0][6]: value = 43.000000
	foo4[0][7]: value = 42.000000
	foo4[0][8]: value = 41.000000
	foo4[0][9]: value = 40.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 49.000000
	foo4[0][1]: value = 48.000000
	foo4[0][2]: value = 47.000000
	foo4[0][3]: value = 46.000000
	foo4[0][4]: value = 45.000000
	foo4[0][5]: value = 44.000000
	foo4[0][6]: value = 43.000000
	foo4[0][7]: value = 42.000000
	foo4[0][8]: value = 41.000000
	foo4[0][9]: value = 40.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 49.000000
	foo4[0][1]: value = 48.000000
	foo4[0][2]: value = 47.000000
	foo4[0][3]: value = 46.000000
	foo4[0][4]: value = 45.000000
	foo4[0][5]: value = 44.000000
	foo4[0][6]: value = 43.000000
	foo4[0][7]: value = 42.000000
	foo4[0][8]: value = 41.000000
	foo4[0][9]: value = 40.000000
T1 foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 1
T3 foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 1
T4 foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 3
T5 foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 2
T2 foo4[0]: length = 10
	foo4[0][0]: value = 64.000000
	foo4[0][1]: value = 63.000000
	foo4[0][2]: value = 62.000000
	foo4[0][3]: value = 61.000000
	foo4[0][4]: value = 60.000000
	foo4[0][5]: value = 59.000000
	foo4[0][6]: value = 58.000000
	foo4[0][7]: value = 57.000000
	foo4[0][8]: value = 56.000000
	foo4[0][9]: value = 55.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 64.000000
	foo4[0][1]: value = 63.000000
	foo4[0][2]: value = 62.000000
	foo4[0][3]: value = 61.000000
	foo4[0][4]: value = 60.000000
	foo4[0][5]: value = 59.000000
	foo4[0][6]: value = 58.000000
	foo4[0][7]: value = 57.000000
	foo4[0][8]: value = 56.000000
	foo4[0][9]: value = 55.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 64.000000
	foo4[0][1]: value = 63.000000
	foo4[0][2]: value = 62.000000
	foo4[0][3]: value = 61.000000
	foo4[0][4]: value = 60.000000
	foo4[0][5]: value = 59.000000
	foo4[0][6]: value = 58.000000
	foo4[0][7]: value = 57.000000
	foo4[0][8]: value = 56.000000
	foo4[0][9]: value = 55.000000
T1 foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 1
T3 foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 1
T5 foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 2
T4 foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 3
T2 foo4[0]: length = 10
	foo4[0][0]: value = 81.000000
	foo4[0][1]: value = 80.000000
	foo4[0][2]: value = 79.000000
	foo4[0][3]: value = 78.000000
	foo4[0][4]: value = 77.000000
	foo4[0][5]: value = 76.000000
	foo4[0][6]: value = 75.000000
	foo4[0][7]: value = 74.000000
	foo4[0][8]: value = 73.000000
	foo4[0][9]: value = 72.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 81.000000
	foo4[0][1]: value = 80.000000
	foo4[0][2]: value = 79.000000
	foo4[0][3]: value = 78.000000
	foo4[0][4]: value = 77.000000
	foo4[0][5]: value = 76.000000
	foo4[0][6]: value = 75.000000
	foo4[0][7]: value = 74.000000
	foo4[0][8]: value = 73.000000
	foo4[0][9]: value = 72.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 81.000000
	foo4[0][1]: value = 80.000000
	foo4[0][2]: value = 79.000000
	foo4[0][3]: value = 78.000000
	foo4[0][4]: value = 77.000000
	foo4[0][5]: value = 76.000000
	foo4[0][6]: value = 75.000000
	foo4[0][7]: value = 74.000000
	foo4[0][8]: value = 73.000000
	foo4[0][9]: value = 72.000000
T3 foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 1
T5 foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 2
T4 foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 3
T3 foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 1
T5 foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 2
T4 foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 3

*** T1 received 10 samples.

*** T2 received 10 samples.

*** T2 received 10 samples.

*** T2 received 10 samples.

*** T3 receiveT6 foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 1
T6 foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 1
T6 foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 1
T6 foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 1
T6 foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 1
T6 foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 1
T6 foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 1
T6 foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 1
T6 foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 1
T6 foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 1

*** T6 received 10 samples.
T7 foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 2
T7 foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 2
T7 foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 2
T7 foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 2
T7 foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 2
T7 foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 2
T7 foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 2
T7 foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 2
T7 foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 2
T7 foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 2

*** T7 received 10 samples.
d 10 samples.

*** T4 received 10 samples.

*** T5 received 10 samples.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ManyTopicMultiProcess/run_test.pl Time:16s Result:0

==============================================================================

tests/DCPS/Monitor/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -DCPSDebugLevel 6 -ORBDebugLevel 10 -ORBLogFile DCPSInfoRepo.log -o repo.ior 
TAO (2276|2276) - Completed initializing the process-wide service context
TAO (2276|2276) - Default ORB services initialization begins
TAO (2276|2276) - ORBInitializer_Registry::register_orb_initializer 0 @0x1ef1860
TAO (2276|2276) - ORBInitializer_Registry::register_orb_initializer 1 @0x1eeec60
TAO (2276|2276) - Default ORB services initialization completed
TAO (2276|2276) - We are the default ORB ...
TAO (2276|2276) - Initializing the orb-specific services
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Monitor/monitor  -DCPSTransportDebugLevel 6 -ORBDebugLevel 10 -ORBLogFile mon.log -DCPSConfigFile mon.ini -DCPSDebugLevel 10
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Monitor/publisher  -i 0 -ORBDebugLevel 10 -ORBLogFile pub.log -DCPSConfigFile pub.ini -DCPSDebugLevel 10
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Monitor/subscriber  -DCPSTransportDebugLevel 6 -ORBDebugLevel 10 -ORBLogFile sub.log -DCPSConfigFile sub.ini -DCPSDebugLevel 10
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
INFO: monitor being killed.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
mon_count=59
test PASSED.

auto_run_tests_finished: tests/DCPS/Monitor/run_test.pl Time:4s Result:0

==============================================================================

tests/DCPS/PersistentInfoRepo/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -ORBSvcConf mySvc.conf -orbendpoint iiop://:10660 
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/PersistentInfoRepo/publisher -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub1.log -DCPSBit 0   -DCPSConfigFile tcp.ini -stage 1 -messages 60
(2328)Pub Stage Creating App
(2328)Pub Creating topic
Options::parse
(2328)Pub Stage 1 Creating writer
(2328)Pub Stage 1 waiting for 1 readers
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/PersistentInfoRepo/subscriber -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub1.log -DCPSBit 0   -DCPSConfigFile tcp.ini -reliable 1 -stage 1
(2333)Sub Creating App
(2333)Sub Creating topic
Options::parse
(2333)Sub Creating Stage 1 reader
(2328)Pub Stage 1 done waiting for reader
(2328)Pub Stage 1 sending id=1
(2333)Sub Stage 1 waiting for 2 writer to come and go
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
(2328)Pub Stage 1 waiting for acks from sub
(2328)Pub Stage 1 done waiting for acks from sub
(2328)Pub Stage 1 waiting for 1 readers
(2328)Pub Stage 1 done waiting for reader
(2328)Pub Stage 1 sending id=2
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -ORBSvcConf mySvc.conf -orbendpoint iiop://:10660 
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/PersistentInfoRepo/publisher -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub2.log -DCPSBit 0   -DCPSConfigFile tcp.ini -stage 2 -messages 60
(2357)Pub Stage Creating App
(2357)Pub Creating topic
Options::parse
(2357)Pub Stage 2 Creating writer
(2357)Pub Stage 2 waiting for 2 readers
(2357)Pub Stage 2 done waiting for reader
(2357)Pub Stage 2 sending id=3
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/PersistentInfoRepo/subscriber -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub2.log -DCPSBit 0   -DCPSConfigFile tcp.ini -reliable 1 -stage 2
(2362)Sub Creating App
(2362)Sub Creating topic
Options::parse
(2362)Sub Creating Stage 2 reader
(2362)Sub Stage 2 waiting for 2 writer to come and go
(2328)Pub Stage 1 waiting for acks from sub
(2328)Pub Stage 1 done waiting for acks from sub
(2328)Pub Stage 1 DDSTopic going out of scope
(2328)Pub Stage 1 DDSApp going out of scope (shutdown)
(2328)Pub Stage 1 returning status=0
(2357)Pub Stage 2 waiting for acks from sub
(2357)Pub Stage 2 done waiting for acks from sub
(2357)Pub Stage 2 DDSTopic going out of scope
(2362)(2333)Sub Stage Sub Stage 21 done waiting
 done waiting
(2362)Sub Stage 2 DDSApp going out of scope
(2333)Sub Stage 1 DDSApp going out of scope
(2357)Pub Stage 2 DDSApp going out of scope (shutdown)
(2357)Pub Stage 2 returning status=0
(2362)Sub Stage 2 returning status=0
(2333)Sub Stage 1 returning status=0
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/PersistentInfoRepo/run_test.pl Time:122s Result:0

==============================================================================

tests/FACE/Messenger/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Subscriber/subscriber face_config.ini  -DCPSPendingTimeout 3 
Subscriber PID: 2381 started at 2023-04-21 22:47:41
Subscriber: about to Receive_Message()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Publisher/publisher face_config.ini -DCPSPendingTimeout 3 
Publisher PID: 2391 started at 2023-04-21 22:47:46
Publisher: about to Send_Message()
Hello, world.	0
test PASSED.

auto_run_tests_finished: tests/FACE/Messenger/run_test.pl Time:25s Result:0

==============================================================================

tests/FACE/Messenger/run_test.pl callback #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Subscriber/subscriber face_config.ini callback -DCPSPendingTimeout 3 
Subscriber PID: 2403 started at 2023-04-21 22:48:06
Subscriber: about to Register_Callback()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Publisher/publisher face_config.ini -DCPSPendingTimeout 3 
Publisher PID: 2409 started at 2023-04-21 22:48:11
Publisher: about to Send_Message()
In callback() (the 1 time): Hello, world.	0	message_type_id: 1	message_size: 32
In callback() (the 2 time): Hello, world.	0	message_type_id: 1	message_size: 32
test PASSED.

auto_run_tests_finished: tests/FACE/Messenger/run_test.pl callback Time:25s Result:0

==============================================================================

tests/FACE/Messenger/run_test.pl static #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Subscriber/subscriber face_config_static.ini  -DCPSPendingTimeout 3 
Subscriber PID: 2482 started at 2023-04-21 22:48:31
Subscriber: about to Receive_Message()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Publisher/publisher face_config_static.ini -DCPSPendingTimeout 3 
Publisher PID: 2487 started at 2023-04-21 22:48:36
Publisher: about to Send_Message()
Hello, world.	0
test PASSED.

auto_run_tests_finished: tests/FACE/Messenger/run_test.pl static Time:25s Result:0

==============================================================================

tests/FACE/Messenger/run_test.pl static callback #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Subscriber/subscriber face_config_static.ini callback -DCPSPendingTimeout 3 
Subscriber PID: 2502 started at 2023-04-21 22:48:56
Subscriber: about to Register_Callback()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Publisher/publisher face_config_static.ini -DCPSPendingTimeout 3 
Publisher PID: 2506 started at 2023-04-21 22:49:01
Publisher: about to Send_Message()
In callback() (the 1 time): Hello, world.	0	message_type_id: 1	message_size: 32
In callback() (the 2 time): Hello, world.	0	message_type_id: 1	message_size: 32
test PASSED.

auto_run_tests_finished: tests/FACE/Messenger/run_test.pl static callback Time:26s Result:0

==============================================================================

tests/FACE/MultiDomainMessenger/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/MultiDomainMessenger/Subscriber/subscriber  -DCPSPendingTimeout 3 
Subscriber PID: 2514 started at 2023-04-21 22:49:22
Subscriber1: about to Receive_Message()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/MultiDomainMessenger/Publisher/publisher  -DCPSPendingTimeout 3 
Publisher PID: 2524 started at 2023-04-21 22:49:27
Publisher1: about to Send_Message()
Publisher2: about to Send_Message()
Hello, world.	0
Subscriber2: about to Receive_Message()
Hello, world.	0
test PASSED.

auto_run_tests_finished: tests/FACE/MultiDomainMessenger/run_test.pl Time:25s Result:0

==============================================================================

tests/FACE/MultiDomainMessenger/run_test.pl callback #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/MultiDomainMessenger/Subscriber/subscriber callback -DCPSPendingTimeout 3 
Subscriber PID: 2548 started at 2023-04-21 22:49:47
Subscriber1: about to Register_Callback()
Subscriber2: about to Register_Callback()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/MultiDomainMessenger/Publisher/publisher  -DCPSPendingTimeout 3 
Publisher PID: 2560 started at 2023-04-21 22:49:52
Publisher1: about to Send_Message()
Publisher2: about to Send_Message()
In callback() (the 1 time): Hello, world.	0	message_type_id: 1	message_size: 32
In callback() (the 2 time): Hello, world.	0	message_type_id: 1	message_size: 32
In callback() (the 3 time): Hello, world.	0	message_type_id: 1	message_size: 32
In callback() (the 4 time): Hello, world.	0	message_type_id: 1	message_size: 32
test PASSED.

auto_run_tests_finished: tests/FACE/MultiDomainMessenger/run_test.pl callback Time:25s Result:0

==============================================================================

tests/FACE/SingleProcessMessenger/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/SingleProcessMessenger/SingleProcess/singleprocess face_config.ini  -DCPSPendingTimeout 3 
SingleProcess PID: 2583 started at 2023-04-21 22:50:12
Publisher: about to Send_Message()
Subscriber: about to Receive_Message()
Hello, world.	0
test PASSED.

auto_run_tests_finished: tests/FACE/SingleProcessMessenger/run_test.pl Time:5s Result:0

==============================================================================

tests/FACE/SingleProcessMessenger/run_test.pl callback #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/SingleProcessMessenger/SingleProcess/singleprocess face_config.ini callback -DCPSPendingTimeout 3 
SingleProcess PID: 2590 started at 2023-04-21 22:50:18
Subscriber: about to Register_Callback()
Publisher: about to Send_Message()
In callback() (the 1 time): Hello, world.	0	message_type_id: 1	message_size: 32
test PASSED.

auto_run_tests_finished: tests/FACE/SingleProcessMessenger/run_test.pl callback Time:11s Result:0

==============================================================================

tests/FACE/CallbackAndReceive/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/CallbackAndReceive/Subscriber/subscriber  -DCPSPendingTimeout 3 
Subscriber PID: 2598 started at 2023-04-21 22:50:28
Subscriber: about to Register_Callback()
Subscriber: about to Receive_Message()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/CallbackAndReceive/Publisher/publisher  -DCPSPendingTimeout 3 
Publisher PID: 2604 started at 2023-04-21 22:50:33
Publisher: about to send_message()
  sending 0
  sending 1
  sending 2
  sending 3
  sending 4
  sending 5
In callback() (the 1 time): Hello, world.	0	message_type_id: 1	message_size: 32
  sending 6
  sending 7
In callback() (the 2 time): Hello, world.	1	message_type_id: 1	message_size: 32
Subscriber: about to Unregister_Callback()
  sending 8
  sending 9
  sending 10
Receive_Message: (the 0 time): Hello, world.	2	ttid: 3
  sending 11
  sending 12
  sending 13
Receive_Message: (the 1 time): Hello, world.	3	ttid: 4
  sending 14
  sending 15
Receive_Message: (the 2 time): Hello, world.	4	ttid: 5
  sending 16
  sending 17
Receive_Message: (the 3 time): Hello, world.	5	ttid: 6
  sending 18
  sending 19
Receive_Message: (the 4 time): Hello, world.	6	ttid: 7
Receive_Message: (the 5 time): Hello, world.	7	ttid: 8
Receive_Message: (the 6 time): Hello, world.	8	ttid: 9
Receive_Message: (the 7 time): Hello, world.	9	ttid: 10
Receive_Message: (the 8 time): Hello, world.	10	ttid: 11
Receive_Message: (the 9 time): Hello, world.	11	ttid: 12
Receive_Message: (the 10 time): Hello, world.	12	ttid: 13
Receive_Message: (the 11 time): Hello, world.	13	ttid: 14
Receive_Message: (the 12 time): Hello, world.	14	ttid: 15
Receive_Message: (the 13 time): Hello, world.	15	ttid: 16
Receive_Message: (the 14 time): Hello, world.	16	ttid: 17
Receive_Message: (the 15 time): Hello, world.	17	ttid: 18
Receive_Message: (the 16 time): Hello, world.	18	ttid: 19
Receive_Message: (the 17 time): Hello, world.	19	ttid: 20
(2598|2598) Callback was triggered 2 times
(2598|2598) Receive Message was triggered 18 times
test PASSED.

auto_run_tests_finished: tests/FACE/CallbackAndReceive/run_test.pl Time:35s Result:0

==============================================================================

tests/FACE/Header/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Header/Subscriber/subscriber  -DCPSPendingTimeout 3 
Subscriber PID: 2622 started at 2023-04-21 22:51:03
Subscriber: about to Register_Callback()
Subscriber: about to Receive_Message()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Header/Publisher/publisher  -DCPSPendingTimeout 3 
Publisher PID: 2628 started at 2023-04-21 22:51:08
Publisher: about to send_message() 10x for callbacks
  sending 0
  sending 1
  sending 2
  sending 3
  sending 4
  sending 5
In callback() (the 1 time): Hello, world.	0	msg_instance_guid: bf8254dd00000002	message_type_id: 1	message_size: 24	transaction_id: 1
In callback() Message Header - tid: 1
	platform view guid: 1
	source timestamp: 1682135478635461999
	instance guid: bf8254dd00000002
	source guid: 9645061
	validity 1
  sending 6
In callback() (the 2 time): Hello, world.	1	msg_instance_guid: bf8254dd00000003	message_type_id: 1	message_size: 24	transaction_id: 2
In callback() Message Header - tid: 2
	platform view guid: 1
	source timestamp: 1682135478636992999
	instance guid: bf8254dd00000003
	source guid: 9645061
	validity 1
  sending 7
  sending 8
In callback() (the 3 time): Hello, world.	2	msg_instance_guid: bf8254dd00000004	message_type_id: 1	message_size: 24	transaction_id: 3
In callback() Message Header - tid: 3
	platform view guid: 1
	source timestamp: 1682135478637350999
	instance guid: bf8254dd00000004
	source guid: 9645061
	validity 1
  sending 9
Sleep - wait for callback to unregister
In callback() (the 4 time): Hello, world.	3	msg_instance_guid: bf8254dd00000005	message_type_id: 1	message_size: 24	transaction_id: 4
In callback() Message Header - tid: 4
	platform view guid: 1
	source timestamp: 1682135478637695999
	instance guid: bf8254dd00000005
	source guid: 9645061
	validity 1
In callback() (the 5 time): Hello, world.	4	msg_instance_guid: bf8254dd00000006	message_type_id: 1	message_size: 24	transaction_id: 5
In callback() Message Header - tid: 5
	platform view guid: 1
	source timestamp: 1682135478638016999
	instance guid: bf8254dd00000006
	source guid: 9645061
	validity 1
In callback() (the 6 time): Hello, world.	5	msg_instance_guid: bf8254dd00000007	message_type_id: 1	message_size: 24	transaction_id: 6
In callback() Message Header - tid: 6
	platform view guid: 1
	source timestamp: 1682135478638438999
	instance guid: bf8254dd00000007
	source guid: 9645061
	validity 1
In callback() (the 7 time): Hello, world.	6	msg_instance_guid: bf8254dd00000008	message_type_id: 1	message_size: 24	transaction_id: 7
In callback() Message Header - tid: 7
	platform view guid: 1
	source timestamp: 1682135478638761999
	instance guid: bf8254dd00000008
	source guid: 9645061
	validity 1
In callback() (the 8 time): Hello, world.	7	msg_instance_guid: bf8254dd00000009	message_type_id: 1	message_size: 24	transaction_id: 8
In callback() Message Header - tid: 8
	platform view guid: 1
	source timestamp: 1682135478639092999
	instance guid: bf8254dd00000009
	source guid: 9645061
	validity 1
In callback() (the 9 time): Hello, world.	8	msg_instance_guid: bf8254dd0000000a	message_type_id: 1	message_size: 24	transaction_id: 9
In callback() Message Header - tid: 9
	platform view guid: 1
	source timestamp: 1682135478639434999
	instance guid: bf8254dd0000000a
	source guid: 9645061
	validity 1
In callback() (the 10 time): Hello, world.	9	msg_instance_guid: bf8254dd0000000b	message_type_id: 1	message_size: 24	transaction_id: 10
In callback() Message Header - tid: 10
	platform view guid: 1
	source timestamp: 1682135478639758999
	instance guid: bf8254dd0000000b
	source guid: 9645061
	validity 1
Subscriber: about to Unregister_Callback()
Sleep - done waiting for callback to unregister
Publisher: about to send_message() 10x for receives
  sending 10
  sending 11
  sending 12
Receive_Message: (the 0 time): Hello, world.	12	msg_instance_guid: bf8254dd0000000e	ttid: 11
Message Header - tid: 11
	platform view guid: 1
	source timestamp: 1682135498642096999
	instance guid: bf8254dd0000000e
	source guid: 9645061
	validity 1
  sending 13
  sending 14
  sending 15
  sending 16
  sending 17
  sending 18
  sending 19
Receive_Message: (the 1 time): Hello, world.	19	msg_instance_guid: bf8254dd00000015	ttid: 12
Message Header - tid: 12
	platform view guid: 1
	source timestamp: 1682135498646430999
	instance guid: bf8254dd00000015
	source guid: 9645061
	validity 1
(2622|2622) Callback was triggered 10 times
(2622|2622) Receive Message was triggered 2 times
test PASSED.

auto_run_tests_finished: tests/FACE/Header/run_test.pl Time:50s Result:0

==============================================================================

tests/FACE/Reliability/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Reliability/Subscriber/subscriber  -DCPSPendingTimeout 3 
Subscriber PID: 2648 started at 2023-04-21 22:51:53
Subscriber: about to receive_message()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Reliability/Publisher/publisher  -DCPSPendingTimeout 3 
Publisher PID: 2658 started at 2023-04-21 22:51:58
Publisher: about to test timeout values in send_message()
Test 1: sending with TIMEOUT=1 MAX_BLOCKING=INF, should return INVALID_PARAM
Test 1: PASSED
Test 2: sending with TIMEOUT=0 MAX_BLOCKING=Default (100000000 nsec), should return INVALID_PARAM
Test 2: PASSED
Test 3: sending msg 0 with TIMEOUT=100000000 nsec MAX_BLOCKING=Default (100000000 nsec), should succeed
Hello, world.	0
Test 3: PASSED
Test 4: sending msg 1 with TIMEOUT=200000000 nsec MAX_BLOCKING=Default (100000000 nsec), should succeed
Hello, world.	1
Test 4: PASSED
Publisher: about to send_message()
  sending 2
Hello, world.	2
  sending 3
Hello, world.	3
  sending 4
Hello, world.	4
  sending 5
Hello, world.	5
  sending 6
Hello, world.	6
  sending 7
Hello, world.	7
  sending 8
Hello, world.	8
  sending 9
Hello, world.	9
  sending 10
Hello, world.	10
  sending 11
Hello, world.	11
  sending 12
Hello, world.	12
  sending 13
Hello, world.	13
  sending 14
Hello, world.	14
  sending 15
Hello, world.	15
  sending 16
Hello, world.	16
  sending 17
Hello, world.	17
  sending 18
Hello, world.	18
  sending 19
Hello, world.	19
test PASSED.

auto_run_tests_finished: tests/FACE/Reliability/run_test.pl Time:52s Result:0

==============================================================================

tests/FACE/Partition/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Subscriber/subscriber 1 -DCPSPendingTimeout 3 
Subscriber1 PID: 2685 started at 2023-04-21 22:52:46
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Subscriber/subscriber 2 -DCPSPendingTimeout 3 
Subscriber2 PID: 2688 started at 2023-04-21 22:52:46
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Subscriber/subscriber 3 -DCPSPendingTimeout 3 
Subscriber3 PID: 2689 started at 2023-04-21 22:52:46
(2685|2685) Subscriber: about to receive_message()
(2689|2689) Subscriber: about to receive_message()
(2688|2688) Subscriber: about to receive_message()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Publisher/publisher 1 -DCPSPendingTimeout 3 
Publisher1 PID: 2718 started at 2023-04-21 22:52:49
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Publisher/publisher 2 -DCPSPendingTimeout 3 
Publisher2 PID: 2720 started at 2023-04-21 22:52:49
(2718|2718) Publisher: about to send_message()
(2718|2718) sending part: 1
(2685|2685) Subscriber1: Hello, world. part: 1
(2689|2689) Subscriber3: Hello, world. part: 1
(2689|2689) Subscriber: about to receive_message()
(2720|2720) Publisher: about to send_message()
(2720|2720) sending part: 2
(2689|2689) Subscriber3: Hello, world. part: 2
(2688|2688) Subscriber2: Hello, world. part: 2
(2689|2689) Subscriber: about to receive_message()
(2688|2688) Subscriber: about to receive_message()
(2720|2720) sending part: 2
(2689|2689) Subscriber3: Hello, world. part: 2
(2688|2688) Subscriber2: Hello, world. part: 2
test PASSED.

auto_run_tests_finished: tests/FACE/Partition/run_test.pl Time:20s Result:0

==============================================================================

tests/FACE/Compiler/idl_test1_main/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test1_main/idl_test1  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile idl_test1.log -DCPSPendingTimeout 3 
idl_test1 PID: 2734 started at 2023-04-21 22:53:05
serialized_size_bound => <unbounded>
serialized_size => 90
BEFORE WRITING, LENGTH: 0, BUFFER:

AFTER WRITING, LENGTH: 90, BUFFER:
4d 00 00 00 13 00 00 00  00 ff 80 3d 02 00 00 00   M..........=....
07 00 0b 00 01 00 00 00  00 00 00 00 00 00 00 00   ................
05 00 00 00 66 6f 75 72  00 a0 8b d8 e6 00 00 00   ....four........
00 18 5f c7 db 00 00 00  00 b0 95 eb dc 00 00 00   .._.............
00 a4 70 7d 3f ae 47 e1  3d 00 00 00 00 09 00 00   ..p}?.G.=.......
00 61 20 73 74 72 69 6e  67 00                     .a string.      

AFTER READING, LENGTH: 0, BUFFER:
4d 00 00 00 13 00 00 00  00 ff 80 3d 02 00 00 00   M..........=....
07 00 0b 00 01 00 00 00  00 00 00 00 00 00 00 00   ................
05 00 00 00 66 6f 75 72  00 a0 8b d8 e6 00 00 00   ....four........
00 18 5f c7 db 00 00 00  00 b0 95 eb dc 00 00 00   .._.............
00 a4 70 7d 3f ae 47 e1  3d 00 00 00 00 09 00 00   ..p}?.G.=.......
00 61 20 73 74 72 69 6e  67 00                     .a string.      

key (expected:
4d 00 00 00                                        M...            
, observed:
4d 00 00 00                                        M...            
)
x (expected:
a4 70 7d 3f                                        .p}?            
, observed:
a4 70 7d 3f                                        .p}?            
)
y (expected:
ae 47 e1 3d                                        .G.=            
, observed:
ae 47 e1 3d                                        .G.=            
)
xcolor (expected:
00 00                                              ..              
, observed:
00 00                                              ..              
)
octer (expected:
13                                                 .               
, observed:
13                                                 .               
)
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test1_main/idl_test1 PASSED
test PASSED.

auto_run_tests_finished: tests/FACE/Compiler/idl_test1_main/run_test.pl Time:0s Result:0

==============================================================================

tests/FACE/Compiler/idl_test3_main/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test3_main/idl_test3  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile idl_test3.log -DCPSPendingTimeout 3 
idl_test3 PID: 2736 started at 2023-04-21 22:53:05
Xyz::StructOfArrayOfBoolean: serialized_size_bound(unaligned_encoding) => 5
Xyz::StructOfArrayOfBoolean: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfBoolean: serialized_size(unaligned_encoding, foo) => 5
Xyz::StructOfArrayOfBoolean: serialized_size(aligned_encoding, foo) => 5
Xyz::StructOfArrayOfBoolean: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfBoolean: AFTER WRITING, LENGTH: 5, BUFFER:
01 00 01 00 01                                     .....           

Xyz::StructOfArrayOfBoolean: try_marshaling PASSED
Xyz::StructOfArrayOfString: serialized_size_bound(unaligned_encoding) => 60
Xyz::StructOfArrayOfString: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfString: serialized_size(unaligned_encoding, foo) => 39
Xyz::StructOfArrayOfString: serialized_size(aligned_encoding, foo) => 49
Xyz::StructOfArrayOfString: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfString: AFTER WRITING, LENGTH: 39, BUFFER:
02 00 00 00 49 00 05 00  00 00 68 6f 70 65 00 05   ....I.....hope..
00 00 00 74 68 69 73 00  06 00 00 00 77 6f 72 6b   ...this.....work
73 00 01 00 00 00 00                               s......         

Xyz::StructOfArrayOfString: try_marshaling PASSED
Xyz::StructOfArrayOfChar: serialized_size_bound(unaligned_encoding) => 5
Xyz::StructOfArrayOfChar: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfChar: serialized_size(unaligned_encoding, foo) => 5
Xyz::StructOfArrayOfChar: serialized_size(aligned_encoding, foo) => 5
Xyz::StructOfArrayOfChar: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfChar: AFTER WRITING, LENGTH: 5, BUFFER:
41 42 43 44 45                                     ABCDE           

Xyz::StructOfArrayOfChar: try_marshaling PASSED
Xyz::StructOfArrayOfOctet: serialized_size_bound(unaligned_encoding) => 5
Xyz::StructOfArrayOfOctet: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfOctet: serialized_size(unaligned_encoding, foo) => 5
Xyz::StructOfArrayOfOctet: serialized_size(aligned_encoding, foo) => 5
Xyz::StructOfArrayOfOctet: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfOctet: AFTER WRITING, LENGTH: 5, BUFFER:
00 01 02 03 04                                     .....           

Xyz::StructOfArrayOfOctet: try_marshaling PASSED
Xyz::StructOfArrayOfLong: serialized_size_bound(unaligned_encoding) => 20
Xyz::StructOfArrayOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfLong: serialized_size(unaligned_encoding, foo) => 20
Xyz::StructOfArrayOfLong: serialized_size(aligned_encoding, foo) => 20
Xyz::StructOfArrayOfLong: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfLong: AFTER WRITING, LENGTH: 20, BUFFER:
00 00 00 00 01 00 00 00  02 00 00 00 03 00 00 00   ................
04 00 00 00                                        ....            

Xyz::StructOfArrayOfLong: try_marshaling PASSED
Xyz::StructOfArrayOfAnEnum: serialized_size_bound(unaligned_encoding) => 20
Xyz::StructOfArrayOfAnEnum: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfAnEnum: serialized_size(unaligned_encoding, foo) => 20
Xyz::StructOfArrayOfAnEnum: serialized_size(aligned_encoding, foo) => 20
Xyz::StructOfArrayOfAnEnum: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfAnEnum: AFTER WRITING, LENGTH: 20, BUFFER:
01 00 00 00 02 00 00 00  01 00 00 00 02 00 00 00   ................
01 00 00 00                                        ....            

Xyz::StructOfArrayOfAnEnum: try_marshaling PASSED
Xyz::StructOfArrayOfArrayOfLong: serialized_size_bound(unaligned_encoding) => 140
Xyz::StructOfArrayOfArrayOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfArrayOfLong: serialized_size(unaligned_encoding, foo) => 140
Xyz::StructOfArrayOfArrayOfLong: serialized_size(aligned_encoding, foo) => 140
Xyz::StructOfArrayOfArrayOfLong: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfArrayOfLong: AFTER WRITING, LENGTH: 140, BUFFER:
00 00 00 00 01 00 00 00  02 00 00 00 03 00 00 00   ................
04 00 00 00 05 00 00 00  06 00 00 00 07 00 00 00   ................
08 00 00 00 09 00 00 00  0a 00 00 00 0b 00 00 00   ................
0c 00 00 00 0d 00 00 00  0e 00 00 00 0f 00 00 00   ................
10 00 00 00 11 00 00 00  12 00 00 00 13 00 00 00   ................
14 00 00 00 15 00 00 00  16 00 00 00 17 00 00 00   ................
18 00 00 00 19 00 00 00  1a 00 00 00 1b 00 00 00   ................
1c 00 00 00 1d 00 00 00  1e 00 00 00 1f 00 00 00   ................
20 00 00 00 21 00 00 00  22 00 00 00                ...!..."...    

Xyz::StructOfArrayOfArrayOfLong: try_marshaling PASSED
Xyz::StructOfSeqOfBoolean: serialized_size_bound(unaligned_encoding) => 10
Xyz::StructOfSeqOfBoolean: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfBoolean: serialized_size(unaligned_encoding, foo) => 9
Xyz::StructOfSeqOfBoolean: serialized_size(aligned_encoding, foo) => 9
Xyz::StructOfSeqOfBoolean: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfBoolean: AFTER WRITING, LENGTH: 9, BUFFER:
05 00 00 00 01 00 01 00  01                        .........       

Xyz::StructOfSeqOfBoolean: try_marshaling PASSED
Xyz::StructOfSeqOfString: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size(unaligned_encoding, foo) => 43
Xyz::StructOfSeqOfString: serialized_size(aligned_encoding, foo) => 53
Xyz::StructOfSeqOfString: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfString: AFTER WRITING, LENGTH: 43, BUFFER:
05 00 00 00 02 00 00 00  49 00 05 00 00 00 68 6f   ........I.....ho
70 65 00 05 00 00 00 74  68 69 73 00 06 00 00 00   pe.....this.....
77 6f 72 6b 73 00 01 00  00 00 00                  works......     

Xyz::StructOfSeqOfString: try_marshaling PASSED
Xyz::StructOfSeqOfChar: serialized_size_bound(unaligned_encoding) => 10
Xyz::StructOfSeqOfChar: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfChar: serialized_size(unaligned_encoding, foo) => 9
Xyz::StructOfSeqOfChar: serialized_size(aligned_encoding, foo) => 9
Xyz::StructOfSeqOfChar: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfChar: AFTER WRITING, LENGTH: 9, BUFFER:
05 00 00 00 41 42 43 44  45                        ....ABCDE       

Xyz::StructOfSeqOfChar: try_marshaling PASSED
Xyz::StructOfSeqOfOctet: serialized_size_bound(unaligned_encoding) => 10
Xyz::StructOfSeqOfOctet: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfOctet: serialized_size(unaligned_encoding, foo) => 9
Xyz::StructOfSeqOfOctet: serialized_size(aligned_encoding, foo) => 9
Xyz::StructOfSeqOfOctet: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfOctet: AFTER WRITING, LENGTH: 9, BUFFER:
05 00 00 00 00 01 02 03  04                        .........       

Xyz::StructOfSeqOfOctet: try_marshaling PASSED
Xyz::StructOfSeqOfLong: serialized_size_bound(unaligned_encoding) => 28
Xyz::StructOfSeqOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfLong: serialized_size(unaligned_encoding, foo) => 24
Xyz::StructOfSeqOfLong: serialized_size(aligned_encoding, foo) => 24
Xyz::StructOfSeqOfLong: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfLong: AFTER WRITING, LENGTH: 24, BUFFER:
05 00 00 00 00 00 00 00  01 00 00 00 02 00 00 00   ................
03 00 00 00 04 00 00 00                            ........        

Xyz::StructOfSeqOfLong: try_marshaling PASSED
Xyz::StructOfSeqOfAnEnum: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::StructOfSeqOfAnEnum: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfAnEnum: serialized_size(unaligned_encoding, foo) => 24
Xyz::StructOfSeqOfAnEnum: serialized_size(aligned_encoding, foo) => 24
Xyz::StructOfSeqOfAnEnum: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfAnEnum: AFTER WRITING, LENGTH: 24, BUFFER:
05 00 00 00 01 00 00 00  02 00 00 00 01 00 00 00   ................
02 00 00 00 01 00 00 00                            ........        

Xyz::StructOfSeqOfAnEnum: try_marshaling PASSED
Xyz::StructOfArrayOfSeqOfLong: serialized_size_bound(unaligned_encoding) => 168
Xyz::StructOfArrayOfSeqOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfSeqOfLong: serialized_size(unaligned_encoding, foo) => 144
Xyz::StructOfArrayOfSeqOfLong: serialized_size(aligned_encoding, foo) => 144
Xyz::StructOfArrayOfSeqOfLong: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfSeqOfLong: AFTER WRITING, LENGTH: 144, BUFFER:
05 00 00 00 00 00 00 00  01 00 00 00 02 00 00 00   ................
03 00 00 00 04 00 00 00  05 00 00 00 05 00 00 00   ................
06 00 00 00 07 00 00 00  08 00 00 00 09 00 00 00   ................
05 00 00 00 0a 00 00 00  0b 00 00 00 0c 00 00 00   ................
0d 00 00 00 0e 00 00 00  05 00 00 00 0f 00 00 00   ................
10 00 00 00 11 00 00 00  12 00 00 00 13 00 00 00   ................
05 00 00 00 14 00 00 00  15 00 00 00 16 00 00 00   ................
17 00 00 00 18 00 00 00  05 00 00 00 19 00 00 00   ................
1a 00 00 00 1b 00 00 00  1c 00 00 00 1d 00 00 00   ................

Xyz::StructOfArrayOfSeqOfLong: try_marshaling PASSED
Xyz::StructOfSeqOfSeqOfLong: serialized_size_bound(unaligned_encoding) => 116
Xyz::StructOfSeqOfSeqOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfSeqOfLong: serialized_size(unaligned_encoding, foo) => 100
Xyz::StructOfSeqOfSeqOfLong: serialized_size(aligned_encoding, foo) => 100
Xyz::StructOfSeqOfSeqOfLong: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfSeqOfLong: AFTER WRITING, LENGTH: 100, BUFFER:
04 00 00 00 05 00 00 00  00 00 00 00 01 00 00 00   ................
02 00 00 00 03 00 00 00  04 00 00 00 05 00 00 00   ................
05 00 00 00 06 00 00 00  07 00 00 00 08 00 00 00   ................
09 00 00 00 05 00 00 00  0a 00 00 00 0b 00 00 00   ................
0c 00 00 00 0d 00 00 00  0e 00 00 00 05 00 00 00   ................
0f 00 00 00 10 00 00 00  11 00 00 00 12 00 00 00   ................
13 00 00 00                                        ....            

Xyz::StructOfSeqOfSeqOfLong: try_marshaling PASSED
Xyz::StructOfSeqOfString: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size(unaligned_encoding, foo) => 23
Xyz::StructOfSeqOfString: serialized_size(aligned_encoding, foo) => 26
Xyz::StructOfSeqOfString: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfString: AFTER WRITING, LENGTH: 23, BUFFER:
02 00 00 00 05 00 00 00  66 6f 75 72 00 06 00 00   ........four....
00 66 69 76 65 35 00                               .five5.         

Xyz::StructOfSeqOfString: try_marshaling PASSED
Xyz::Foo: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::Foo: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::Foo: serialized_size(unaligned_encoding, foo) => 3625
Xyz::Foo: serialized_size(aligned_encoding, foo) => 4136
Xyz::Foo: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::Foo: AFTER WRITING, LENGTH: 3625, BUFFER:
4d 00 00 00 13 14 00 00  00 00 ff 80 3d 05 00 00   M...........=...
00 66 6f 75 72 00 00 00  00 00 00 00 00 00 00 00   .four...........
00 00 00 01 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  01 00 00 00 00 01 00 00   ................
00 00 01 00 00 00 00 01  00 00 00 00 01 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 01 00  00 00 00 01 00 00 00 00   ................
01 00 00 00 00 01 00 00  00 00 01 00 00 00 00 01   ................
00 00 00 00 01 00 00 00  00 01 00 00 00 00 01 00   ................
00 00 00 01 00 00 00 00  01 00 00 00 00 01 00 00   ................
00 00 01 00 00 00 00 01  00 00 00 00 01 00 00 00   ................
00 01 00 00 00 00 01 00  00 00 00 01 00 00 00 00   ................
01 00 00 00 00 01 00 00  00 00 01 00 00 00 00 01   ................
00 00 00 00 01 00 00 00  00 01 00 00 00 00 01 00   ................
00 00 00 01 00 00 00 00  01 00 00 00 00 01 00 00   ................
00 00 01 00 00 00 00 01  00 00 00 00 01 00 00 00   ................
00 01 00 00 00 00 01 00  00 00 00 01 00 00 00 00   ................
01 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 5c f0 20   .............\. 
6b 3b 00 00 00 00 00 00  00 00 00 7b 38 e0 6b 3b   k;.........{8.k;
00 00 00 a0 c9 a4 b9 55  7f 00 00 aa 82 20 6b 3b   .......U..... k;
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 b9 40 20 6c 3b  00 00 00 01 00 00 00 00   ....@ l;........
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 20 94 2a cd ff ff 00  00 00 00 00 01 00 00 00   . .*............
00 fc 5c a1 3b 00 00 00  00 00 00 00 55 7f 00 00   ..\.;.......U...
00 01 00 00 00 00 01 00  00 00 00 01Xyz::Foo: try_marshaling PASSED
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test3_main/idl_test3 PASSED
test PASSED.

auto_run_tests_finished: tests/FACE/Compiler/idl_test3_main/run_test.pl Time:0s Result:0

==============================================================================

tests/FACE/Compiler/idl_test_fixed/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test_fixed/TestFixed  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile TestFixed.log -DCPSPendingTimeout 3 
TestFixed PID: 2738 started at 2023-04-21 22:53:06
test PASSED.

auto_run_tests_finished: tests/FACE/Compiler/idl_test_fixed/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/XTypes/run_test.pl #

----- IgnoreMemberNames_MutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableStructMatch.log --type MutableStruct --ignore-member-names -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableStructMatch PID: 2740 started at 2023-04-21 22:53:06
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableStructMatch.log --type ModifiedNameMutableStruct -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableStructMatch PID: 2741 started at 2023-04-21 22:53:06
test PASSED.
----- MutableUnionNoMatchDisc --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchDisc.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchDisc PID: 2756 started at 2023-04-21 22:53:07
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchDisc.log --type ModifiedDiscMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchDisc PID: 2757 started at 2023-04-21 22:53:07
test PASSED.
----- AppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableMatch.log --type AppendableStruct -DCPSPendingTimeout 3 
reader_AppendableMatch PID: 2771 started at 2023-04-21 22:53:07
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableMatch.log --type AdditionalPostfixFieldStruct -DCPSPendingTimeout 3 
writer_AppendableMatch PID: 2772 started at 2023-04-21 22:53:07
test PASSED.
----- FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructNoMatch.log --type FinalStructSub -DCPSPendingTimeout 3 
reader_FinalStructNoMatch PID: 2783 started at 2023-04-21 22:53:08
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructNoMatch.log --type ModifiedFinalStruct -DCPSPendingTimeout 3 
writer_FinalStructNoMatch PID: 2784 started at 2023-04-21 22:53:08
test PASSED.
----- IgnoreMemberNames_MutableUnionMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableUnionMatch.log --type MutableUnion --ignore-member-names -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableUnionMatch PID: 2795 started at 2023-04-21 22:53:08
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableUnionMatch.log --type ModifiedNameMutableUnion -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableUnionMatch PID: 2796 started at 2023-04-21 22:53:08
test PASSED.
----- NoXTypesMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName -DCPSPendingTimeout 3 
reader_NoXTypesMatchTypeNames PID: 2814 started at 2023-04-21 22:53:09
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName -DCPSPendingTimeout 3 
writer_NoXTypesMatchTypeNames PID: 2816 started at 2023-04-21 22:53:09
test PASSED.
----- Dependency --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Dependency.log --type AppendableStruct -DCPSPendingTimeout 3 
reader_Dependency PID: 2828 started at 2023-04-21 22:53:10
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Dependency.log --type AppendableStructWithDependency -DCPSPendingTimeout 3 
writer_Dependency PID: 2829 started at 2023-04-21 22:53:10
test PASSED.
----- DisallowTypeCoercion_FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructNoMatch.log --type FinalStructSub --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructNoMatch PID: 2840 started at 2023-04-21 22:53:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructNoMatch.log --type ModifiedFinalStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructNoMatch PID: 2841 started at 2023-04-21 22:53:11
test PASSED.
----- MutableUnion --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnion.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnion PID: 2852 started at 2023-04-21 22:53:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnion.log --type ModifiedMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnion PID: 2853 started at 2023-04-21 22:53:11
test PASSED.
----- MutableStruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStruct.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStruct PID: 2864 started at 2023-04-21 22:53:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStruct.log --type ModifiedMutableStruct -DCPSPendingTimeout 3 
writer_MutableStruct PID: 2865 started at 2023-04-21 22:53:12
test PASSED.
----- FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructMatch.log --type FinalStructSub -DCPSPendingTimeout 3 
reader_FinalStructMatch PID: 2876 started at 2023-04-21 22:53:13
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructMatch.log --type FinalStructPub -DCPSPendingTimeout 3 
writer_FinalStructMatch PID: 2877 started at 2023-04-21 22:53:13
test PASSED.
----- MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchType.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStructNoMatchType PID: 2888 started at 2023-04-21 22:53:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct -DCPSPendingTimeout 3 
writer_MutableStructNoMatchType PID: 2889 started at 2023-04-21 22:53:14
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes --force-type-validation -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_ny PID: 2900 started at 2023-04-21 22:53:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_ny PID: 2901 started at 2023-04-21 22:53:14
test PASSED.
----- Match_no_xtypes_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_nn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
reader_Match_no_xtypes_nn PID: 2912 started at 2023-04-21 22:53:15
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_nn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_Match_no_xtypes_nn PID: 2913 started at 2023-04-21 22:53:15
test PASSED.
----- DisallowTypeCoercion_FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructSub --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructMatch PID: 2924 started at 2023-04-21 22:53:15
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructPub -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructMatch PID: 2925 started at 2023-04-21 22:53:15
test PASSED.
----- Match_no_xtypes_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_yn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
reader_Match_no_xtypes_yn PID: 2938 started at 2023-04-21 22:53:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Match_no_xtypes_yn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_Match_no_xtypes_yn PID: 2939 started at 2023-04-21 22:53:16
test PASSED.
----- Match_no_xtypes_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Match_no_xtypes_ny.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
reader_Match_no_xtypes_ny PID: 2952 started at 2023-04-21 22:53:17
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_ny.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_Match_no_xtypes_ny PID: 2953 started at 2023-04-21 22:53:17
test PASSED.
----- ExtendedMutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedMutableStructMatch.log --type MutableStruct -DCPSPendingTimeout 3 
reader_ExtendedMutableStructMatch PID: 2979 started at 2023-04-21 22:53:18
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedMutableStructMatch.log --type MutableBaseStruct -DCPSPendingTimeout 3 
writer_ExtendedMutableStructMatch PID: 2980 started at 2023-04-21 22:53:18
test PASSED.
----- Tryconstruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Tryconstruct.log --type Trim20Struct -DCPSPendingTimeout 3 
reader_Tryconstruct PID: 2992 started at 2023-04-21 22:53:19
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Tryconstruct.log --type Trim64Struct -DCPSPendingTimeout 3 
writer_Tryconstruct PID: 2993 started at 2023-04-21 22:53:19
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchType.log --type MutableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchType PID: 3004 started at 2023-04-21 22:53:20
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchType PID: 3005 started at 2023-04-21 22:53:20
test PASSED.
----- ExtendedAppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedAppendableMatch.log --type ExtendedAppendableStruct -DCPSPendingTimeout 3 
reader_ExtendedAppendableMatch PID: 3017 started at 2023-04-21 22:53:20
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedAppendableMatch.log --type BaseAppendableStruct -DCPSPendingTimeout 3 
writer_ExtendedAppendableMatch PID: 3018 started at 2023-04-21 22:53:20
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch2 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AppendableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 3029 started at 2023-04-21 22:53:21
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AdditionalPrefixFieldStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 3030 started at 2023-04-21 22:53:21
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatchType.log --type MutableUnion --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatchType PID: 3041 started at 2023-04-21 22:53:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatchType PID: 3042 started at 2023-04-21 22:53:22
test PASSED.
----- NoXTypesNoMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type ReaderTypeName -DCPSPendingTimeout 3 
reader_NoXTypesNoMatchTypeNames PID: 3053 started at 2023-04-21 22:53:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type WriterTypeName -DCPSPendingTimeout 3 
writer_NoXTypesNoMatchTypeNames PID: 3054 started at 2023-04-21 22:53:22
test PASSED.
----- MutableStructNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchName.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStructNoMatchName PID: 3065 started at 2023-04-21 22:53:23
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchName.log --type ModifiedNameMutableStruct -DCPSPendingTimeout 3 
writer_MutableStructNoMatchName PID: 3066 started at 2023-04-21 22:53:23
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatch.log --type MutableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatch PID: 3077 started at 2023-04-21 22:53:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatch.log --type ModifiedMutableStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatch PID: 3078 started at 2023-04-21 22:53:24
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatch.log --type MutableUnion --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatch PID: 3090 started at 2023-04-21 22:53:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatch.log --type ModifiedMutableUnion -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatch PID: 3091 started at 2023-04-21 22:53:24
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes --force-type-validation -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_nn PID: 3102 started at 2023-04-21 22:53:25
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_nn PID: 3103 started at 2023-04-21 22:53:25
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes --force-type-validation -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_yn PID: 3114 started at 2023-04-21 22:53:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_yn PID: 3115 started at 2023-04-21 22:53:26
test PASSED.
----- MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchId.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStructNoMatchId PID: 3126 started at 2023-04-21 22:53:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchId.log --type ModifiedIdMutableStruct -DCPSPendingTimeout 3 
writer_MutableStructNoMatchId PID: 3127 started at 2023-04-21 22:53:27
test PASSED.
----- AppendableNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableNoMatch.log --type AppendableStruct -DCPSPendingTimeout 3 
reader_AppendableNoMatch PID: 3138 started at 2023-04-21 22:53:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableNoMatch.log --type AdditionalPrefixFieldStruct -DCPSPendingTimeout 3 
writer_AppendableNoMatch PID: 3139 started at 2023-04-21 22:53:27
test PASSED.
----- MutableUnionNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchName.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchName PID: 3150 started at 2023-04-21 22:53:28
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchName.log --type ModifiedNameMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchName PID: 3151 started at 2023-04-21 22:53:28
test PASSED.
----- PlainCdr --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_PlainCdr.log --type PlainCdrStruct -DCPSPendingTimeout 3 
reader_PlainCdr PID: 3162 started at 2023-04-21 22:53:29
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_PlainCdr.log --type PlainCdrStruct -DCPSPendingTimeout 3 
writer_PlainCdr PID: 3163 started at 2023-04-21 22:53:29
test PASSED.
----- MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchType.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchType PID: 3174 started at 2023-04-21 22:53:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchType PID: 3175 started at 2023-04-21 22:53:30
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch1 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AppendableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 3186 started at 2023-04-21 22:53:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AdditionalPostfixFieldStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 3187 started at 2023-04-21 22:53:30
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchId.log --type MutableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchId PID: 3198 started at 2023-04-21 22:53:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchId.log --type ModifiedIdMutableStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchId PID: 3199 started at 2023-04-21 22:53:31
test PASSED.

auto_run_tests_finished: tests/DCPS/XTypes/run_test.pl Time:26s Result:0

==============================================================================

tests/DCPS/XTypes/run_test.pl --dynamic-writers #

----- IgnoreMemberNames_MutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableStructMatch.log --type MutableStruct --ignore-member-names -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableStructMatch PID: 3211 started at 2023-04-21 22:53:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableStructMatch.log --type ModifiedNameMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableStructMatch PID: 3212 started at 2023-04-21 22:53:32
test PASSED.
----- MutableUnionNoMatchDisc --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchDisc.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchDisc PID: 3223 started at 2023-04-21 22:53:33
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchDisc.log --type ModifiedDiscMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchDisc PID: 3224 started at 2023-04-21 22:53:33
test PASSED.
----- AppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableMatch.log --type AppendableStruct -DCPSPendingTimeout 3 
reader_AppendableMatch PID: 3235 started at 2023-04-21 22:53:33
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableMatch.log --type AdditionalPostfixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_AppendableMatch PID: 3236 started at 2023-04-21 22:53:33
test PASSED.
----- FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructNoMatch.log --type FinalStructSub -DCPSPendingTimeout 3 
reader_FinalStructNoMatch PID: 3247 started at 2023-04-21 22:53:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructNoMatch.log --type ModifiedFinalStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_FinalStructNoMatch PID: 3248 started at 2023-04-21 22:53:34
test PASSED.
----- IgnoreMemberNames_MutableUnionMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableUnionMatch.log --type MutableUnion --ignore-member-names -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableUnionMatch PID: 3259 started at 2023-04-21 22:53:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableUnionMatch.log --type ModifiedNameMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableUnionMatch PID: 3260 started at 2023-04-21 22:53:35
test PASSED.
----- NoXTypesMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName -DCPSPendingTimeout 3 
reader_NoXTypesMatchTypeNames PID: 3271 started at 2023-04-21 22:53:36
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName --dynamic-ts -DCPSPendingTimeout 3 
writer_NoXTypesMatchTypeNames PID: 3272 started at 2023-04-21 22:53:36
test PASSED.
----- Dependency --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Dependency.log --type AppendableStruct -DCPSPendingTimeout 3 
reader_Dependency PID: 3283 started at 2023-04-21 22:53:37
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Dependency.log --type AppendableStructWithDependency --dynamic-ts -DCPSPendingTimeout 3 
writer_Dependency PID: 3284 started at 2023-04-21 22:53:37
test PASSED.
----- DisallowTypeCoercion_FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructNoMatch.log --type FinalStructSub --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructNoMatch PID: 3295 started at 2023-04-21 22:53:37
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructNoMatch.log --type ModifiedFinalStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructNoMatch PID: 3296 started at 2023-04-21 22:53:37
test PASSED.
----- MutableUnion --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnion.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnion PID: 3315 started at 2023-04-21 22:53:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnion.log --type ModifiedMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnion PID: 3316 started at 2023-04-21 22:53:38
test PASSED.
----- MutableStruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStruct.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStruct PID: 3327 started at 2023-04-21 22:53:39
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStruct.log --type ModifiedMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStruct PID: 3328 started at 2023-04-21 22:53:39
test PASSED.
----- FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructMatch.log --type FinalStructSub -DCPSPendingTimeout 3 
reader_FinalStructMatch PID: 3339 started at 2023-04-21 22:53:40
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructMatch.log --type FinalStructPub --dynamic-ts -DCPSPendingTimeout 3 
writer_FinalStructMatch PID: 3340 started at 2023-04-21 22:53:40
test PASSED.
----- MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchType.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStructNoMatchType PID: 3351 started at 2023-04-21 22:53:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStructNoMatchType PID: 3352 started at 2023-04-21 22:53:41
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes --force-type-validation -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_ny PID: 3363 started at 2023-04-21 22:53:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_ny PID: 3364 started at 2023-04-21 22:53:41
test PASSED.
----- Match_no_xtypes_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_nn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
reader_Match_no_xtypes_nn PID: 3375 started at 2023-04-21 22:53:42
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_nn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_Match_no_xtypes_nn PID: 3376 started at 2023-04-21 22:53:42
test PASSED.
----- DisallowTypeCoercion_FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructSub --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructMatch PID: 3387 started at 2023-04-21 22:53:43
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructPub --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructMatch PID: 3388 started at 2023-04-21 22:53:43
test PASSED.
----- Match_no_xtypes_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_yn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
reader_Match_no_xtypes_yn PID: 3399 started at 2023-04-21 22:53:44
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Match_no_xtypes_yn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_Match_no_xtypes_yn PID: 3400 started at 2023-04-21 22:53:44
test PASSED.
----- Match_no_xtypes_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Match_no_xtypes_ny.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
reader_Match_no_xtypes_ny PID: 3411 started at 2023-04-21 22:53:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_ny.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_Match_no_xtypes_ny PID: 3412 started at 2023-04-21 22:53:45
test PASSED.
----- ExtendedMutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedMutableStructMatch.log --type MutableStruct -DCPSPendingTimeout 3 
reader_ExtendedMutableStructMatch PID: 3423 started at 2023-04-21 22:53:46
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedMutableStructMatch.log --type MutableBaseStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_ExtendedMutableStructMatch PID: 3424 started at 2023-04-21 22:53:46
test PASSED.
----- Tryconstruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Tryconstruct.log --type Trim20Struct -DCPSPendingTimeout 3 
reader_Tryconstruct PID: 3436 started at 2023-04-21 22:53:47
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Tryconstruct.log --type Trim64Struct --dynamic-ts -DCPSPendingTimeout 3 
writer_Tryconstruct PID: 3437 started at 2023-04-21 22:53:47
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchType.log --type MutableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchType PID: 3448 started at 2023-04-21 22:53:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchType PID: 3449 started at 2023-04-21 22:53:48
test PASSED.
----- ExtendedAppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedAppendableMatch.log --type ExtendedAppendableStruct -DCPSPendingTimeout 3 
reader_ExtendedAppendableMatch PID: 3461 started at 2023-04-21 22:53:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedAppendableMatch.log --type BaseAppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_ExtendedAppendableMatch PID: 3462 started at 2023-04-21 22:53:48
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch2 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AppendableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 3473 started at 2023-04-21 22:53:49
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AdditionalPrefixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 3474 started at 2023-04-21 22:53:49
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatchType.log --type MutableUnion --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatchType PID: 3486 started at 2023-04-21 22:53:50
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatchType PID: 3487 started at 2023-04-21 22:53:50
test PASSED.
----- NoXTypesNoMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type ReaderTypeName -DCPSPendingTimeout 3 
reader_NoXTypesNoMatchTypeNames PID: 3498 started at 2023-04-21 22:53:51
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type WriterTypeName --dynamic-ts -DCPSPendingTimeout 3 
writer_NoXTypesNoMatchTypeNames PID: 3499 started at 2023-04-21 22:53:51
test PASSED.
----- MutableStructNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchName.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStructNoMatchName PID: 3510 started at 2023-04-21 22:53:51
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchName.log --type ModifiedNameMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStructNoMatchName PID: 3511 started at 2023-04-21 22:53:51
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatch.log --type MutableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatch PID: 3522 started at 2023-04-21 22:53:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatch.log --type ModifiedMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatch PID: 3523 started at 2023-04-21 22:53:52
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatch.log --type MutableUnion --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatch PID: 3534 started at 2023-04-21 22:53:53
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatch.log --type ModifiedMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatch PID: 3535 started at 2023-04-21 22:53:53
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes --force-type-validation -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_nn PID: 3546 started at 2023-04-21 22:53:54
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_nn PID: 3547 started at 2023-04-21 22:53:54
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes --force-type-validation -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_yn PID: 3558 started at 2023-04-21 22:53:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_yn PID: 3559 started at 2023-04-21 22:53:55
test PASSED.
----- MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchId.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStructNoMatchId PID: 3570 started at 2023-04-21 22:53:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchId.log --type ModifiedIdMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStructNoMatchId PID: 3571 started at 2023-04-21 22:53:55
test PASSED.
----- AppendableNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableNoMatch.log --type AppendableStruct -DCPSPendingTimeout 3 
reader_AppendableNoMatch PID: 3583 started at 2023-04-21 22:53:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableNoMatch.log --type AdditionalPrefixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_AppendableNoMatch PID: 3584 started at 2023-04-21 22:53:56
test PASSED.
----- MutableUnionNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchName.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchName PID: 3595 started at 2023-04-21 22:53:57
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchName.log --type ModifiedNameMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchName PID: 3596 started at 2023-04-21 22:53:57
test PASSED.
----- PlainCdr --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_PlainCdr.log --type PlainCdrStruct -DCPSPendingTimeout 3 
reader_PlainCdr PID: 3607 started at 2023-04-21 22:53:57
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_PlainCdr.log --type PlainCdrStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_PlainCdr PID: 3608 started at 2023-04-21 22:53:57
test PASSED.
----- MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchType.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchType PID: 3619 started at 2023-04-21 22:53:58
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchType PID: 3620 started at 2023-04-21 22:53:58
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch1 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AppendableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 3631 started at 2023-04-21 22:53:59
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AdditionalPostfixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 3632 started at 2023-04-21 22:53:59
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchId.log --type MutableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchId PID: 3643 started at 2023-04-21 22:54:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchId.log --type ModifiedIdMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchId PID: 3644 started at 2023-04-21 22:54:00
test PASSED.

auto_run_tests_finished: tests/DCPS/XTypes/run_test.pl --dynamic-writers Time:29s Result:0

==============================================================================

tests/DCPS/XTypes/run_test.pl --dynamic-readers #

----- IgnoreMemberNames_MutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableStructMatch.log --type MutableStruct --ignore-member-names --dynamic-ts -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableStructMatch PID: 3656 started at 2023-04-21 22:54:01
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableStructMatch.log --type ModifiedNameMutableStruct -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableStructMatch PID: 3657 started at 2023-04-21 22:54:01
test PASSED.
----- MutableUnionNoMatchDisc --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchDisc.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchDisc PID: 3668 started at 2023-04-21 22:54:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchDisc.log --type ModifiedDiscMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchDisc PID: 3669 started at 2023-04-21 22:54:02
test PASSED.
----- AppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableMatch.log --type AppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_AppendableMatch PID: 3680 started at 2023-04-21 22:54:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableMatch.log --type AdditionalPostfixFieldStruct -DCPSPendingTimeout 3 
writer_AppendableMatch PID: 3681 started at 2023-04-21 22:54:03
test PASSED.
----- FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructNoMatch.log --type FinalStructSub --dynamic-ts -DCPSPendingTimeout 3 
reader_FinalStructNoMatch PID: 3692 started at 2023-04-21 22:54:04
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructNoMatch.log --type ModifiedFinalStruct -DCPSPendingTimeout 3 
writer_FinalStructNoMatch PID: 3693 started at 2023-04-21 22:54:04
test PASSED.
----- IgnoreMemberNames_MutableUnionMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableUnionMatch.log --type MutableUnion --ignore-member-names --dynamic-ts -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableUnionMatch PID: 3708 started at 2023-04-21 22:54:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableUnionMatch.log --type ModifiedNameMutableUnion -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableUnionMatch PID: 3709 started at 2023-04-21 22:54:05
test PASSED.
----- NoXTypesMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName --dynamic-ts -DCPSPendingTimeout 3 
reader_NoXTypesMatchTypeNames PID: 3720 started at 2023-04-21 22:54:06
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName -DCPSPendingTimeout 3 
writer_NoXTypesMatchTypeNames PID: 3721 started at 2023-04-21 22:54:06
test PASSED.
----- Dependency --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Dependency.log --type AppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_Dependency PID: 3733 started at 2023-04-21 22:54:07
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Dependency.log --type AppendableStructWithDependency -DCPSPendingTimeout 3 
writer_Dependency PID: 3734 started at 2023-04-21 22:54:07
test PASSED.
----- DisallowTypeCoercion_FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructNoMatch.log --type FinalStructSub --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructNoMatch PID: 3745 started at 2023-04-21 22:54:08
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructNoMatch.log --type ModifiedFinalStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructNoMatch PID: 3746 started at 2023-04-21 22:54:08
test PASSED.
----- MutableUnion --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnion.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnion PID: 3757 started at 2023-04-21 22:54:09
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnion.log --type ModifiedMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnion PID: 3758 started at 2023-04-21 22:54:09
test PASSED.
----- MutableStruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStruct.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStruct PID: 3769 started at 2023-04-21 22:54:10
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStruct.log --type ModifiedMutableStruct -DCPSPendingTimeout 3 
writer_MutableStruct PID: 3770 started at 2023-04-21 22:54:10
test PASSED.
----- FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructMatch.log --type FinalStructSub --dynamic-ts -DCPSPendingTimeout 3 
reader_FinalStructMatch PID: 3781 started at 2023-04-21 22:54:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructMatch.log --type FinalStructPub -DCPSPendingTimeout 3 
writer_FinalStructMatch PID: 3782 started at 2023-04-21 22:54:11
test PASSED.
----- MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchType.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStructNoMatchType PID: 3793 started at 2023-04-21 22:54:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct -DCPSPendingTimeout 3 
writer_MutableStructNoMatchType PID: 3794 started at 2023-04-21 22:54:12
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes --force-type-validation --dynamic-ts -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_ny PID: 3805 started at 2023-04-21 22:54:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_ny PID: 3806 started at 2023-04-21 22:54:12
test PASSED.
----- Match_no_xtypes_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_nn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
reader_Match_no_xtypes_nn PID: 3817 started at 2023-04-21 22:54:13
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_nn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_Match_no_xtypes_nn PID: 3818 started at 2023-04-21 22:54:13
test PASSED.
----- DisallowTypeCoercion_FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructSub --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructMatch PID: 3829 started at 2023-04-21 22:54:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructPub -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructMatch PID: 3830 started at 2023-04-21 22:54:14
test PASSED.
----- Match_no_xtypes_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_yn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
reader_Match_no_xtypes_yn PID: 3841 started at 2023-04-21 22:54:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Match_no_xtypes_yn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_Match_no_xtypes_yn PID: 3842 started at 2023-04-21 22:54:14
test PASSED.
----- Match_no_xtypes_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Match_no_xtypes_ny.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
reader_Match_no_xtypes_ny PID: 3853 started at 2023-04-21 22:54:15
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_ny.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_Match_no_xtypes_ny PID: 3854 started at 2023-04-21 22:54:15
test PASSED.
----- ExtendedMutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedMutableStructMatch.log --type MutableStruct --dynamic-ts --skip-read -DCPSPendingTimeout 3 
reader_ExtendedMutableStructMatch PID: 3865 started at 2023-04-21 22:54:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedMutableStructMatch.log --type MutableBaseStruct -DCPSPendingTimeout 3 
writer_ExtendedMutableStructMatch PID: 3866 started at 2023-04-21 22:54:16
test PASSED.
----- Tryconstruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Tryconstruct.log --type Trim20Struct --dynamic-ts --skip-read -DCPSPendingTimeout 3 
reader_Tryconstruct PID: 3877 started at 2023-04-21 22:54:17
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Tryconstruct.log --type Trim64Struct -DCPSPendingTimeout 3 
writer_Tryconstruct PID: 3878 started at 2023-04-21 22:54:17
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchType.log --type MutableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchType PID: 3892 started at 2023-04-21 22:54:18
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchType PID: 3893 started at 2023-04-21 22:54:18
test PASSED.
----- ExtendedAppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedAppendableMatch.log --type ExtendedAppendableStruct --dynamic-ts --skip-read -DCPSPendingTimeout 3 
reader_ExtendedAppendableMatch PID: 3904 started at 2023-04-21 22:54:19
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedAppendableMatch.log --type BaseAppendableStruct -DCPSPendingTimeout 3 
writer_ExtendedAppendableMatch PID: 3905 started at 2023-04-21 22:54:19
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch2 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AppendableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 3916 started at 2023-04-21 22:54:20
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AdditionalPrefixFieldStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 3917 started at 2023-04-21 22:54:20
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatchType.log --type MutableUnion --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatchType PID: 3928 started at 2023-04-21 22:54:20
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatchType PID: 3929 started at 2023-04-21 22:54:20
test PASSED.
----- NoXTypesNoMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type ReaderTypeName --dynamic-ts -DCPSPendingTimeout 3 
reader_NoXTypesNoMatchTypeNames PID: 3940 started at 2023-04-21 22:54:21
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type WriterTypeName -DCPSPendingTimeout 3 
writer_NoXTypesNoMatchTypeNames PID: 3941 started at 2023-04-21 22:54:21
test PASSED.
----- MutableStructNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchName.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStructNoMatchName PID: 3952 started at 2023-04-21 22:54:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchName.log --type ModifiedNameMutableStruct -DCPSPendingTimeout 3 
writer_MutableStructNoMatchName PID: 3953 started at 2023-04-21 22:54:22
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatch.log --type MutableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatch PID: 3964 started at 2023-04-21 22:54:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatch.log --type ModifiedMutableStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatch PID: 3965 started at 2023-04-21 22:54:22
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatch.log --type MutableUnion --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatch PID: 3976 started at 2023-04-21 22:54:23
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatch.log --type ModifiedMutableUnion -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatch PID: 3977 started at 2023-04-21 22:54:23
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes --force-type-validation --dynamic-ts -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_nn PID: 3988 started at 2023-04-21 22:54:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_nn PID: 3989 started at 2023-04-21 22:54:24
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes --force-type-validation --dynamic-ts -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_yn PID: 4000 started at 2023-04-21 22:54:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_yn PID: 4001 started at 2023-04-21 22:54:24
test PASSED.
----- MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchId.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStructNoMatchId PID: 4012 started at 2023-04-21 22:54:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchId.log --type ModifiedIdMutableStruct -DCPSPendingTimeout 3 
writer_MutableStructNoMatchId PID: 4013 started at 2023-04-21 22:54:26
test PASSED.
----- AppendableNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableNoMatch.log --type AppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_AppendableNoMatch PID: 4024 started at 2023-04-21 22:54:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableNoMatch.log --type AdditionalPrefixFieldStruct -DCPSPendingTimeout 3 
writer_AppendableNoMatch PID: 4025 started at 2023-04-21 22:54:27
test PASSED.
----- MutableUnionNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchName.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchName PID: 4036 started at 2023-04-21 22:54:28
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchName.log --type ModifiedNameMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchName PID: 4037 started at 2023-04-21 22:54:28
test PASSED.
----- PlainCdr --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_PlainCdr.log --type PlainCdrStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_PlainCdr PID: 4048 started at 2023-04-21 22:54:28
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_PlainCdr.log --type PlainCdrStruct -DCPSPendingTimeout 3 
writer_PlainCdr PID: 4049 started at 2023-04-21 22:54:28
test PASSED.
----- MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchType.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchType PID: 4060 started at 2023-04-21 22:54:29
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchType PID: 4061 started at 2023-04-21 22:54:29
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch1 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AppendableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 4072 started at 2023-04-21 22:54:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AdditionalPostfixFieldStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 4073 started at 2023-04-21 22:54:30
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchId.log --type MutableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchId PID: 4084 started at 2023-04-21 22:54:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchId.log --type ModifiedIdMutableStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchId PID: 4085 started at 2023-04-21 22:54:31
test PASSED.

auto_run_tests_finished: tests/DCPS/XTypes/run_test.pl --dynamic-readers Time:31s Result:0

==============================================================================

tests/DCPS/XTypes/run_test.pl --dynamic-writers --dynamic-readers #

----- IgnoreMemberNames_MutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableStructMatch.log --type MutableStruct --ignore-member-names --dynamic-ts -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableStructMatch PID: 4097 started at 2023-04-21 22:54:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableStructMatch.log --type ModifiedNameMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableStructMatch PID: 4098 started at 2023-04-21 22:54:32
test PASSED.
----- MutableUnionNoMatchDisc --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchDisc.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchDisc PID: 4109 started at 2023-04-21 22:54:33
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchDisc.log --type ModifiedDiscMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchDisc PID: 4110 started at 2023-04-21 22:54:33
test PASSED.
----- AppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableMatch.log --type AppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_AppendableMatch PID: 4121 started at 2023-04-21 22:54:33
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableMatch.log --type AdditionalPostfixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_AppendableMatch PID: 4122 started at 2023-04-21 22:54:33
test PASSED.
----- FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructNoMatch.log --type FinalStructSub --dynamic-ts -DCPSPendingTimeout 3 
reader_FinalStructNoMatch PID: 4133 started at 2023-04-21 22:54:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructNoMatch.log --type ModifiedFinalStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_FinalStructNoMatch PID: 4134 started at 2023-04-21 22:54:34
test PASSED.
----- IgnoreMemberNames_MutableUnionMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableUnionMatch.log --type MutableUnion --ignore-member-names --dynamic-ts -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableUnionMatch PID: 4145 started at 2023-04-21 22:54:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableUnionMatch.log --type ModifiedNameMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableUnionMatch PID: 4146 started at 2023-04-21 22:54:35
test PASSED.
----- NoXTypesMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName --dynamic-ts -DCPSPendingTimeout 3 
reader_NoXTypesMatchTypeNames PID: 4157 started at 2023-04-21 22:54:36
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName --dynamic-ts -DCPSPendingTimeout 3 
writer_NoXTypesMatchTypeNames PID: 4158 started at 2023-04-21 22:54:36
test PASSED.
----- Dependency --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Dependency.log --type AppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_Dependency PID: 4169 started at 2023-04-21 22:54:37
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Dependency.log --type AppendableStructWithDependency --dynamic-ts -DCPSPendingTimeout 3 
writer_Dependency PID: 4170 started at 2023-04-21 22:54:37
test PASSED.
----- DisallowTypeCoercion_FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructNoMatch.log --type FinalStructSub --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructNoMatch PID: 4181 started at 2023-04-21 22:54:37
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructNoMatch.log --type ModifiedFinalStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructNoMatch PID: 4182 started at 2023-04-21 22:54:37
test PASSED.
----- MutableUnion --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnion.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnion PID: 4193 started at 2023-04-21 22:54:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnion.log --type ModifiedMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnion PID: 4194 started at 2023-04-21 22:54:38
test PASSED.
----- MutableStruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStruct.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStruct PID: 4213 started at 2023-04-21 22:54:39
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStruct.log --type ModifiedMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStruct PID: 4214 started at 2023-04-21 22:54:39
test PASSED.
----- FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructMatch.log --type FinalStructSub --dynamic-ts -DCPSPendingTimeout 3 
reader_FinalStructMatch PID: 4225 started at 2023-04-21 22:54:40
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructMatch.log --type FinalStructPub --dynamic-ts -DCPSPendingTimeout 3 
writer_FinalStructMatch PID: 4226 started at 2023-04-21 22:54:40
test PASSED.
----- MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchType.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStructNoMatchType PID: 4237 started at 2023-04-21 22:54:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStructNoMatchType PID: 4238 started at 2023-04-21 22:54:41
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes --force-type-validation --dynamic-ts -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_ny PID: 4249 started at 2023-04-21 22:54:42
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_ny PID: 4250 started at 2023-04-21 22:54:42
test PASSED.
----- Match_no_xtypes_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_nn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
reader_Match_no_xtypes_nn PID: 4261 started at 2023-04-21 22:54:42
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_nn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_Match_no_xtypes_nn PID: 4262 started at 2023-04-21 22:54:42
test PASSED.
----- DisallowTypeCoercion_FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructSub --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructMatch PID: 4273 started at 2023-04-21 22:54:43
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructPub --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructMatch PID: 4274 started at 2023-04-21 22:54:43
test PASSED.
----- Match_no_xtypes_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_yn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
reader_Match_no_xtypes_yn PID: 4285 started at 2023-04-21 22:54:44
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Match_no_xtypes_yn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_Match_no_xtypes_yn PID: 4286 started at 2023-04-21 22:54:44
test PASSED.
----- Match_no_xtypes_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Match_no_xtypes_ny.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
reader_Match_no_xtypes_ny PID: 4297 started at 2023-04-21 22:54:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_ny.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_Match_no_xtypes_ny PID: 4298 started at 2023-04-21 22:54:45
test PASSED.
----- ExtendedMutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedMutableStructMatch.log --type MutableStruct --dynamic-ts --skip-read -DCPSPendingTimeout 3 
reader_ExtendedMutableStructMatch PID: 4309 started at 2023-04-21 22:54:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedMutableStructMatch.log --type MutableBaseStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_ExtendedMutableStructMatch PID: 4310 started at 2023-04-21 22:54:45
test PASSED.
----- Tryconstruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Tryconstruct.log --type Trim20Struct --dynamic-ts --skip-read -DCPSPendingTimeout 3 
reader_Tryconstruct PID: 4321 started at 2023-04-21 22:54:46
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Tryconstruct.log --type Trim64Struct --dynamic-ts -DCPSPendingTimeout 3 
writer_Tryconstruct PID: 4322 started at 2023-04-21 22:54:46
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchType.log --type MutableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchType PID: 4333 started at 2023-04-21 22:54:47
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchType PID: 4334 started at 2023-04-21 22:54:47
test PASSED.
----- ExtendedAppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedAppendableMatch.log --type ExtendedAppendableStruct --dynamic-ts --skip-read -DCPSPendingTimeout 3 
reader_ExtendedAppendableMatch PID: 4345 started at 2023-04-21 22:54:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedAppendableMatch.log --type BaseAppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_ExtendedAppendableMatch PID: 4346 started at 2023-04-21 22:54:48
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch2 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AppendableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 4357 started at 2023-04-21 22:54:49
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AdditionalPrefixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 4358 started at 2023-04-21 22:54:49
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatchType.log --type MutableUnion --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatchType PID: 4369 started at 2023-04-21 22:54:50
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatchType PID: 4370 started at 2023-04-21 22:54:50
test PASSED.
----- NoXTypesNoMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type ReaderTypeName --dynamic-ts -DCPSPendingTimeout 3 
reader_NoXTypesNoMatchTypeNames PID: 4381 started at 2023-04-21 22:54:50
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type WriterTypeName --dynamic-ts -DCPSPendingTimeout 3 
writer_NoXTypesNoMatchTypeNames PID: 4382 started at 2023-04-21 22:54:50
test PASSED.
----- MutableStructNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchName.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStructNoMatchName PID: 4393 started at 2023-04-21 22:54:51
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchName.log --type ModifiedNameMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStructNoMatchName PID: 4394 started at 2023-04-21 22:54:51
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatch.log --type MutableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatch PID: 4405 started at 2023-04-21 22:54:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatch.log --type ModifiedMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatch PID: 4406 started at 2023-04-21 22:54:52
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatch.log --type MutableUnion --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatch PID: 4417 started at 2023-04-21 22:54:53
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatch.log --type ModifiedMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatch PID: 4418 started at 2023-04-21 22:54:53
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes --force-type-validation --dynamic-ts -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_nn PID: 4429 started at 2023-04-21 22:54:54
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_nn PID: 4430 started at 2023-04-21 22:54:54
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes --force-type-validation --dynamic-ts -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_yn PID: 4441 started at 2023-04-21 22:54:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_yn PID: 4442 started at 2023-04-21 22:54:55
test PASSED.
----- MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchId.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStructNoMatchId PID: 4453 started at 2023-04-21 22:54:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchId.log --type ModifiedIdMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStructNoMatchId PID: 4454 started at 2023-04-21 22:54:56
test PASSED.
----- AppendableNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableNoMatch.log --type AppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_AppendableNoMatch PID: 4465 started at 2023-04-21 22:54:58
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableNoMatch.log --type AdditionalPrefixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_AppendableNoMatch PID: 4466 started at 2023-04-21 22:54:58
test PASSED.
----- MutableUnionNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchName.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchName PID: 4477 started at 2023-04-21 22:54:58
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchName.log --type ModifiedNameMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchName PID: 4478 started at 2023-04-21 22:54:58
test PASSED.
----- PlainCdr --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_PlainCdr.log --type PlainCdrStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_PlainCdr PID: 4489 started at 2023-04-21 22:54:59
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_PlainCdr.log --type PlainCdrStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_PlainCdr PID: 4490 started at 2023-04-21 22:54:59
test PASSED.
----- MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchType.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchType PID: 4501 started at 2023-04-21 22:55:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchType PID: 4502 started at 2023-04-21 22:55:00
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch1 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AppendableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 4513 started at 2023-04-21 22:55:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AdditionalPostfixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 4514 started at 2023-04-21 22:55:00
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchId.log --type MutableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchId PID: 4525 started at 2023-04-21 22:55:01
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchId.log --type ModifiedIdMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchId PID: 4526 started at 2023-04-21 22:55:01
test PASSED.

auto_run_tests_finished: tests/DCPS/XTypes/run_test.pl --dynamic-writers --dynamic-readers Time:31s Result:0

==============================================================================

tests/DCPS/DynamicTypes/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder my_struct_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_my_struct_final_XCDR1.log -DCPSPendingTimeout 3 
reader_my_struct_final_XCDR1 PID: 4538 started at 2023-04-21 22:55:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub my_struct_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_my_struct_final_XCDR1.log -DCPSPendingTimeout 3 
writer_my_struct_final_XCDR1 PID: 4539 started at 2023-04-21 22:55:03
struct Dynamic::my_struct_final
  Dynamic::long_struct_arr_final my_long_struct_arr Dynamic::long_struct_final[2] =
    [0] struct Dynamic::long_struct_final
      Int32 my_long = 1
    [1] struct Dynamic::long_struct_final
      Int32 my_long = 2
  Dynamic::inner_union_seq_final my_inner_union_seq Dynamic::inner_union_final[2] =
    [0] union Dynamic::inner_union_final
      Int32 discriminator = -2147483647
      Boolean b = true
    [1] union Dynamic::inner_union_final
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = true
        [1] = false
  Dynamic::enum_arr my_enum_arr Dynamic::EnumType[2] =
    [0] = V1
    [1] = V2
  Int8 my_int8 = 1
  UInt8 my_uint8 = 2
  Int16 my_short = 3
  UInt16 my_ushort = 4
  Int32 my_long = 5
  UInt32 my_ulong = 6
  Int64 my_longlong = 7
  UInt64 my_ulonglong = 8
  Float32 my_float = 9.25
  Float64 my_double = 10.5
  Float128 my_longdouble = 11.075
  Boolean my_boolean = true
  Byte my_byte = 0x0c
  Char8 my_char = 'd'
  Char16 my_wchar = L'e'
  String8 my_string = "Hello"
  WString16 my_wstring = L"World"
  Dynamic::bool_seq my_alias_seq Boolean[2] =
    [0] = true
    [1] = false
  Dynamic::char_arr my_alias_array Char8[2] =
    [0] = 'a'
    [1] = 'b'
  Sequence my_anon_seq Dynamic::EnumType[2] =
    [0] = V2
    [1] = V1
  Array my_anon_arr Int16[2] =
    [0] = 5
    [1] = 6

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder my_struct_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_my_struct_final_XCDR2.log -DCPSPendingTimeout 3 
reader_my_struct_final_XCDR2 PID: 4550 started at 2023-04-21 22:55:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub my_struct_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_my_struct_final_XCDR2.log -DCPSPendingTimeout 3 
writer_my_struct_final_XCDR2 PID: 4551 started at 2023-04-21 22:55:03
struct Dynamic::my_struct_final
  Dynamic::long_struct_arr_final my_long_struct_arr Dynamic::long_struct_final[2] =
    [0] struct Dynamic::long_struct_final
      Int32 my_long = 1
    [1] struct Dynamic::long_struct_final
      Int32 my_long = 2
  Dynamic::inner_union_seq_final my_inner_union_seq Dynamic::inner_union_final[2] =
    [0] union Dynamic::inner_union_final
      Int32 discriminator = -2147483647
      Boolean b = true
    [1] union Dynamic::inner_union_final
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = true
        [1] = false
  Dynamic::enum_arr my_enum_arr Dynamic::EnumType[2] =
    [0] = V1
    [1] = V2
  Int8 my_int8 = 1
  UInt8 my_uint8 = 2
  Int16 my_short = 3
  UInt16 my_ushort = 4
  Int32 my_long = 5
  UInt32 my_ulong = 6
  Int64 my_longlong = 7
  UInt64 my_ulonglong = 8
  Float32 my_float = 9.25
  Float64 my_double = 10.5
  Float128 my_longdouble = 11.075
  Boolean my_boolean = true
  Byte my_byte = 0x0c
  Char8 my_char = 'd'
  Char16 my_wchar = L'e'
  String8 my_string = "Hello"
  WString16 my_wstring = L"World"
  Dynamic::bool_seq my_alias_seq Boolean[2] =
    [0] = true
    [1] = false
  Dynamic::char_arr my_alias_array Char8[2] =
    [0] = 'a'
    [1] = 'b'
  Sequence my_anon_seq Dynamic::EnumType[2] =
    [0] = V2
    [1] = V1
  Array my_anon_arr Int16[2] =
    [0] = 5
    [1] = 6

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder my_struct_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_my_struct_appendable_XCDR1.log -DCPSPendingTimeout 3 
reader_my_struct_appendable_XCDR1 PID: 4562 started at 2023-04-21 22:55:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub my_struct_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_my_struct_appendable_XCDR1.log -DCPSPendingTimeout 3 
writer_my_struct_appendable_XCDR1 PID: 4563 started at 2023-04-21 22:55:03
struct Dynamic::my_struct_appendable
  Dynamic::long_struct_arr_appendable my_long_struct_arr Dynamic::long_struct_appendable[2] =
    [0] struct Dynamic::long_struct_appendable
      Int32 my_long = 1
    [1] struct Dynamic::long_struct_appendable
      Int32 my_long = 2
  Dynamic::inner_union_seq_appendable my_inner_union_seq Dynamic::inner_union_appendable[2] =
    [0] union Dynamic::inner_union_appendable
      Int32 discriminator = -2147483647
      Boolean b = true
    [1] union Dynamic::inner_union_appendable
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = true
        [1] = false
  Dynamic::enum_arr my_enum_arr Dynamic::EnumType[2] =
    [0] = V1
    [1] = V2
  Int8 my_int8 = 1
  UInt8 my_uint8 = 2
  Int16 my_short = 3
  UInt16 my_ushort = 4
  Int32 my_long = 5
  UInt32 my_ulong = 6
  Int64 my_longlong = 7
  UInt64 my_ulonglong = 8
  Float32 my_float = 9.25
  Float64 my_double = 10.5
  Float128 my_longdouble = 11.075
  Boolean my_boolean = true
  Byte my_byte = 0x0c
  Char8 my_char = 'd'
  Char16 my_wchar = L'e'
  String8 my_string = "Hello"
  WString16 my_wstring = L"World"
  Dynamic::bool_seq my_alias_seq Boolean[2] =
    [0] = true
    [1] = false
  Dynamic::char_arr my_alias_array Char8[2] =
    [0] = 'a'
    [1] = 'b'
  Sequence my_anon_seq Dynamic::EnumType[2] =
    [0] = V2
    [1] = V1
  Array my_anon_arr Int16[2] =
    [0] = 5
    [1] = 6

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder my_struct_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_my_struct_appendable_XCDR2.log -DCPSPendingTimeout 3 
reader_my_struct_appendable_XCDR2 PID: 4574 started at 2023-04-21 22:55:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub my_struct_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_my_struct_appendable_XCDR2.log -DCPSPendingTimeout 3 
writer_my_struct_appendable_XCDR2 PID: 4575 started at 2023-04-21 22:55:03
struct Dynamic::my_struct_appendable
  Dynamic::long_struct_arr_appendable my_long_struct_arr Dynamic::long_struct_appendable[2] =
    [0] struct Dynamic::long_struct_appendable
      Int32 my_long = 1
    [1] struct Dynamic::long_struct_appendable
      Int32 my_long = 2
  Dynamic::inner_union_seq_appendable my_inner_union_seq Dynamic::inner_union_appendable[2] =
    [0] union Dynamic::inner_union_appendable
      Int32 discriminator = -2147483647
      Boolean b = true
    [1] union Dynamic::inner_union_appendable
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = true
        [1] = false
  Dynamic::enum_arr my_enum_arr Dynamic::EnumType[2] =
    [0] = V1
    [1] = V2
  Int8 my_int8 = 1
  UInt8 my_uint8 = 2
  Int16 my_short = 3
  UInt16 my_ushort = 4
  Int32 my_long = 5
  UInt32 my_ulong = 6
  Int64 my_longlong = 7
  UInt64 my_ulonglong = 8
  Float32 my_float = 9.25
  Float64 my_double = 10.5
  Float128 my_longdouble = 11.075
  Boolean my_boolean = true
  Byte my_byte = 0x0c
  Char8 my_char = 'd'
  Char16 my_wchar = L'e'
  String8 my_string = "Hello"
  WString16 my_wstring = L"World"
  Dynamic::bool_seq my_alias_seq Boolean[2] =
    [0] = true
    [1] = false
  Dynamic::char_arr my_alias_array Char8[2] =
    [0] = 'a'
    [1] = 'b'
  Sequence my_anon_seq Dynamic::EnumType[2] =
    [0] = V2
    [1] = V1
  Array my_anon_arr Int16[2] =
    [0] = 5
    [1] = 6

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder my_struct_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_my_struct_mutable_XCDR2.log -DCPSPendingTimeout 3 
reader_my_struct_mutable_XCDR2 PID: 4586 started at 2023-04-21 22:55:04
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub my_struct_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_my_struct_mutable_XCDR2.log -DCPSPendingTimeout 3 
writer_my_struct_mutable_XCDR2 PID: 4587 started at 2023-04-21 22:55:04
struct Dynamic::my_struct_mutable
  Dynamic::long_struct_arr_mutable my_long_struct_arr Dynamic::long_struct_mutable[2] =
    [0] struct Dynamic::long_struct_mutable
      Int32 my_long = 1
    [1] struct Dynamic::long_struct_mutable
      Int32 my_long = 2
  Dynamic::inner_union_seq_mutable my_inner_union_seq Dynamic::inner_union_mutable[2] =
    [0] union Dynamic::inner_union_mutable
      Int32 discriminator = -2147483647
      Boolean b = true
    [1] union Dynamic::inner_union_mutable
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = true
        [1] = false
  Dynamic::enum_arr my_enum_arr Dynamic::EnumType[2] =
    [0] = V1
    [1] = V2
  Int8 my_int8 = 1
  UInt8 my_uint8 = 2
  Int16 my_short = 3
  UInt16 my_ushort = 4
  Int32 my_long = 5
  UInt32 my_ulong = 6
  Int64 my_longlong = 7
  UInt64 my_ulonglong = 8
  Float32 my_float = 9.25
  Float64 my_double = 10.5
  Float128 my_longdouble = 11.075
  Boolean my_boolean = true
  Byte my_byte = 0x0c
  Char8 my_char = 'd'
  Char16 my_wchar = L'e'
  String8 my_string = "Hello"
  WString16 my_wstring = L"World"
  Dynamic::bool_seq my_alias_seq Boolean[2] =
    [0] = true
    [1] = false
  Dynamic::char_arr my_alias_array Char8[2] =
    [0] = 'a'
    [1] = 'b'
  Sequence my_anon_seq Dynamic::EnumType[2] =
    [0] = V2
    [1] = V1
  Array my_anon_arr Int16[2] =
    [0] = 5
    [1] = 6

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_struct_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_struct_final_XCDR1.log -DCPSPendingTimeout 3 
reader_outer_struct_final_XCDR1 PID: 4598 started at 2023-04-21 22:55:04
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_struct_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_struct_final_XCDR1.log -DCPSPendingTimeout 3 
writer_outer_struct_final_XCDR1 PID: 4599 started at 2023-04-21 22:55:04
struct Dynamic::outer_struct_final
  struct Dynamic::inner_struct_final is
    union Dynamic::inner_union_final iu
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = false
        [1] = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_struct_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_struct_final_XCDR2.log -DCPSPendingTimeout 3 
reader_outer_struct_final_XCDR2 PID: 4611 started at 2023-04-21 22:55:04
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_struct_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_struct_final_XCDR2.log -DCPSPendingTimeout 3 
writer_outer_struct_final_XCDR2 PID: 4612 started at 2023-04-21 22:55:04
struct Dynamic::outer_struct_final
  struct Dynamic::inner_struct_final is
    union Dynamic::inner_union_final iu
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = false
        [1] = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_struct_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_struct_appendable_XCDR1.log -DCPSPendingTimeout 3 
reader_outer_struct_appendable_XCDR1 PID: 4623 started at 2023-04-21 22:55:04
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_struct_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_struct_appendable_XCDR1.log -DCPSPendingTimeout 3 
writer_outer_struct_appendable_XCDR1 PID: 4624 started at 2023-04-21 22:55:04
struct Dynamic::outer_struct_appendable
  struct Dynamic::inner_struct_appendable is
    union Dynamic::inner_union_appendable iu
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = false
        [1] = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_struct_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_struct_appendable_XCDR2.log -DCPSPendingTimeout 3 
reader_outer_struct_appendable_XCDR2 PID: 4635 started at 2023-04-21 22:55:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_struct_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_struct_appendable_XCDR2.log -DCPSPendingTimeout 3 
writer_outer_struct_appendable_XCDR2 PID: 4636 started at 2023-04-21 22:55:05
struct Dynamic::outer_struct_appendable
  struct Dynamic::inner_struct_appendable is
    union Dynamic::inner_union_appendable iu
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = false
        [1] = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_struct_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_struct_mutable_XCDR2.log -DCPSPendingTimeout 3 
reader_outer_struct_mutable_XCDR2 PID: 4647 started at 2023-04-21 22:55:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_struct_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_struct_mutable_XCDR2.log -DCPSPendingTimeout 3 
writer_outer_struct_mutable_XCDR2 PID: 4648 started at 2023-04-21 22:55:05
struct Dynamic::outer_struct_mutable
  struct Dynamic::inner_struct_mutable is
    union Dynamic::inner_union_mutable iu
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = false
        [1] = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder inner_union_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_inner_union_final_XCDR1.log -DCPSPendingTimeout 3 
reader_inner_union_final_XCDR1 PID: 4659 started at 2023-04-21 22:55:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub inner_union_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_inner_union_final_XCDR1.log -DCPSPendingTimeout 3 
writer_inner_union_final_XCDR1 PID: 4660 started at 2023-04-21 22:55:05
union Dynamic::inner_union_final
  Int32 discriminator = -2147483647
  Boolean b = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder inner_union_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_inner_union_final_XCDR2.log -DCPSPendingTimeout 3 
reader_inner_union_final_XCDR2 PID: 4671 started at 2023-04-21 22:55:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub inner_union_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_inner_union_final_XCDR2.log -DCPSPendingTimeout 3 
writer_inner_union_final_XCDR2 PID: 4672 started at 2023-04-21 22:55:05
union Dynamic::inner_union_final
  Int32 discriminator = -2147483647
  Boolean b = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder inner_union_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_inner_union_appendable_XCDR1.log -DCPSPendingTimeout 3 
reader_inner_union_appendable_XCDR1 PID: 4683 started at 2023-04-21 22:55:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub inner_union_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_inner_union_appendable_XCDR1.log -DCPSPendingTimeout 3 
writer_inner_union_appendable_XCDR1 PID: 4684 started at 2023-04-21 22:55:05
union Dynamic::inner_union_appendable
  Int32 discriminator = -2147483647
  Boolean b = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder inner_union_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_inner_union_appendable_XCDR2.log -DCPSPendingTimeout 3 
reader_inner_union_appendable_XCDR2 PID: 4695 started at 2023-04-21 22:55:06
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub inner_union_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_inner_union_appendable_XCDR2.log -DCPSPendingTimeout 3 
writer_inner_union_appendable_XCDR2 PID: 4696 started at 2023-04-21 22:55:06
union Dynamic::inner_union_appendable
  Int32 discriminator = -2147483647
  Boolean b = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder inner_union_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_inner_union_mutable_XCDR2.log -DCPSPendingTimeout 3 
reader_inner_union_mutable_XCDR2 PID: 4707 started at 2023-04-21 22:55:06
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub inner_union_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_inner_union_mutable_XCDR2.log -DCPSPendingTimeout 3 
writer_inner_union_mutable_XCDR2 PID: 4708 started at 2023-04-21 22:55:06
union Dynamic::inner_union_mutable
  Int32 discriminator = -2147483647
  Boolean b = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_union_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_union_final_XCDR1.log -DCPSPendingTimeout 3 
reader_outer_union_final_XCDR1 PID: 4719 started at 2023-04-21 22:55:06
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_union_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_union_final_XCDR1.log -DCPSPendingTimeout 3 
writer_outer_union_final_XCDR1 PID: 4720 started at 2023-04-21 22:55:06
union Dynamic::outer_union_final
  Dynamic::EnumType discriminator = V1
  struct Dynamic::inner_struct_final is
    union Dynamic::inner_union_final iu
      Int32 discriminator = 1
      Int32 l = 5

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_union_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_union_final_XCDR2.log -DCPSPendingTimeout 3 
reader_outer_union_final_XCDR2 PID: 4731 started at 2023-04-21 22:55:06
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_union_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_union_final_XCDR2.log -DCPSPendingTimeout 3 
writer_outer_union_final_XCDR2 PID: 4732 started at 2023-04-21 22:55:06
union Dynamic::outer_union_final
  Dynamic::EnumType discriminator = V1
  struct Dynamic::inner_struct_final is
    union Dynamic::inner_union_final iu
      Int32 discriminator = 1
      Int32 l = 5

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_union_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_union_appendable_XCDR1.log -DCPSPendingTimeout 3 
reader_outer_union_appendable_XCDR1 PID: 4743 started at 2023-04-21 22:55:07
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_union_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_union_appendable_XCDR1.log -DCPSPendingTimeout 3 
writer_outer_union_appendable_XCDR1 PID: 4744 started at 2023-04-21 22:55:07
union Dynamic::outer_union_appendable
  Dynamic::EnumType discriminator = V1
  struct Dynamic::inner_struct_appendable is
    union Dynamic::inner_union_appendable iu
      Int32 discriminator = 1
      Int32 l = 5

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_union_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_union_appendable_XCDR2.log -DCPSPendingTimeout 3 
reader_outer_union_appendable_XCDR2 PID: 4755 started at 2023-04-21 22:55:07
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_union_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_union_appendable_XCDR2.log -DCPSPendingTimeout 3 
writer_outer_union_appendable_XCDR2 PID: 4756 started at 2023-04-21 22:55:07
union Dynamic::outer_union_appendable
  Dynamic::EnumType discriminator = V1
  struct Dynamic::inner_struct_appendable is
    union Dynamic::inner_union_appendable iu
      Int32 discriminator = 1
      Int32 l = 5

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_union_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_union_mutable_XCDR2.log -DCPSPendingTimeout 3 
reader_outer_union_mutable_XCDR2 PID: 4767 started at 2023-04-21 22:55:07
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_union_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_union_mutable_XCDR2.log -DCPSPendingTimeout 3 
writer_outer_union_mutable_XCDR2 PID: 4768 started at 2023-04-21 22:55:07
union Dynamic::outer_union_mutable
  Dynamic::EnumType discriminator = V1
  struct Dynamic::inner_struct_mutable is
    union Dynamic::inner_union_mutable iu
      Int32 discriminator = 1
      Int32 l = 5

test PASSED.
20 tests ran

auto_run_tests_finished: tests/DCPS/DynamicTypes/run_test.pl Time:4s Result:0

==============================================================================

tests/DCPS/UnregisterType/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 4780
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/UnregisterType/unregister_type_test -DCPSBit 0 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile unregister_type_test.log -DCPSPendingTimeout 3 
unregister_type_test PID: 4787 started at 2023-04-21 22:55:07
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/UnregisterType/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/DataRepresentation/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DataRepresentation/DataRepresentation -DCPSConfigFile rtps_disc.ini -DCPSPendingTimeout 3 
test PID: 4791 started at 2023-04-21 22:55:08
(4791|4791) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
INFO: register_type[DefaultType]
INFO: create_topic[DefaultType Topic]
(4791|4791) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: create_topic[DefaultType Topic XCDR2]
(4791|4791) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: create_topic[DefaultType Topic XML]
(4791|4791) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: unregister_type[DefaultType]
INFO: register_type[Xcdr2Xcdr1Type]
INFO: create_topic[Xcdr2Xcdr1Type Topic]
INFO: create_topic[Xcdr2Xcdr1Type Topic XCDR2]
INFO: unregister_type[Xcdr2Xcdr1Type]
INFO: register_type[Xcdr1Type]
INFO: create_topic[Xcdr1Type Topic]
INFO: create_topic[Xcdr1Type Topic XCDR2]
INFO: create_topic[Xcdr1Type Topic XML]
INFO: unregister_type[Xcdr1Type]
INFO: register_type[Xcdr2Type]
INFO: create_topic[Xcdr2Type Topic]
INFO: create_topic[Xcdr2Type Topic XCDR2]
INFO: unregister_type[Xcdr2Type]
INFO: register_type[XmlType]
INFO: create_topic[XmlType Topic]
(4791|4791) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 (4791|4791) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: unregister_type[XmlType]
INFO: 98 of 98 cases passed
test PASSED.

auto_run_tests_finished: tests/DCPS/DataRepresentation/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/DataRepresentation/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DataRepresentation/DataRepresentation -DCPSConfigFile rtps_disc.ini -DCPSPendingTimeout 3 
test PID: 4798 started at 2023-04-21 22:55:08
(4798|4798) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
INFO: register_type[DefaultType]
INFO: create_topic[DefaultType Topic]
(4798|4798) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: create_topic[DefaultType Topic XCDR2]
(4798|4798) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: create_topic[DefaultType Topic XML]
(4798|4798) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: unregister_type[DefaultType]
INFO: register_type[Xcdr2Xcdr1Type]
INFO: create_topic[Xcdr2Xcdr1Type Topic]
INFO: create_topic[Xcdr2Xcdr1Type Topic XCDR2]
INFO: unregister_type[Xcdr2Xcdr1Type]
INFO: register_type[Xcdr1Type]
INFO: create_topic[Xcdr1Type Topic]
INFO: create_topic[Xcdr1Type Topic XCDR2]
INFO: create_topic[Xcdr1Type Topic XML]
INFO: unregister_type[Xcdr1Type]
INFO: register_type[Xcdr2Type]
INFO: create_topic[Xcdr2Type Topic]
INFO: create_topic[Xcdr2Type Topic XCDR2]
INFO: unregister_type[Xcdr2Type]
INFO: register_type[XmlType]
INFO: create_topic[XmlType Topic]
(4798|4798) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 (4798|4798) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: unregister_type[XmlType]
INFO: 98 of 98 cases passed
test PASSED.

auto_run_tests_finished: tests/DCPS/DataRepresentation/run_test.pl rtps_disc Time:0s Result:0

==============================================================================

tests/DCPS/HelloWorld/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 4805
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/HelloWorld/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4812 started at 2023-04-21 22:55:08
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/HelloWorld/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4813 started at 2023-04-21 22:55:08
(4805|4805) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/HelloWorld/run_test.pl Time:3s Result:0

==============================================================================

tests/DCPS/HelloWorld/run_test.pl ini=rtps.ini #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/HelloWorld/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSConfigFile rtps.ini  -DCPSPendingTimeout 3 
publisher PID: 4830 started at 2023-04-21 22:55:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/HelloWorld/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSConfigFile rtps.ini  -DCPSPendingTimeout 3 
subscriber PID: 4831 started at 2023-04-21 22:55:11
test PASSED.

auto_run_tests_finished: tests/DCPS/HelloWorld/run_test.pl ini=rtps.ini Time:2s Result:0

==============================================================================

tests/DCPS/ZeroEnum/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroEnum/publisher -DCPSDebugLevel 4 -DCPSConfigFile rtps.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 4843 started at 2023-04-21 22:55:13
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroEnum/subscriber -DCPSDebugLevel 4 -DCPSConfigFile rtps.ini  -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 4844 started at 2023-04-21 22:55:13
test PASSED.

auto_run_tests_finished: tests/DCPS/ZeroEnum/run_test.pl Time:2s Result:0

==============================================================================

tests/DCPS/TypeSupportPlugin/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TypeSupportPlugin/TypeSupportPluginUser  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile test.log -DCPSPendingTimeout 3 
test PID: 4856 started at 2023-04-21 22:55:15
test PASSED.

auto_run_tests_finished: tests/DCPS/TypeSupportPlugin/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/DynamicData/run_test.pl dyn=dw ini=rtps.ini #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicData/publisher -dynamic -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSConfigFile rtps.ini  -DCPSPendingTimeout 3 
publisher PID: 4863 started at 2023-04-21 22:55:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicData/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSConfigFile rtps.ini  -DCPSPendingTimeout 3 
subscriber PID: 4864 started at 2023-04-21 22:55:16
test PASSED.

auto_run_tests_finished: tests/DCPS/DynamicData/run_test.pl dyn=dw ini=rtps.ini Time:3s Result:0

==============================================================================

tests/DCPS/DynamicData/run_test.pl dyn=dr ini=rtps.ini #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicData/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSConfigFile rtps.ini  -DCPSPendingTimeout 3 
publisher PID: 4876 started at 2023-04-21 22:55:18
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicData/subscriber -dynamic -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSConfigFile rtps.ini  -DCPSPendingTimeout 3 
subscriber PID: 4877 started at 2023-04-21 22:55:18
test PASSED.

auto_run_tests_finished: tests/DCPS/DynamicData/run_test.pl dyn=dr ini=rtps.ini Time:2s Result:0

End #

Sat Apr 22 03:55:20 2023 UTC